1 Star 2 Fork 0

yhww/AD4630-SPI-Verilog

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
vivado.jou 9.78 KB
一键复制 编辑 原始数据 按行查看 历史
yhww 提交于 2023-11-17 05:09 . 0
#-----------------------------------------------------------
# Vivado v2018.3 (64-bit)
# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018
# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018
# Start of session at: Thu Nov 16 15:13:12 2023
# Process ID: 18832
# Current directory: E:/Vivado_Project/AD4630_24_SPI
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent18716 E:\Vivado_Project\AD4630_24_SPI\AD4630_24_SPI.xpr
# Log file: E:/Vivado_Project/AD4630_24_SPI/vivado.log
# Journal file: E:/Vivado_Project/AD4630_24_SPI\vivado.jou
#-----------------------------------------------------------
start_gui
open_project E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.xpr
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
update_compile_order -fileset sources_1
open_hw
connect_hw_server
open_hw_target
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
current_hw_device [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
program_hw_devices [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
disconnect_hw_server localhost:3121
connect_hw_server
open_hw_target
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 10
wait_on_run impl_1
close_hw
open_hw
connect_hw_server
open_hw_target
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
current_hw_device [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
program_hw_devices [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
display_hw_ila_data [ get_hw_ila_data hw_ila_data_1 -of_objects [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 10
wait_on_run impl_1
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
program_hw_devices [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
add_wave -into {hw_ila_data_1.wcfg} -radix hex { {p_1_in} }
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
program_hw_devices [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
launch_simulation
source AD4630_24_SPI_TB.tcl
current_wave_config {Untitled 1}
add_wave {{/AD4630_24_SPI_TB/AD4630_24_SPI}}
run all
relaunch_sim
run all
relaunch_sim
run all
reset_run synth_1
launch_runs impl_1 -to_step write_bitstream -jobs 10
wait_on_run impl_1
set_property PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property FULL_PROBES.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.ltx} [get_hw_devices xc7s50_0]
set_property PROGRAM.FILE {E:/Vivado_Project/AD4630_24_SPI/AD4630_24_SPI.runs/impl_1/AD4630_24_SPI.bit} [get_hw_devices xc7s50_0]
program_hw_devices [get_hw_devices xc7s50_0]
refresh_hw_device [lindex [get_hw_devices xc7s50_0] 0]
add_wave -into {hw_ila_data_1.wcfg} -radix hex { {SDO_IBUF} }
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila -timeout 0 [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
wait_on_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
display_hw_ila_data [upload_hw_ila_data [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]]
run_hw_ila [get_hw_ilas -of_objects [get_hw_devices xc7s50_0] -filter {CELL_NAME=~"your_instance_name"}]
relaunch_sim
run all
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/a2012094751/ad4630-spi-verilog.git
git@gitee.com:a2012094751/ad4630-spi-verilog.git
a2012094751
ad4630-spi-verilog
AD4630-SPI-Verilog
master

搜索帮助

23e8dbc6 1850385 7e0993f3 1850385