2 Star 1 Fork 0

史峰/basic_verilog

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
reset_set.sv 866 Bytes
一键复制 编辑 原始数据 按行查看 历史
//--------------------------------------------------------------------------------
// reset_set.sv
// Konstantin Pavlov, pavlovconst@gmail.com
//--------------------------------------------------------------------------------
// INFO --------------------------------------------------------------------------------
// Synchronous SR trigger variant
// No metastable state. SET signal dominates here
/* --- INSTANTIATION TEMPLATE BEGIN ---
reset_set RS1 (
.clk( clk ),
.nrst( 1'b1 ),
.s( ),
.r( ),
.q( ),
.nq( )
);
--- INSTANTIATION TEMPLATE END ---*/
module reset_set(
input clk,
input nrst,
input s,
input r,
output logic q = 0, // aka "present state"
output nq
);
always_ff @(posedge clk) begin
if( ~nrst ) begin
q = 0;
end else begin
if( r ) q = 1'b0;
if( s ) q = 1'b1;
end
end
assign nq = ~q;
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
Verilog
1
https://gitee.com/shi-feng-logic/basic_verilog.git
git@gitee.com:shi-feng-logic/basic_verilog.git
shi-feng-logic
basic_verilog
basic_verilog
master

搜索帮助

23e8dbc6 1850385 7e0993f3 1850385