1 Star 5 Fork 5

yhp/basic_verilog

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
lifo_tb.sv 1.81 KB
一键复制 编辑 原始数据 按行查看 历史
//------------------------------------------------------------------------------
// lifo_tb.sv
// Konstantin Pavlov, pavlovconst@gmail.com
//------------------------------------------------------------------------------
// INFO ------------------------------------------------------------------------
// testbench for lifo.sv module
//
`timescale 1ns / 1ps
module lifo_tb();
logic clk200;
initial begin
#0 clk200 = 1;
forever
#2.5 clk200 = ~clk200;
end
logic rst;
initial begin
#10.2 rst = 1;
#5 rst = 0;
//#10000;
forever begin
#9985 rst = ~rst;
#5 rst = ~rst;
end
end
logic nrst;
assign nrst = ~rst;
logic rst_once;
initial begin // initializing non-X data before PLL starts
#10.2 rst_once = 1;
#5 rst_once = 0;
end
initial begin
#510.2 rst_once = 1; // PLL starts at 500ns, clock appears, so doing the reset for modules
#5 rst_once = 0;
end
logic nrst_once;
assign nrst_once = ~rst_once;
logic [31:0] DerivedClocks;
ClkDivider #(
.WIDTH( 32 )
) CD1 (
.clk( clk200 ),
.nrst( nrst_once ),
.out( DerivedClocks[31:0] )
);
logic [31:0] E_DerivedClocks;
EdgeDetect ED1[31:0] (
.clk( {32{clk200}} ),
.nrst( {32{nrst_once}} ),
.in( DerivedClocks[31:0] ),
.rising( E_DerivedClocks[31:0] ),
.falling( ),
.both( )
);
logic [15:0] RandomNumber1;
c_rand RNG1 (
.clk(clk200),
.rst(rst_once),
.reseed(1'b0),
.seed_val(DerivedClocks[31:0]),
.out(RandomNumber1[15:0]));
/*logic start;
initial begin
#0 start = 1'b0;
#100.2 start = 1'b1;
#5 start = 1'b0;
end*/
logic read;
initial begin
#0 read = 1'b0;
#1000.2 read = 1'b1;
end
lifo #(
.DEPTH( 8 ),
.DATA_W( 16 )
) LF1 (
.clk( clk200 ),
.rst( rst_once ),
.w_req( &RandomNumber1[10:9] ),
.w_data( RandomNumber1[15:0] ),
.r_req( read ),
.r_data( ),
.cnt( ),
.empty( ),
.full( )
);
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/yuan_hp/basic_verilog.git
git@gitee.com:yuan_hp/basic_verilog.git
yuan_hp
basic_verilog
basic_verilog
master

搜索帮助