1 Star 0 Fork 0

xingkong/Calligraphy-Machine

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
文件
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
Basys3_Master.xdc 5.81 KB
一键复制 编辑 原始数据 按行查看 历史
xingkong 提交于 2017-09-19 22:57 . first commit
set_property PACKAGE_PIN W5 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
set_property PACKAGE_PIN A18 [get_ports txd]
set_property IOSTANDARD LVCMOS33 [get_ports txd]
set_property PACKAGE_PIN B18 [get_ports rxd]
set_property IOSTANDARD LVCMOS33 [get_ports rxd]
set_property PACKAGE_PIN U18 [get_ports {ok}]
set_property IOSTANDARD LVCMOS33 [get_ports {ok}]
set_property PACKAGE_PIN T18 [get_ports {up}]
set_property IOSTANDARD LVCMOS33 [get_ports {up}]
set_property PACKAGE_PIN U17 [get_ports {down}]
set_property IOSTANDARD LVCMOS33 [get_ports {down}]
set_property PACKAGE_PIN A16 [get_ports {speaker}]
set_property IOSTANDARD LVCMOS33 [get_ports {speaker}]
set_property PACKAGE_PIN J1 [get_ports {stepx}]
set_property IOSTANDARD LVCMOS33 [get_ports {stepx}]
set_property PACKAGE_PIN H1 [get_ports {dirx}]
set_property IOSTANDARD LVCMOS33 [get_ports {dirx}]
set_property PACKAGE_PIN L2 [get_ports {stepy}]
set_property IOSTANDARD LVCMOS33 [get_ports {stepy}]
set_property PACKAGE_PIN K2 [get_ports {diry}]
set_property IOSTANDARD LVCMOS33 [get_ports {diry}]
set_property PACKAGE_PIN J2 [get_ports {stepz}]
set_property IOSTANDARD LVCMOS33 [get_ports {stepz}]
set_property PACKAGE_PIN H2 [get_ports {dirz}]
set_property IOSTANDARD LVCMOS33 [get_ports {dirz}]
set_property PACKAGE_PIN W7 [get_ports {sm_duan[0]}]
set_property PACKAGE_PIN W6 [get_ports {sm_duan[1]}]
set_property PACKAGE_PIN U8 [get_ports {sm_duan[2]}]
set_property PACKAGE_PIN V8 [get_ports {sm_duan[3]}]
set_property PACKAGE_PIN U5 [get_ports {sm_duan[4]}]
set_property PACKAGE_PIN V5 [get_ports {sm_duan[5]}]
set_property PACKAGE_PIN U7 [get_ports {sm_duan[6]}]
set_property PACKAGE_PIN V7 [get_ports {sm_duan[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_duan[7]}]
set_property PACKAGE_PIN W4 [get_ports {sm_wei[3]}]
set_property PACKAGE_PIN V4 [get_ports {sm_wei[2]}]
set_property PACKAGE_PIN U4 [get_ports {sm_wei[1]}]
set_property PACKAGE_PIN U2 [get_ports {sm_wei[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_wei[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_wei[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_wei[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {sm_wei[3]}]
set_property PACKAGE_PIN U16 [get_ports {led[0]}]
set_property PACKAGE_PIN E19 [get_ports {led[1]}]
set_property PACKAGE_PIN U19 [get_ports {led[2]}]
set_property PACKAGE_PIN V19 [get_ports {led[3]}]
set_property PACKAGE_PIN W18 [get_ports {led[4]}]
set_property PACKAGE_PIN U15 [get_ports {led[5]}]
set_property PACKAGE_PIN U14 [get_ports {led[6]}]
set_property PACKAGE_PIN V14 [get_ports {led[7]}]
set_property PACKAGE_PIN V13 [get_ports {led[8]}]
set_property PACKAGE_PIN V3 [get_ports {led[9]}]
set_property PACKAGE_PIN W3 [get_ports {led[10]}]
set_property PACKAGE_PIN U3 [get_ports {led[11]}]
set_property PACKAGE_PIN P3 [get_ports {led[12]}]
set_property PACKAGE_PIN N3 [get_ports {led[13]}]
set_property PACKAGE_PIN P1 [get_ports {led[14]}]
set_property PACKAGE_PIN L1 [get_ports {led[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[15]}]
set_property PACKAGE_PIN V17 [get_ports {key[0]}]
set_property PACKAGE_PIN V16 [get_ports {key[1]}]
set_property PACKAGE_PIN W16 [get_ports {key[2]}]
set_property PACKAGE_PIN W17 [get_ports {key[3]}]
set_property PACKAGE_PIN W15 [get_ports {key[4]}]
set_property PACKAGE_PIN V15 [get_ports {key[5]}]
set_property PACKAGE_PIN W14 [get_ports {key[6]}]
set_property PACKAGE_PIN W13 [get_ports {key[7]}]
set_property PACKAGE_PIN V2 [get_ports {key[8]}]
set_property PACKAGE_PIN T3 [get_ports {key[9]}]
set_property PACKAGE_PIN T2 [get_ports {key[10]}]
set_property PACKAGE_PIN R3 [get_ports {key[11]}]
set_property PACKAGE_PIN W2 [get_ports {key[12]}]
set_property PACKAGE_PIN U1 [get_ports {key[13]}]
set_property PACKAGE_PIN T1 [get_ports {key[14]}]
set_property PACKAGE_PIN R2 [get_ports {key[15]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[8]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[9]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[10]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[11]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[12]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[13]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[14]}]
set_property IOSTANDARD LVCMOS33 [get_ports {key[15]}]
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
VHDL
1
https://gitee.com/xingkong13/Calligraphy-Machine.git
git@gitee.com:xingkong13/Calligraphy-Machine.git
xingkong13
Calligraphy-Machine
Calligraphy-Machine
master

搜索帮助