1 Star 0 Fork 262

wzssyqa/kernel

forked from src-openEuler/kernel 
加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
kabi_whitelist_aarch64 29.59 KB
一键复制 编辑 原始数据 按行查看 历史
仉鹏 提交于 2024-07-23 14:26 . Update kabi whitelist
123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647
acpi_evaluate_integer
acpi_evaluate_object
acpi_gbl_FADT
acpi_get_handle
acpi_get_next_object
acpi_install_notify_handler
acpi_remove_notify_handler
acpi_walk_namespace
address_space_init_once
add_timer
add_uevent_var
alloc_chrdev_region
alloc_cpu_rmap
alloc_etherdev_mqs
alloc_netdev_mqs
__alloc_pages
alloc_pages
__alloc_percpu
__alloc_skb
alloc_workqueue
alt_cb_patch_nops
anon_inode_getfile
__arch_clear_user
__arch_copy_from_user
__arch_copy_from_user
__arch_copy_to_user
__arch_copy_to_user
arch_touch_nmi_watchdog
argv_free
argv_split
arm64_use_ng_mappings
arp_tbl
asn1_ber_decoder
atomic_notifier_call_chain
atomic_notifier_chain_register
atomic_notifier_chain_unregister
__auxiliary_device_add
auxiliary_device_init
__auxiliary_driver_register
auxiliary_driver_unregister
_bin2bcd
bin2hex
__bitmap_and
__bitmap_andnot
__bitmap_clear
bitmap_find_free_region
bitmap_find_next_zero_area_off
bitmap_free
bitmap_from_arr32
__bitmap_intersects
__bitmap_or
bitmap_parselist
bitmap_print_to_pagebuf
__bitmap_set
__bitmap_subset
__bitmap_weight
__bitmap_xor
bitmap_zalloc
bitmap_zalloc_node
blk_mq_destroy_queue
blk_mq_map_queues
blk_mq_pci_map_queues
blk_mq_tagset_busy_iter
blk_mq_unique_tag
blk_put_queue
blk_queue_max_hw_sectors
blk_queue_rq_timeout
blk_queue_update_dma_alignment
blk_queue_virt_boundary
blocking_notifier_call_chain
blocking_notifier_chain_register
blocking_notifier_chain_unregister
bpf_dispatcher_xdp_func
bpf_master_redirect_enabled_key
bpf_prog_add
bpf_prog_inc
bpf_prog_put
bpf_stats_enabled_key
bpf_trace_run1
bpf_trace_run2
bpf_trace_run3
bpf_trace_run4
bpf_trace_run5
bpf_trace_run6
bpf_trace_run7
bpf_trace_run9
bpf_warn_invalid_xdp_action
bsg_job_done
bsg_setup_queue
bsg_unregister_queue
build_skb
bus_find_device
cache_line_size
call_netdevice_notifiers
call_rcu
call_switchdev_notifiers
cancel_delayed_work
cancel_delayed_work_sync
cancel_work
cancel_work_sync
can_do_mlock
capable
cdev_add
cdev_del
cdev_device_add
cdev_device_del
cdev_init
__check_object_size
class_create
class_create_file_ns
class_destroy
class_register
class_unregister
cleanup_srcu_struct
clock_t_to_jiffies
close_fd
compat_ptr_ioctl
complete
complete_all
__cond_resched
console_lock
console_unlock
__const_udelay
consume_skb
__copy_overflow
cpu_all_bits
cpu_bit_bitmap
cpufreq_get
__cpuhp_remove_state
__cpuhp_setup_state
__cpuhp_state_add_instance
__cpuhp_state_remove_instance
cpumask_local_spread
cpumask_next_wrap
cpu_number
__cpu_online_mask
__cpu_possible_mask
__cpu_present_mask
cpu_topology
crc32c
crc32_le
crc_t10dif
crypto_aead_decrypt
crypto_aead_encrypt
crypto_aead_setauthsize
crypto_aead_setkey
crypto_alloc_aead
crypto_alloc_base
crypto_alloc_shash
crypto_authenc_extractkeys
crypto_cipher_decrypt_one
crypto_cipher_setkey
crypto_dequeue_request
crypto_destroy_tfm
crypto_enqueue_request
crypto_init_queue
crypto_register_aeads
crypto_register_ahash
crypto_register_rng
crypto_req_done
crypto_shash_final
crypto_shash_finup
crypto_shash_tfm_digest
crypto_shash_update
crypto_unregister_aeads
crypto_unregister_ahash
crypto_unregister_rng
csum_ipv6_magic
csum_partial
csum_tcpudp_nofold
_ctype
current_work
dcb_getapp
dcb_ieee_delapp
dcb_ieee_getapp_mask
dcb_ieee_getapp_prio_dscp_mask_map
dcb_ieee_setapp
dcbnl_cee_notify
dcbnl_ieee_notify
dcb_setapp
debugfs_attr_read
debugfs_attr_write
debugfs_create_atomic_t
debugfs_create_dir
debugfs_create_file
debugfs_create_file_unsafe
debugfs_create_size_t
debugfs_create_u32
debugfs_create_u64
debugfs_create_u8
debugfs_create_ulong
debugfs_create_x32
debugfs_lookup
debugfs_remove
debug_locks
default_llseek
delayed_work_timer_fn
destroy_workqueue
dev_add_pack
dev_addr_add
dev_addr_del
dev_addr_mod
_dev_alert
dev_change_flags
dev_close
_dev_crit
dev_driver_string
_dev_err
__dev_get_by_index
dev_get_by_index
dev_get_by_index_rcu
__dev_get_by_name
dev_get_by_name
dev_get_iflink
dev_get_stats
device_add
device_create
device_create_file
device_del
device_destroy
device_initialize
device_remove_file
device_rename
device_set_wakeup_capable
device_set_wakeup_enable
_dev_info
dev_kfree_skb_any_reason
devl_assert_locked
devl_health_reporter_create
devl_health_reporter_destroy
devl_health_reporter_destroy
devlink_alloc_ns
devlink_flash_update_status_notify
devlink_flash_update_timeout_notify
devlink_fmsg_arr_pair_nest_end
devlink_fmsg_arr_pair_nest_start
devlink_fmsg_binary_pair_nest_end
devlink_fmsg_binary_pair_nest_start
devlink_fmsg_binary_pair_put
devlink_fmsg_binary_put
devlink_fmsg_bool_pair_put
devlink_fmsg_obj_nest_end
devlink_fmsg_obj_nest_start
devlink_fmsg_pair_nest_end
devlink_fmsg_pair_nest_start
devlink_fmsg_string_pair_put
devlink_fmsg_u32_pair_put
devlink_fmsg_u32_put
devlink_fmsg_u64_pair_put
devlink_fmsg_u8_pair_put
devlink_free
devlink_health_report
devlink_health_reporter_create
devlink_health_reporter_destroy
devlink_health_reporter_priv
devlink_health_reporter_recovery_done
devlink_health_reporter_state_update
devlink_info_board_serial_number_put
devlink_info_serial_number_put
devlink_info_version_fixed_put
devlink_info_version_running_put
devlink_info_version_stored_put
devlink_net
devlink_params_register
devlink_params_unregister
devlink_port_attrs_pci_pf_set
devlink_port_attrs_pci_sf_set
devlink_port_attrs_pci_vf_set
devlink_port_attrs_set
devlink_port_health_reporter_create
devlink_port_register_with_ops
devlink_port_type_clear
devlink_port_type_eth_set
devlink_port_unregister
devlink_priv
devlink_region_create
devlink_region_destroy
devlink_register
devlink_remote_reload_actions_performed
devlink_resource_occ_get_register
devlink_resource_occ_get_unregister
devlink_resource_register
devlink_resources_unregister
devlink_to_dev
devlink_trap_groups_register
devlink_trap_groups_unregister
devlink_trap_report
devlink_traps_register
devlink_traps_unregister
devlink_unregister
devl_lock
devl_param_driverinit_value_get
devl_param_driverinit_value_set
devl_params_register
devl_params_unregister
devl_port_health_reporter_create
devl_port_register_with_ops
devl_port_unregister
devl_rate_leaf_create
devl_rate_leaf_destroy
devl_rate_nodes_destroy
devl_resource_size_get
devl_trap_groups_register
devl_trap_groups_unregister
devl_traps_register
devl_traps_unregister
devl_unlock
__devm_add_action
dev_mc_add_excl
dev_mc_del
devm_free_irq
devm_hwmon_device_register_with_groups
devm_kasprintf
devm_kfree
devm_kmalloc
devm_kmemdup
devm_pci_remap_cfg_resource
devm_request_threaded_irq
_dev_notice
dev_open
_dev_printk
_dev_printk
dev_printk_emit
__dev_queue_xmit
__dev_remove_pack
dev_remove_pack
devres_add
__devres_alloc_node
devres_find
devres_free
dev_set_name
dev_uc_add
dev_uc_add_excl
dev_uc_del
_dev_warn
disable_irq
disable_irq_nosync
dma_alloc_attrs
dma_buf_attach
dma_buf_begin_cpu_access
dma_buf_detach
dma_buf_dynamic_attach
dma_buf_end_cpu_access
dma_buf_export
dma_buf_fd
dma_buf_get
dma_buf_map_attachment
dma_buf_mmap
dma_buf_pin
dma_buf_put
dma_buf_unmap_attachment
dma_buf_unpin
dma_buf_vmap
dma_buf_vunmap
dma_fence_add_callback
dma_fence_context_alloc
dma_fence_default_wait
dma_fence_enable_sw_signaling
dma_fence_get_status
dma_fence_init
dma_fence_release
dma_fence_signal
dma_fence_wait_timeout
dma_free_attrs
dmam_alloc_attrs
dma_map_page_attrs
dma_map_resource
dma_map_sg_attrs
dma_map_sgtable
dmam_free_coherent
dma_mmap_attrs
dma_pool_alloc
dma_pool_create
dma_pool_destroy
dma_pool_free
dma_resv_add_fence
dma_resv_get_fences
dma_resv_reserve_fences
dma_resv_wait_timeout
dma_set_coherent_mask
dma_set_mask
dma_sync_sg_for_device
dma_sync_single_for_cpu
dma_sync_single_for_device
dma_unmap_page_attrs
dma_unmap_resource
dma_unmap_sg_attrs
dmi_get_system_info
dmi_walk
do_trace_netlink_extack
down
downgrade_write
down_interruptible
down_read
down_read_trylock
down_timeout
down_trylock
down_write
down_write_trylock
d_path
dql_completed
dql_reset
driver_create_file
driver_remove_file
drm_compat_ioctl
___drm_dbg
drm_dev_alloc
drm_dev_put
drm_dev_register
drm_dev_unregister
__drm_err
drm_gem_object_free
drm_ioctl
drmm_mode_config_init
drm_mode_config_cleanup
drm_open
drm_poll
drm_read
drm_release
dst_release
dump_stack
__dynamic_dev_dbg
__dynamic_ibdev_dbg
__dynamic_netdev_dbg
__dynamic_pr_debug
efi
elfcorehdr_addr
emergency_restart
empty_zero_page
enable_irq
eth_get_headlen
eth_platform_get_mac_address
ethtool_convert_legacy_u32_to_link_mode
ethtool_convert_link_mode_to_legacy_u32
__ethtool_get_link_ksettings
ethtool_intersect_link_masks
ethtool_op_get_link
ethtool_op_get_ts_info
ethtool_rx_flow_rule_create
ethtool_rx_flow_rule_destroy
eth_type_trans
eth_validate_addr
eventfd_ctx_fdget
eventfd_ctx_put
eventfd_signal
event_triggers_call
fasync_helper
fc_attach_transport
fc_block_scsi_eh
fc_disc_config
fc_disc_init
fc_eh_host_reset
fc_eh_timed_out
fc_elsct_init
fc_elsct_send
fc_exch_init
fc_exch_mgr_alloc
fc_exch_mgr_free
fc_exch_mgr_list_clone
fc_exch_recv
fc_fabric_login
fc_fabric_logoff
_fc_frame_alloc
fc_frame_alloc_fill
fc_get_event_number
fc_get_host_port_state
fc_get_host_speed
fc_get_host_stats
fc_host_fpin_rcv
fc_host_post_event
fc_host_post_vendor_event
fc_lport_bsg_request
fc_lport_config
fc_lport_destroy
fc_lport_flogi_resp
fc_lport_init
fc_lport_logo_resp
fc_lport_reset
fcoe_check_wait_queue
fcoe_clean_pending_queue
fcoe_ctlr_destroy
fcoe_ctlr_device_add
fcoe_ctlr_device_delete
fcoe_ctlr_els_send
fcoe_ctlr_init
fcoe_ctlr_link_down
fcoe_ctlr_link_up
fcoe_ctlr_recv
fcoe_fc_crc
fcoe_fcf_get_selected
__fcoe_get_lesb
fcoe_get_lesb
fcoe_get_paged_crc_eof
fcoe_link_speed_update
fcoe_queue_timer
fcoe_start_io
fcoe_transport_attach
fcoe_transport_detach
fcoe_wwn_from_mac
fcoe_wwn_to_str
fc_release_transport
fc_remote_port_add
fc_remote_port_delete
fc_remote_port_rolechg
fc_remove_host
fc_rport_login
fc_rport_logoff
fc_set_mfs
fc_set_rport_loss_tmo
fc_slave_alloc
fc_vport_create
fc_vport_id_lookup
fc_vport_setlink
fc_vport_terminate
__fdget
fd_install
fget
filp_close
filp_open
_find_first_bit
_find_first_zero_bit
find_get_pid
_find_last_bit
_find_next_andnot_bit
_find_next_bit
_find_next_zero_bit
find_pid_ns
find_vma
find_vpid
finish_wait
firmware_request_nowarn
flow_block_cb_lookup
flow_block_cb_setup_simple
flow_indr_block_cb_alloc
flow_indr_dev_register
flow_indr_dev_unregister
flow_keys_dissector
flow_resources_add
flow_resources_alloc
flow_rule_match_basic
flow_rule_match_control
flow_rule_match_cvlan
flow_rule_match_enc_control
flow_rule_match_enc_ip
flow_rule_match_enc_ipv4_addrs
flow_rule_match_enc_ipv6_addrs
flow_rule_match_enc_keyid
flow_rule_match_enc_opts
flow_rule_match_enc_ports
flow_rule_match_eth_addrs
flow_rule_match_icmp
flow_rule_match_ip
flow_rule_match_ipv4_addrs
flow_rule_match_ipv6_addrs
flow_rule_match_meta
flow_rule_match_mpls
flow_rule_match_ports
flow_rule_match_tcp
flow_rule_match_vlan
flush_delayed_work
flush_signals
flush_work
__flush_workqueue
__folio_put
follow_pfn
fortify_panic
fput
free_fib_info
free_irq
free_irq_cpu_rmap
free_netdev
__free_pages
free_pages
free_percpu
freezing_slow_path
full_name_hash
generate_random_uuid
genlmsg_put
genl_register_family
genl_unregister_family
gen_pool_add_owner
gen_pool_alloc_algo_owner
gen_pool_create
gen_pool_destroy
gen_pool_free_owner
get_cpu_idle_time
get_device
get_device_system_crosststamp
__get_free_pages
get_net_ns_by_fd
get_net_ns_by_pid
get_pid_task
get_random_bytes
get_random_u32
__get_random_u32_below
__get_task_comm
get_task_pid
get_unused_fd_flags
get_user_pages_fast
get_zeroed_page
gic_nonsecure_priorities
handle_sysrq
hmm_range_fault
hrtimer_cancel
hrtimer_forward
hrtimer_init
hrtimer_start_range_ns
hugetlb_optimize_vmemmap_key
__hw_addr_sync_dev
__hw_addr_unsync_dev
hwmon_device_register
hwmon_device_register_with_groups
hwmon_device_register_with_info
hwmon_device_unregister
hwmon_notify_event
i2c_add_adapter
i2c_del_adapter
__ib_alloc_cq
_ib_alloc_device
__ib_alloc_pd
ib_alloc_xrcd_user
ib_attach_mcast
__ib_create_cq
ib_create_qp_kernel
ib_create_qp_user
ib_create_srq_user
ib_dealloc_device
ib_dealloc_pd_user
ib_dealloc_xrcd_user
ib_dereg_mr_user
ib_destroy_cq_user
ib_destroy_qp_user
ib_destroy_srq_user
ib_destroy_wq_user
ib_detach_mcast
ibdev_warn
ib_dispatch_event
ib_dma_virt_map_sg
ib_find_cached_pkey
ib_free_cq
ib_modify_qp
ib_modify_qp_is_ok
ib_modify_qp_with_udata
ib_open_qp
ib_port_immutable_read
ib_process_cq_direct
ib_qp_usecnt_inc
ib_query_pkey
ib_query_port
ib_query_qp
ib_query_srq
ib_rdmacg_try_charge
ib_rdmacg_uncharge
ib_register_client
ib_register_device
ib_register_event_handler
ib_set_client_data
ib_set_device_ops
ib_sg_to_pages
ib_ud_header_init
ib_ud_header_pack
ib_ud_ip4_csum
ib_umem_copy_from
ib_umem_dmabuf_get
ib_umem_dmabuf_get_pinned
ib_umem_dmabuf_map_pages
ib_umem_dmabuf_unmap_pages
ib_umem_find_best_pgsz
ib_umem_get
ib_umem_odp_alloc_child
ib_umem_odp_alloc_implicit
ib_umem_odp_get
ib_umem_odp_map_dma_and_lock
ib_umem_odp_release
ib_umem_odp_unmap_dma_pages
ib_umem_release
ib_unregister_client
ib_unregister_device
ib_unregister_event_handler
ib_uverbs_flow_resources_free
ib_uverbs_get_ucontext_file
ib_wc_status_msg
ida_alloc_range
ida_destroy
ida_free
idr_alloc
idr_alloc_u32
idr_destroy
idr_find
idr_for_each
idr_get_next_ul
idr_preload
idr_remove
idr_replace
igrab
in6_dev_finish_destroy
in_egroup_p
__inet6_lookup_established
__inet_lookup_established
init_net
init_on_alloc
init_pid_ns
__init_rwsem
init_srcu_struct
__init_swait_queue_head
init_timer_key
init_uts_ns
init_wait_entry
__init_waitqueue_head
int_pow
int_to_scsilun
iomem_resource
iommu_dev_disable_feature
iommu_dev_enable_feature
iommu_get_domain_for_dev
iommu_get_group_resv_regions
iommu_iova_to_phys
iommu_present
ioport_resource
__ioread32_copy
ioremap_prot
ioremap_prot
iounmap
iov_iter_kvec
__iowrite32_copy
__iowrite64_copy
ip6_dst_hoplimit
ip6_route_output_flags
ip_compute_csum
__ip_dev_find
ip_route_output_flow
ip_send_check
ip_tos2prio
iput
__ipv6_addr_type
ipv6_chk_addr
ipv6_ext_hdr
ipv6_find_hdr
ipv6_skip_exthdr
ipv6_stub
__irq_apply_affinity_hint
irq_cpu_rmap_add
irq_cpu_rmap_remove
irq_get_irq_data
irq_modify_status
irq_poll_complete
irq_poll_disable
irq_poll_enable
irq_poll_init
irq_poll_sched
irq_set_affinity_notifier
is_acpi_device_node
is_vmalloc_addr
iterate_fd
jiffies
jiffies_to_msecs
jiffies_to_timespec64
jiffies_to_usecs
kasprintf
kernel_bind
kernel_read
kernel_write
__kfifo_alloc
__kfifo_free
__kfifo_in
__kfifo_out
__kfifo_to_user
kfree
kfree_const
kfree_skb_reason
kill_fasync
kill_pid
kimage_voffset
__kmalloc
kmalloc_caches
kmalloc_large
__kmalloc_node
kmalloc_node_trace
kmalloc_node_trace
kmalloc_trace
kmem_cache_alloc
kmem_cache_create
kmem_cache_destroy
kmem_cache_free
kmemdup
kobject_add
kobject_create_and_add
kobject_del
kobject_init
kobject_init_and_add
kobject_put
kobject_uevent
krealloc
kstrdup
kstrdup_const
kstrtobool
kstrtoint
kstrtoll
kstrtou16
kstrtou8
kstrtouint
kstrtouint_from_user
kstrtoull
kthread_bind
kthread_cancel_delayed_work_sync
kthread_cancel_work_sync
kthread_complete_and_exit
kthread_create_on_node
kthread_create_worker
kthread_delayed_work_timer_fn
kthread_destroy_worker
kthread_freezable_should_stop
kthread_queue_delayed_work
kthread_queue_work
kthread_should_stop
kthread_stop
ktime_add_safe
ktime_get
ktime_get_raw_ts64
ktime_get_real_seconds
ktime_get_real_ts64
ktime_get_seconds
ktime_get_ts64
ktime_get_with_offset
kvfree
kvfree_call_rcu
kvmalloc_node
libfc_vport_create
__list_add_valid_or_report
__list_del_entry_valid_or_report
llist_add_batch
__local_bh_enable_ip
logic_inb
logic_inl
logic_inw
logic_outb
logic_outl
logic_outw
mdio_mii_ioctl
memchr_inv
memcmp
memcpy
__memcpy_fromio
__memcpy_toio
memdup_user
memmove
mempool_alloc
mempool_create
mempool_destroy
mempool_free
mempool_kfree
mempool_kmalloc
mem_section
memset
__memset_io
memstart_addr
metadata_dst_alloc
metadata_dst_free
misc_deregister
misc_register
__mmap_lock_do_trace_acquire_returned
__mmap_lock_do_trace_released
__mmap_lock_do_trace_start_locking
__mmdrop
mmput
mmput_async
mmu_interval_notifier_insert
mmu_interval_notifier_remove
mmu_interval_read_begin
mmu_notifier_synchronize
mod_delayed_work_on
mod_timer
module_layout
module_put
mpi_alloc
mpi_free
mpi_get_buffer
mpi_powm
mpi_read_raw_data
__msecs_to_jiffies
msleep
msleep_interruptible
mul_u64_u64_div_u64
__mutex_init
mutex_is_locked
mutex_lock
mutex_lock_interruptible
mutex_trylock
mutex_unlock
__napi_alloc_frag_align
__napi_alloc_skb
napi_build_skb
napi_complete_done
napi_consume_skb
napi_disable
napi_enable
napi_get_frags
napi_gro_flush
napi_gro_frags
napi_gro_receive
__napi_schedule
__napi_schedule_irqoff
napi_schedule_prep
native_queued_spin_lock_slowpath
__ndelay
ndo_dflt_bridge_getlink
ndo_dflt_fdb_add
__neigh_create
neigh_destroy
__neigh_event_send
neigh_lookup
__netdev_alloc_frag_align
__netdev_alloc_skb
netdev_bind_sb_channel_queue
netdev_crit
netdev_err
netdev_features_change
netdev_get_xmit_slave
netdev_has_upper_dev_all_rcu
netdev_info
netdev_lower_get_next
netdev_lower_get_next_private
netdev_lower_get_next_private_rcu
netdev_master_upper_dev_get
netdev_master_upper_dev_get_rcu
netdev_notice
netdev_pick_tx
netdev_port_same_parent_id
netdev_printk
netdev_reset_tc
netdev_rss_key_fill
netdev_set_num_tc
netdev_set_sb_channel
netdev_set_tc_queue
netdev_state_change
netdev_stats_to_stats64
netdev_unbind_sb_channel
netdev_update_features
netdev_walk_all_lower_dev_rcu
netdev_walk_all_upper_dev_rcu
netdev_warn
net_dim
net_dim_get_def_rx_moderation
net_dim_get_def_tx_moderation
net_dim_get_rx_moderation
net_dim_get_tx_moderation
netif_carrier_event
netif_carrier_off
netif_carrier_on
netif_device_attach
netif_device_detach
netif_get_num_default_rss_queues
netif_napi_add_weight
__netif_napi_del
netif_receive_skb
netif_rx
netif_schedule_queue
netif_set_real_num_rx_queues
netif_set_real_num_tx_queues
netif_set_tso_max_size
netif_set_xps_queue
netif_tx_stop_all_queues
netif_tx_wake_queue
netlink_ack
netlink_broadcast
netlink_capable
__netlink_dump_start
netlink_has_listeners
__netlink_kernel_create
netlink_kernel_release
netlink_ns_capable
netlink_unicast
net_namespace_list
net_ns_type_operations
net_ratelimit
net_rwsem
nla_find
__nla_parse
nla_put
nla_put_64bit
nla_strscpy
__nlmsg_put
node_data
node_states
node_to_cpumask_map
nonseekable_open
noop_llseek
nr_cpu_ids
ns_to_timespec64
numa_node
__num_online_cpus
nvme_fc_rcv_ls_req
nvme_fc_register_localport
nvme_fc_register_remoteport
nvme_fc_rescan_remoteport
nvme_fc_set_remoteport_devloss
nvme_fc_unregister_localport
nvme_fc_unregister_remoteport
nvmet_fc_invalidate_host
nvmet_fc_rcv_fcp_abort
nvmet_fc_rcv_fcp_req
nvmet_fc_rcv_ls_req
nvmet_fc_register_targetport
nvmet_fc_unregister_targetport
on_each_cpu_cond_mask
__page_frag_cache_drain
page_frag_free
page_pool_alloc_pages
page_pool_create
page_pool_destroy
page_pool_put_defragged_page
page_pool_put_defragged_page
page_pool_update_nid
panic
param_array_ops
param_get_byte
param_get_uint
param_ops_bool
param_ops_byte
param_ops_charp
param_ops_int
param_ops_uint
param_ops_ullong
param_ops_ulong
param_ops_ushort
param_set_int
pci_aer_clear_nonfatal_status
pci_alloc_irq_vectors
pci_alloc_irq_vectors_affinity
pcibios_resource_to_bus
pci_bridge_secondary_bus_reset
pci_bus_type
pci_cfg_access_lock
pci_cfg_access_unlock
pci_choose_state
pci_clear_master
pci_dev_driver
pci_dev_get
pci_device_is_present
pci_dev_present
pci_dev_put
pci_disable_device
pci_disable_link_state
pci_disable_msi
pci_disable_msix
pci_disable_sriov
pcie_bandwidth_available
pcie_capability_clear_and_set_word_locked
pcie_capability_clear_and_set_word_unlocked
pcie_capability_read_dword
pcie_capability_read_word
pcie_capability_write_word
pcie_flr
pci_enable_atomic_ops_to_root
pci_enable_device
pci_enable_device_mem
pci_enable_msi
pci_enable_msix_range
pci_enable_sriov
pci_enable_wake
pcie_print_link_status
pcie_relaxed_ordering_enabled
pcie_set_readrq
pci_find_bus
pci_find_capability
pci_find_ext_capability
pci_find_next_ext_capability
pci_free_irq
pci_free_irq_vectors
pci_get_class
pci_get_device
pci_get_domain_bus_and_slot
pci_get_dsn
pci_get_slot
pci_intx
pci_iomap
pci_ioremap_bar
pci_iounmap
pci_iov_get_pf_drvdata
pci_iov_vf_id
pci_irq_get_affinity
pci_irq_vector
pcim_enable_device
pcim_iomap
pcim_iounmap
pci_msi_vec_count
pci_msix_alloc_irq_at
pci_msix_can_alloc_dyn
pci_msix_free_irq
pci_msix_vec_count
pci_num_vf
pci_prepare_to_sleep
pci_probe_reset_bus
pci_read_config_byte
pci_read_config_dword
pci_read_config_word
pci_read_vpd
__pci_register_driver
pci_release_region
pci_release_regions
pci_release_selected_regions
pci_request_irq
pci_request_region
pci_request_regions
pci_request_selected_regions
pci_reset_bus
pci_restore_msi_state
pci_restore_state
pci_save_state
pci_select_bars
pci_set_master
pci_set_power_state
pci_sriov_get_totalvfs
pci_sriov_set_totalvfs
pci_stop_and_remove_bus_device
pci_try_set_mwi
pci_unregister_driver
pci_vfs_assigned
pci_vpd_find_id_string
pci_wait_for_pending_transaction
pci_wake_from_d3
pci_walk_bus
pci_write_config_byte
pci_write_config_dword
pci_write_config_word
__per_cpu_offset
perf_trace_buf_alloc
perf_trace_run_bpf_submit
phys_mem_access_prot
pid_task
pin_user_pages
pin_user_pages_fast
platform_device_register_full
platform_device_unregister
platform_driver_unregister
platform_get_resource_byname
pldmfw_flash_image
pldmfw_op_pci_match_record
__pm_runtime_resume
pm_schedule_suspend
pm_vt_switch_required
pm_vt_switch_unregister
prepare_to_wait
prepare_to_wait_event
print_hex_dump
_printk
__printk_ratelimit
priv_to_devlink
proc_create
proc_create_data
proc_mkdir
proc_mkdir_mode
proc_remove
psample_sample_packet
__pskb_copy_fclone
pskb_expand_head
__pskb_pull_tail
___pskb_trim
ptp_classify_raw
ptp_clock_event
ptp_clock_index
ptp_clock_register
ptp_clock_unregister
ptp_find_pin
ptp_parse_header
ptp_schedule_worker
put_device
__put_net
put_pid
__put_task_struct
put_unused_fd
qdisc_reset
qed_get_eth_ops
qed_put_eth_ops
queue_delayed_work_on
queued_read_lock_slowpath
queued_write_lock_slowpath
queue_work_on
radix_tree_delete
radix_tree_insert
radix_tree_iter_delete
radix_tree_lookup
radix_tree_lookup_slot
radix_tree_next_chunk
radix_tree_preload
___ratelimit
rb_erase
__rb_erase_color
rb_first
__rb_insert_augmented
rb_insert_color
rb_last
rb_next
rb_prev
rcu_barrier
rcu_is_watching
rcu_read_unlock_strict
rdma_alloc_hw_stats_struct
__rdma_block_iter_next
__rdma_block_iter_start
rdmacg_register_device
rdmacg_try_charge
rdmacg_uncharge
rdmacg_unregister_device
rdma_create_user_ah
rdma_destroy_ah_user
rdma_dev_access_netns
rdma_dim
rdma_get_gid_attr
rdma_nl_put_driver_string
rdma_nl_stat_hwcounter_entry
rdma_port_get_link_layer
rdma_put_gid_attr
rdma_query_gid_table
rdma_read_gid_attr_ndev_rcu
rdma_read_gid_l2_fields
rdma_restrack_add
rdma_restrack_del
rdma_restrack_new
rdma_restrack_put
rdma_restrack_set_name
rdma_roce_rescan_device
rdma_set_cq_moderation
rdma_umap_priv_init
rdma_user_mmap_entry_get_pgoff
rdma_user_mmap_entry_insert_range
rdma_user_mmap_entry_put
rdma_user_mmap_entry_remove
rdma_user_mmap_io
recalc_sigpending
refcount_dec_and_mutex_lock
refcount_dec_if_one
refcount_warn_saturate
register_acpi_notifier
register_blocking_lsm_notifier
__register_chrdev
register_chrdev_region
register_fib_notifier
register_inet6addr_notifier
register_inetaddr_notifier
register_kprobe
register_netdev
register_netdevice_notifier
register_netdevice_notifier_dev_net
register_netdevice_notifier_net
register_netevent_notifier
register_pernet_device
register_shrinker
register_switchdev_blocking_notifier
register_switchdev_notifier
release_firmware
__release_region
remap_pfn_range
remove_proc_entry
request_firmware
request_firmware_direct
request_firmware_nowait
__request_module
__request_region
request_threaded_irq
reset_devices
rhashtable_destroy
rhashtable_free_and_destroy
rhashtable_init
rhashtable_insert_slow
rhashtable_walk_enter
rhashtable_walk_exit
rhashtable_walk_next
rhashtable_walk_start_check
rhashtable_walk_stop
rhltable_init
__rht_bucket_nested
rht_bucket_nested
rht_bucket_nested_insert
round_jiffies
rps_may_expire_flow
rtc_time64_to_tm
rtnl_is_locked
rtnl_lock
rtnl_trylock
rtnl_unlock
sas_attach_transport
sas_end_device_alloc
sas_expander_alloc
sas_phy_add
sas_phy_alloc
sas_phy_delete
sas_port_add
sas_port_add_phy
sas_port_alloc_num
sas_port_delete
sas_port_delete_phy
sas_port_free
sas_release_transport
sas_rphy_add
sas_rphy_free
scatterwalk_ffwd
sched_clock
sched_numa_hop_mask
schedule
schedule_timeout
schedule_timeout_interruptible
schedule_timeout_uninterruptible
scmd_printk
scnprintf
scsi_add_device
scsi_add_host_with_dma
scsi_block_requests
scsi_build_sense_buffer
scsi_change_queue_depth
scsi_device_lookup
scsi_device_put
scsi_device_type
scsi_dma_map
scsi_dma_unmap
scsi_host_alloc
scsi_host_busy
scsi_host_get
scsi_host_put
scsi_is_fc_rport
scsi_is_host_device
__scsi_iterate_devices
scsilun_to_int
scsi_normalize_sense
scsi_print_command
scsi_remove_device
scsi_remove_host
scsi_rescan_device
scsi_scan_host
scsi_unblock_requests
sdev_prefix_printk
secpath_set
security_ib_alloc_security
security_ib_endport_manage_subnet
security_ib_free_security
security_ib_pkey_access
send_sig_info
seq_list_next
seq_list_start
seq_lseek
seq_open
seq_printf
seq_puts
seq_read
seq_read_iter
seq_release
seq_vprintf
seq_write
set_freezable
set_normalized_timespec64
set_page_dirty
set_page_dirty_lock
set_user_nice
sg_alloc_append_table_from_pages
sg_alloc_table
sg_alloc_table_from_pages_segment
sg_alloc_table_from_pages_segment
sg_copy_from_buffer
sg_copy_to_buffer
sg_free_append_table
sg_free_table
sg_init_one
sg_miter_next
sg_miter_start
sg_miter_stop
sg_nents
sg_nents_for_len
sg_next
sg_pcopy_to_buffer
sha256_zero_message_hash
sha384_zero_message_hash
sha512_zero_message_hash
show_class_attr_string
si_meminfo
simple_attr_open
simple_attr_release
simple_open
simple_read_from_buffer
simple_strtol
simple_strtoul
simple_write_to_buffer
single_open
single_release
skb_add_rx_frag
skb_checksum
skb_checksum_help
skb_clone
skb_clone_tx_timestamp
skb_copy
skb_copy_bits
__skb_flow_dissect
__skb_pad
skb_pull
skb_push
skb_put
skb_recv_datagram
skb_store_bits
skb_trim
skb_tstamp_tx
skb_vlan_pop
sm3_zero_message_hash
snprintf
sock_create_kern
sock_gen_put
sock_release
softnet_data
sort
split_page
sprintf
srcu_init_notifier_head
srcu_notifier_call_chain
srcu_notifier_chain_register
srcu_notifier_chain_unregister
__srcu_read_lock
__srcu_read_unlock
sscanf
__stack_chk_fail
static_key_count
static_key_disable
static_key_enable
static_key_slow_dec
static_key_slow_inc
strcasecmp
strcat
strchr
strcmp
strcpy
strcspn
stream_open
strim
strlcat
strlcpy
strlen
strncat
strncmp
strncpy
strnlen
strnlen_user
strnstr
strrchr
strscpy
strscpy_pad
strsep
strspn
strstr
__sw_hweight32
__sw_hweight64
__sw_hweight8
sync_file_create
sync_file_get_fence
synchronize_irq
synchronize_net
synchronize_rcu
synchronize_srcu
sysfs_add_file_to_group
sysfs_create_bin_file
sysfs_create_file_ns
sysfs_create_group
sysfs_create_groups
sysfs_emit
sysfs_remove_bin_file
sysfs_remove_file_ns
sysfs_remove_group
sysfs_remove_groups
sysfs_streq
sysrq_mask
system_cpucaps
system_state
system_unbound_wq
system_wq
sys_tz
task_active_pid_ns
__tasklet_hi_schedule
tasklet_init
tasklet_kill
__tasklet_schedule
tasklet_setup
tasklet_unlock_wait
__task_pid_nr_ns
tcp_gro_complete
tcp_hashinfo
time64_to_tm
timecounter_cyc2time
timecounter_init
timecounter_read
timer_delete_sync
tls_get_record
tls_validate_xmit_skb
touch_softlockup_watchdog
trace_event_buffer_commit
trace_event_buffer_reserve
trace_event_ignore_this_pid
trace_event_printf
trace_event_raw_init
trace_event_reg
trace_handle_return
__traceiter_xdp_exception
__tracepoint_mmap_lock_acquire_returned
__tracepoint_mmap_lock_released
__tracepoint_mmap_lock_start_locking
__tracepoint_xdp_exception
trace_print_flags_seq
trace_print_symbols_seq
trace_raw_output_prep
trace_seq_printf
trace_seq_putc
trace_set_clr_event
__trace_trigger_soft_disabled
try_module_get
tty_flip_buffer_push
tty_termios_baud_rate
tty_termios_encode_baud_rate
uart_add_one_port
uart_get_baud_rate
uart_register_driver
uart_remove_one_port
uart_try_toggle_sysrq
uart_unregister_driver
uart_update_timeout
uart_write_wakeup
__udelay
udp4_hwcsum
udp_gro_complete
udp_tunnel_nic_ops
unmap_mapping_range
unpin_user_page
unpin_user_page_range_dirty_lock
unpin_user_pages_dirty_lock
unregister_acpi_notifier
unregister_blocking_lsm_notifier
__unregister_chrdev
unregister_chrdev_region
unregister_fib_notifier
unregister_inet6addr_notifier
unregister_inetaddr_notifier
unregister_kprobe
unregister_netdev
unregister_netdevice_notifier
unregister_netdevice_notifier_dev_net
unregister_netdevice_notifier_net
unregister_netevent_notifier
unregister_pernet_device
unregister_shrinker
unregister_switchdev_blocking_notifier
unregister_switchdev_notifier
up
up_read
up_write
__usecs_to_jiffies
usleep_range_state
uuid_null
_uverbs_alloc
uverbs_copy_to
uverbs_copy_to_struct_or_zero
uverbs_destroy_def_handler
uverbs_fd_class
uverbs_finalize_uobj_create
_uverbs_get_const_signed
_uverbs_get_const_unsigned
uverbs_get_flags32
uverbs_idr_class
uverbs_uobject_fd_release
uverbs_uobject_put
vfree
vga_set_legacy_decoding
vlan_dev_real_dev
vlan_dev_vlan_id
vlan_dev_vlan_proto
__vmalloc
vmalloc
vmalloc_node
vmalloc_to_page
vmap
vmf_insert_mixed
vmf_insert_pfn_prot
vm_get_page_prot
vm_insert_page
vm_map_ram
vm_mmap
vm_munmap
vm_unmap_ram
vprintk
vscnprintf
vsnprintf
vsprintf
vunmap
vzalloc
vzalloc_node
wait_for_completion
wait_for_completion_interruptible
wait_for_completion_interruptible_timeout
wait_for_completion_io_timeout
wait_for_completion_timeout
wait_for_random_bytes
__wake_up
wake_up_process
__wake_up_sync
__warn_printk
work_busy
ww_mutex_lock
ww_mutex_lock_interruptible
ww_mutex_unlock
__xa_alloc
__xa_alloc_cyclic
xa_clear_mark
__xa_cmpxchg
xa_destroy
__xa_erase
xa_erase
xa_find
xa_find_after
xa_get_mark
__xa_insert
xa_load
xa_set_mark
xas_find
xas_find_marked
xas_load
xas_nomem
xas_store
__xa_store
xa_store
xdp_convert_zc_to_xdp_frame
xdp_do_flush
xdp_do_redirect
xdp_flush_frame_bulk
xdp_master_redirect
xdp_return_frame
xdp_return_frame_bulk
xdp_return_frame_rx_napi
xdp_rxq_info_is_reg
__xdp_rxq_info_reg
xdp_rxq_info_reg_mem_model
xdp_rxq_info_unreg
xdp_rxq_info_unreg_mem_model
xdp_rxq_info_unused
xdp_set_features_flag
xdp_warn
xfrm_state_check_expire
xz_dec_end
xz_dec_init
xz_dec_run
zap_vma_ptes
zlib_inflate
zlib_inflateEnd
zlib_inflateInit2
zlib_inflate_workspacesize
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/wzssyqa/kernel.git
git@gitee.com:wzssyqa/kernel.git
wzssyqa
kernel
kernel
master

搜索帮助

23e8dbc6 1850385 7e0993f3 1850385