代码拉取完成,页面将自动刷新
/** \file
* Demo the pulsing LED on the upduino v2
*
* Note that the LED pins are inverted, so 0 is on
*/
`include "util.v"
module top(
output led_r,
output led_g,
output led_b
);
wire clk_48;
SB_HFOSC u_hfosc (
.CLKHFPU(1'b1),
.CLKHFEN(1'b1),
.CLKHF(clk_48)
);
reg [31:0] counter;
always @(posedge clk_48)
counter <= counter + 1;
wire [7:0] bright_r;
wire [7:0] bright_b;
always @(*)
if (counter[28]) begin
bright_r = counter[27:20];
bright_b = 255 - counter[27:20];
end else begin
bright_r = 255 - counter[27:20];
bright_b = counter[27:20];
end
assign led_g = 1;
pwm pwm_r(
.clk(clk_48),
.bright(bright_r),
.out(led_r)
);
pwm pwm_b(
.clk(clk_48),
.bright(bright_b),
.out(led_b)
);
endmodule
此处可能存在不合适展示的内容,页面不予展示。您可通过相关编辑功能自查并修改。
如您确认内容无涉及 不当用语 / 纯广告导流 / 暴力 / 低俗色情 / 侵权 / 盗版 / 虚假 / 无价值内容或违法国家有关法律法规的内容,可点击提交进行申诉,我们将尽快为您处理。