1 Star 0 Fork 5

fb1103/basic_verilog

forked from yhp/basic_verilog 
加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
reverse_bytes.sv 1.21 KB
一键复制 编辑 原始数据 按行查看 历史
//------------------------------------------------------------------------------
// reverse_bytes.sv
// Konstantin Pavlov, pavlovconst@gmail.com
//------------------------------------------------------------------------------
// INFO ------------------------------------------------------------------------
// "Physically" reverses bytes order within multi-byte array
// Thus in[15] signal becomes out[7], in[0] becomes out[8] and vise-versa
// Module could be used to convert big-endian data to little-endian
// Module is no doubt synthesizable, but its instance does NOT occupy any FPGA resources!
/* --- INSTANTIATION TEMPLATE BEGIN ---
reverse_bytes #(
.BYTES( 2 )
) RV1 (
.in( smth[15:0] ),
.out( htms[15:0] ) // reversed byte order
);
--- INSTANTIATION TEMPLATE END ---*/
module reverse_bytes #( parameter
BYTES = 8
)(
input [(BYTES*8-1):0] in,
output logic [(BYTES*8-1):0] out
);
logic [BYTES-1:0][7:0] byte_data;
assign byte_data = in;
logic [BYTES-1:0][7:0] rev_byte_data;
genvar i;
generate
for (i = 0; i < BYTES ; i++) begin : gen_reverse
always_comb begin
rev_byte_data[i] = byte_data[(BYTES-1)-i];
end // always_comb
end // for
endgenerate
assign out = rev_byte_data;
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/fb1103/basic_verilog.git
git@gitee.com:fb1103/basic_verilog.git
fb1103
basic_verilog
basic_verilog
master

搜索帮助