代码拉取完成,页面将自动刷新
同步操作将从 寇小凯/VHDL Coding 强制同步,此操作会覆盖自 Fork 仓库以来所做的任何修改,且无法恢复!!!
确定后同步将在后台操作,完成时将刷新页面,请耐心等待。
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ram is
port (
addr:in std_logic_vector(4 downto 0);
wr:in std_logic;
rd:in std_logic;
cs:in std_logic;
datain:in std_logic_vector(7 downto 0);
dataout:out std_logic_vector(7 downto 0));
end ram;
architecture one of ram is
type memory is array(0 to 31)of std_logic_vector(7 downto 0);
signal data1:memory;
signal addr1:integer range 0 to 31;
begin
addr1<=conv_integer(addr);
process(wr,cs,addr1,data1,datain)
begin
if cs='0' and wr='1' then
data1(addr1)<=datain;
end if;
end process;
process(rd,cs,addr1,data1)
begin
if cs='0' and rd='1' then
dataout<=data1(addr1);
else
dataout<=(others=>'Z');
end if;
end process;
end one;
此处可能存在不合适展示的内容,页面不予展示。您可通过相关编辑功能自查并修改。
如您确认内容无涉及 不当用语 / 纯广告导流 / 暴力 / 低俗色情 / 侵权 / 盗版 / 虚假 / 无价值内容或违法国家有关法律法规的内容,可点击提交进行申诉,我们将尽快为您处理。