From 29ff7abe224b1349a7a7e75ddfe5f9411d259b81 Mon Sep 17 00:00:00 2001 From: hua-yi-123 <1342881632@qq.com> Date: Tue, 2 Nov 2021 22:26:09 +0800 Subject: [PATCH 1/3] hit good trip on j.s --- TEMU/mips_sc/src/include/asm/context.h | 200 ++++++++++++++++++ ...13\350\257\225\346\214\207\344\273\244.md" | 2 +- 2 files changed, 201 insertions(+), 1 deletion(-) create mode 100644 TEMU/mips_sc/src/include/asm/context.h diff --git a/TEMU/mips_sc/src/include/asm/context.h b/TEMU/mips_sc/src/include/asm/context.h new file mode 100644 index 0000000..58199f7 --- /dev/null +++ b/TEMU/mips_sc/src/include/asm/context.h @@ -0,0 +1,200 @@ +/************************************************************************** +* * +* PROJECT : MIPS port for uC/OS-II * +* * +* MODULE : CONTEXT.h * +* * +* AUTHOR : Michael Anburaj * +* URL : http://geocities.com/michaelanburaj/ * +* EMAIL: michaelanburaj@hotmail.com * +* * +* PROCESSOR : MIPS 4Kc (32 bit RISC) - ATLAS board * +* * +* TOOL-CHAIN : SDE & Cygnus * +* * +* DESCRIPTION : * +* Context switch macros. * +* * +**************************************************************************/ + + +#ifndef __CONTEXT_H__ +#define __CONTEXT_H__ + + +/* ********************************************************************* */ +/* Module configuration */ + + +/* ********************************************************************* */ +/* Interface macro & data definition */ + +#ifdef __ASSEMBLER__ + + +// push context: at, v0-v1,a0-a3,t0-t9,s0-s7,gp,fp,ra, & pc +#define STORE_REG_RET(Retaddr) \ + .set noat; \ + .set noreorder; \ + subu sp,120; \ + sw ra,0(sp); \ + sw fp,4(sp); \ + sw gp,8(sp); \ + sw t9,12(sp); \ + sw t8,16(sp); \ + sw s7,20(sp); \ + sw s6,24(sp); \ + sw s5,28(sp); \ + sw s4,32(sp); \ + sw s3,36(sp); \ + sw s2,40(sp); \ + sw s1,44(sp); \ + sw s0,48(sp); \ + sw t7,52(sp); \ + sw t6,56(sp); \ + sw t5,60(sp); \ + sw t4,64(sp); \ + sw t3,68(sp); \ + sw t2,72(sp); \ + sw t1,76(sp); \ + sw t0,80(sp); \ + sw a3,84(sp); \ + sw a2,88(sp); \ + sw a1,92(sp); \ + sw a0,96(sp); \ + sw v1,100(sp); \ + sw v0,104(sp); \ + sw AT,108(sp); \ + mfc0 t0,c0_status; \ + sw t0,112(sp); \ + sw Retaddr,116(sp); \ + .set at + +// pop context (normal execution): at, v0-v1,a0-a3,t0-t9,s0-s7,gp,fp,ra, & pc +#define RESTORE_REG_RET() \ + .set noat; \ + .set noreorder; \ + lw ra,0(sp); \ + lw fp,4(sp); \ + lw gp,8(sp); \ + lw t9,12(sp); \ + lw t8,16(sp); \ + lw s7,20(sp); \ + lw s6,24(sp); \ + lw s5,28(sp); \ + lw s4,32(sp); \ + lw s3,36(sp); \ + lw s2,40(sp); \ + lw s1,44(sp); \ + lw s0,48(sp); \ + lw t7,52(sp); \ + lw t6,56(sp); \ + lw t5,60(sp); \ + lw t4,64(sp); \ + lw t3,68(sp); \ + lw t2,72(sp); \ + lw t1,76(sp); \ + lw t0,80(sp); \ + lw a3,84(sp); \ + lw a2,88(sp); \ + lw a1,92(sp); \ + lw a0,96(sp); \ + lw v1,100(sp); \ + lw v0,104(sp); \ + lw AT,108(sp); \ + lw k0,112(sp); \ + mtc0 k0,c0_status; \ + lw k0,116(sp); \ + addu sp,120; \ + jr k0; \ + nop; \ + .set at + +// pop context: at, v0-v1,a0-a3,t0-t9,s0-s7,gp,fp,ra, & pc +#define RESTORE_REG_ERET() \ + .set noat; \ + .set noreorder; \ + lw ra,0(sp); \ + lw fp,4(sp); \ + lw gp,8(sp); \ + lw t9,12(sp); \ + lw t8,16(sp); \ + lw s7,20(sp); \ + lw s6,24(sp); \ + lw s5,28(sp); \ + lw s4,32(sp); \ + lw s3,36(sp); \ + lw s2,40(sp); \ + lw s1,44(sp); \ + lw s0,48(sp); \ + lw t7,52(sp); \ + lw t6,56(sp); \ + lw t5,60(sp); \ + lw t4,64(sp); \ + lw t3,68(sp); \ + lw t2,72(sp); \ + lw t1,76(sp); \ + lw t0,80(sp); \ + lw a3,84(sp); \ + lw a2,88(sp); \ + lw a1,92(sp); \ + lw a0,96(sp); \ + lw v1,100(sp); \ + lw v0,104(sp); \ + lw AT,108(sp); \ + lw k0,112(sp); \ + mtc0 k0,c0_status; \ + lw k0,116(sp); \ + mtc0 k0,c0_epc; \ + addu sp,120; \ + eret; \ + nop; \ + .set at + +#else +struct pt_regs { + int ra; + int fp; + int gp; + int t9; + int t8; + int s7; + int s6; + int s5; + int s4; + int s3; + int s2; + int s1; + int s0; + int t7; + int t6; + int t5; + int t4; + int t3; + int t2; + int t1; + int t0; + int a3; + int a2; + int a1; + int a0; + int v1; + int v0; + int AT; + int status; + int epc; +}; +#endif /* _ASSEMBLER_ */ + + +/* ********************************************************************* */ +/* Interface function definition */ + + +/* ********************************************************************* */ + +#ifdef __cplusplus +} +#endif + +#endif /*__CONTEXT_H__*/ \ No newline at end of file diff --git "a/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" "b/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" index 662e3d2..7562c57 100644 --- "a/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" +++ "b/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" @@ -36,7 +36,7 @@ | bltz | | | | bgezal | | | | bltzal | | | -| j | | | +| j | | 1 | | jal | for | 1 | | jr | for | 1 | | jalr | | | -- Gitee From 1db59041b986d0202714f1b909dbb8ac7e46cb13 Mon Sep 17 00:00:00 2001 From: hua-yi-123 <1342881632@qq.com> Date: Tue, 2 Nov 2021 23:09:08 +0800 Subject: [PATCH 2/3] bgezal.s --- .gitignore | 49 -- .../MiniMIPS32_SYS_tb_behav.wcfg | 379 ++++++++++ .../sim_1/behav/xsim/MiniMIPS32_SYS_tb.tcl | 11 + .../behav/xsim/MiniMIPS32_SYS_tb_behav.wdb | Bin 0 -> 214102 bytes .../behav/xsim/MiniMIPS32_SYS_tb_vlog.prj | 31 + .../sim_1/behav/xsim/add_inst.coe | 55 ++ .../sim_1/behav/xsim/and_inst.coe | 4 + .../sim_1/behav/xsim/compile.bat | 25 + .../sim_1/behav/xsim/compile.log | 10 + .../sim_1/behav/xsim/elaborate.bat | 24 + .../sim_1/behav/xsim/elaborate.log | 50 ++ .../MiniMIPS32.sim/sim_1/behav/xsim/glbl.v | 71 ++ .../sim_1/behav/xsim/inst_rom.mif | 53 ++ .../sim_1/behav/xsim/simulate.bat | 24 + .../sim_1/behav/xsim/simulate.log | 7 + .../sim_1/behav/xsim/webtalk.jou | 12 + .../sim_1/behav/xsim/webtalk.log | 13 + .../sim_1/behav/xsim/webtalk_12844.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_12844.backup.log | 13 + .../MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 7383 bytes .../Compile_Options.txt | 1 + .../TempBreakPointFile.txt | 1 + .../obj/xsim_0.win64.obj | Bin 0 -> 956334 bytes .../MiniMIPS32_SYS_tb_behav/obj/xsim_1.c | 680 ++++++++++++++++++ .../obj/xsim_1.win64.obj | Bin 0 -> 29943 bytes .../webtalk/.xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.wdm | 38 + .../webtalk/xsim_webtalk.tcl | 32 + .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg | Bin 0 -> 183744 bytes .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem | Bin 0 -> 62346 bytes .../MiniMIPS32_SYS_tb_behav/xsim.reloc | Bin 0 -> 52162 bytes .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.rlx | 12 + .../MiniMIPS32_SYS_tb_behav/xsim.rtti | Bin 0 -> 547 bytes .../MiniMIPS32_SYS_tb_behav/xsim.svtype | Bin 0 -> 147 bytes .../MiniMIPS32_SYS_tb_behav/xsim.type | Bin 0 -> 24 bytes .../MiniMIPS32_SYS_tb_behav/xsim.xdbg | Bin 0 -> 144096 bytes .../MiniMIPS32_SYS_tb_behav/xsimSettings.ini | 50 ++ .../MiniMIPS32_SYS_tb_behav/xsimcrash.log | 0 .../MiniMIPS32_SYS_tb_behav/xsimk.exe | Bin 0 -> 908644 bytes .../MiniMIPS32_SYS_tb_behav/xsimkernel.log | 7 + .../xil_defaultlib/@mini@m@i@p@s32.sdb | Bin 0 -> 15627 bytes .../xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb | Bin 0 -> 2322 bytes .../@mini@m@i@p@s32_@s@y@s_tb.sdb | Bin 0 -> 1369 bytes .../xsim/xsim.dir/xil_defaultlib/alu.sdb | Bin 0 -> 1678 bytes .../xsim/xsim.dir/xil_defaultlib/clkdiv.sdb | Bin 0 -> 1229 bytes .../xil_defaultlib/clkdiv_clk_wiz.sdb | Bin 0 -> 4913 bytes .../xsim/xsim.dir/xil_defaultlib/data_ram.sdb | Bin 0 -> 6908 bytes .../xsim/xsim.dir/xil_defaultlib/dcu.sdb | Bin 0 -> 30429 bytes .../xsim.dir/xil_defaultlib/exemem_reg.sdb | Bin 0 -> 3104 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 3779 bytes .../xsim/xsim.dir/xil_defaultlib/hilo.sdb | Bin 0 -> 1536 bytes .../xsim.dir/xil_defaultlib/idexe_reg.sdb | Bin 0 -> 3724 bytes .../xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb | Bin 0 -> 1518 bytes .../xsim/xsim.dir/xil_defaultlib/inst_rom.sdb | Bin 0 -> 6628 bytes .../xsim/xsim.dir/xil_defaultlib/mcu.sdb | Bin 0 -> 8011 bytes .../xsim.dir/xil_defaultlib/memwb_reg.sdb | Bin 0 -> 2647 bytes .../xsim/xsim.dir/xil_defaultlib/mux2.sdb | Bin 0 -> 959 bytes .../xsim/xsim.dir/xil_defaultlib/mux3.sdb | Bin 0 -> 1380 bytes .../xsim/xsim.dir/xil_defaultlib/mux4.sdb | Bin 0 -> 1479 bytes .../xsim/xsim.dir/xil_defaultlib/mux5.sdb | Bin 0 -> 1614 bytes .../xsim/xsim.dir/xil_defaultlib/pc_reg.sdb | Bin 0 -> 1523 bytes .../xsim/xsim.dir/xil_defaultlib/register.sdb | Bin 0 -> 4869 bytes .../xsim/xsim.dir/xil_defaultlib/scu.sdb | Bin 0 -> 1066 bytes .../xil_defaultlib/xil_defaultlib.rlx | 25 + .../MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini | 445 ++++++++++++ .../sim_1/behav/xsim/xsim.ini.bak | 445 ++++++++++++ .../MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log | 10 + .../MiniMIPS32.sim/sim_1/behav/xsim/xvlog.pb | Bin 0 -> 1822 bytes TEMU/build/temu | Bin 112704 -> 111272 bytes TEMU/inst.bin | Bin 844 -> 212 bytes TEMU/log.txt | 138 ++-- TEMU/mips_sc/build/add.o | Bin 0 -> 853 bytes TEMU/mips_sc/build/bltzal.o | Bin 0 -> 1080 bytes TEMU/mips_sc/build/convert | Bin 8824 -> 8920 bytes TEMU/mips_sc/build/jal.o | Bin 0 -> 1612 bytes TEMU/mips_sc/src/Makefile.testcase | 1 - TEMU/mips_sc/src/include/inst_def.h | 9 + TEMU/mips_sc/src/include/inst_test.h | 4 +- TEMU/mips_sc/src/inst/bgezal.S | 217 ++++++ TEMU/mips_sc/src/inst/bltzal.S | 202 +++++- TEMU/mips_sc/src/inst/jal.S | 201 +----- ...13\350\257\225\346\214\207\344\273\244.md" | 2 +- 82 files changed, 3030 insertions(+), 338 deletions(-) delete mode 100644 .gitignore create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb.tcl create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_behav.wdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_vlog.prj create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/add_inst.coe create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/and_inst.coe create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/glbl.v create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/inst_rom.mif create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.jou create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/Compile_Options.txt create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/TempBreakPointFile.txt create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_0.win64.obj create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.win64.obj create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/.xsim_webtallk.info create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/usage_statistics_ext_xsim.wdm create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.rlx create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.rtti create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.svtype create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.type create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.xdbg create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimcrash.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimkernel.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s_tb.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clkdiv.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clkdiv_clk_wiz.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dcu.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/exemem_reg.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/hilo.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/idexe_reg.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/inst_rom.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mcu.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/memwb_reg.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux2.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux3.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux4.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux5.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/register.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/scu.sdb create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 TEMU/mips_sc/build/add.o create mode 100644 TEMU/mips_sc/build/bltzal.o create mode 100644 TEMU/mips_sc/build/jal.o create mode 100644 TEMU/mips_sc/src/inst/bgezal.S diff --git a/.gitignore b/.gitignore deleted file mode 100644 index 43aa0b6..0000000 --- a/.gitignore +++ /dev/null @@ -1,49 +0,0 @@ -# Prerequisites -*.d - -# Compiled Object files -*.slo -*.lo -*.o -*.obj - -# Precompiled Headers -*.gch -*.pch - -# Compiled Dynamic libraries -*.so -*.dylib -*.dll - -# Fortran module files -*.mod -*.smod - -# Compiled Static libraries -*.lai -*.la -*.a -*.lib - -# Executables -*.exe -*.out -*.app -workspace.code-workspace -CPU_core/MiniMIPS32/MiniMIPS32.xpr -CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/gui_handlers.wdf -CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/java_command_handlers.wdf -CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/project.wpc -CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/webtalk_pa.xml -CPU_core/MiniMIPS32/MiniMIPS32.hw/MiniMIPS32.lpr -CPU_core/MiniMIPS32/MiniMIPS32.sim/* -# CPU_core/MiniMIPS32/MiniMIPS32.runs/* -# CPU_core/MiniMIPS32/MiniMIPS32.cache/* -TEMU/mips_sc/build -TEMU/build -TEMU/data.bin -TEMU/inst.bin -TEMU/mips_sc/src/Makefile.testcase/ -# CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/ -# CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/ \ No newline at end of file diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg b/CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg new file mode 100644 index 0000000..4b9b0b5 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg @@ -0,0 +1,379 @@ + + + + + + + + + + + + + + + + + + + + + + + + cpu_clk + cpu_clk + + + cpu_rst_n + cpu_rst_n + + + iaddr[31:0] + iaddr[31:0] + + + ice + ice + + + inst[31:0] + inst[31:0] + + + dout[31:0] + dout[31:0] + + + dce + dce + + + daddr[31:0] + daddr[31:0] + + + we[3:0] + we[3:0] + + + din[31:0] + din[31:0] + + + out_ice + out_ice + + + stall[3:0] + stall[3:0] + + + normal_addr[31:0] + normal_addr[31:0] + + + pc_addr[31:0] + pc_addr[31:0] + + + out_iaddr[31:0] + out_iaddr[31:0] + + + out_addr_4[31:0] + out_addr_4[31:0] + + + far_addr[31:0] + far_addr[31:0] + + + short_addr[31:0] + short_addr[31:0] + + + absolute_addr[31:0] + absolute_addr[31:0] + + + id_inst[31:0] + id_inst[31:0] + + + id_inst_i[31:0] + id_inst_i[31:0] + + + id_pc_4[31:0] + id_pc_4[31:0] + + + id_src1[31:0] + id_src1[31:0] + + + rs[4:0] + rs[4:0] + + + rt[4:0] + rt[4:0] + + + id_rd_before[4:0] + id_rd_before[4:0] + + + id_rd[4:0] + id_rd[4:0] + + + rd1[31:0] + rd1[31:0] + + + rd2[31:0] + rd2[31:0] + + + id_rd1[31:0] + id_rd1[31:0] + + + id_rd2[31:0] + id_rd2[31:0] + + + id_res[31:0] + id_res[31:0] + + + id_alutype[1:0] + id_alutype[1:0] + + + exe_alutype[1:0] + exe_alutype[1:0] + + + id_aluop[7:0] + id_aluop[7:0] + + + exe_aluop[7:0] + exe_aluop[7:0] + + + id_wreg + id_wreg + + + id_whilo + id_whilo + + + id_shift + id_shift + + + rtsel + rtsel + + + sext + sext + + + upper + upper + + + immsel + immsel + + + id_mreg + id_mreg + + + fwrd1 + fwrd1 + + + fwrd2 + fwrd2 + + + equ + equ + + + stallreq_id + stallreq_id + + + jal + jal + + + unsigned_imm[31:0] + unsigned_imm[31:0] + + + signed_imm[31:0] + signed_imm[31:0] + + + out_imm[31:0] + out_imm[31:0] + + + ans_imm[31:0] + ans_imm[31:0] + + + imm[15:0] + imm[15:0] + + + jtsel[1:0] + jtsel[1:0] + + + arithres[31:0] + arithres[31:0] + + + moveres[31:0] + moveres[31:0] + + + exe_res[31:0] + exe_res[31:0] + + + exe_src1[31:0] + exe_src1[31:0] + + + exe_src2[31:0] + exe_src2[31:0] + + + hi_o[31:0] + hi_o[31:0] + + + lo_o[31:0] + lo_o[31:0] + + + exe_rd2[31:0] + exe_rd2[31:0] + + + exe_pc_8[31:0] + exe_pc_8[31:0] + + + exe_mulres[63:0] + exe_mulres[63:0] + + + wb_mulres[63:0] + wb_mulres[63:0] + + + mulres[63:0] + mulres[63:0] + + + exe_divres[63:0] + exe_divres[63:0] + + + exe_rd[4:0] + exe_rd[4:0] + + + exe_whilo + exe_whilo + + + exe_wreg + exe_wreg + + + wb_whilo + wb_whilo + + + exe_mreg + exe_mreg + + + stallreq_exe + stallreq_exe + + + mem_whilo + mem_whilo + + + mem_wreg + mem_wreg + + + mem_mreg + mem_mreg + + + mem_rd[4:0] + mem_rd[4:0] + + + mem_res[31:0] + mem_res[31:0] + + + mem_rd2[31:0] + mem_rd2[31:0] + + + mem_mulres[63:0] + mem_mulres[63:0] + + + mem_aluop[7:0] + mem_aluop[7:0] + + + mem_dre[3:0] + mem_dre[3:0] + + + wb_mreg + wb_mreg + + + wb_wreg + wb_wreg + + + wb_rd[4:0] + wb_rd[4:0] + + + wb_dout[31:0] + wb_dout[31:0] + + + wb_res[31:0] + wb_res[31:0] + + + wd[31:0] + wd[31:0] + + diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb.tcl b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb.tcl new file mode 100644 index 0000000..4719c2d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1ms diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_behav.wdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..c1e7506db7999694319a8730958ec1e8feccf405 GIT binary patch literal 214102 zcmeF42YgjU_QxMq?AWnCz=Bvvc_~;)1EK+9LbW~8fM}X26f1VDAU1R@*wMASDt6Y2 z9eY>o*jCoHu)CK3?|1J^a^B?4NwOPvb^nJ9>x4n%`I_I9L*% zw*GH#O}-y!=AnU+NF}u6^K*AEm{wKS+)9QAmS1S@V@4RgxeqGDjZk#V#NvYJu)-qT zAmI+_#*Xsjx$vDPe;;Ai8E zmJw^!l&Z#nO%}m+<|^0@BG}tp7o=bnq=>UTQ$h)6d>p_rMd49+%+zHdfRQ#=*H%T= z!%4Cj_cd497!%5XF|r(OjLFKN-32tLU4Rx5xDw;H82?)1KX3dsO>FtbpK1IXjBlDq z$(S*P=8DiW>PVDXh8tkYNj9nc+~H_Uu?U;ex5)Y;aJQn8mb}s{vJ~xg_TIfBOHOSs zv#;zGnf+j|$igQ>ng0~dpY9czkLxIBBl0pxI$Fiyt4AVzO)m%%V*Yz@OB`$+iEJD8 zpvbm3rJQKe85B5d^ym~EhAdiPTpmIu=`9%70GM{RL2 z9qd!gLlwlw427;Yq)A~ogm5@HUSVR;G5_Z&47(ev^g4yfgpMQKy$Z7-bZolMLYPlF zmgPneb~e^88P?4^5Xo$ebEcIHn;D-9t$;{o6P&Z`$n=8*b%qEm!~VG`WFH6_mJj3G z5)9+Cj&*(^PFRMg!RN;%Jh+8oOEPPhum&#(+<;@gLB47hr`Hg zkegA-ylzhj(^u*+uOsYFhGATrK`I>P4TRlinEi0fKGEbbZz625VGh7?UkHYR*7OX< z`CE`D408yMw}4zA7?$PQIPZf4ruirc>w{yL%-4E2-`X%N2d4Q>hgl!z0}OKp^i1<& zhuHwx2x3rG&Wp;Cwg3%s0%d4znT7(+qPF7^dfahuH|{Lkx4VvGb+FY>e}L z408jRtstwQyky=t0W;Pxk3!F}XCsH%6wE<}VSnJ*!SP($*$hm%VP1otV@JBfYz}6! zVcvzF^K8Du^aWF6nD?M(85TRt7GRDr%rfX{=U|6n`<`x?PoR%Nngqi!Vkg zm@F`dD$HGAINvoX%-vvyfSIi@i@@vw=2C^Z2Mp(@+ZE9lbB@Bi2PO{YT7_8#W)hhD z6y|*}Wnh*n%pA-q6y{Vg&0yjRGaF0` zm}Z4J4a_t!rzyu4(z~fo=d~f2?W8V{L_Q9IR=N zhkp?4SO%x<*0d)e>@bDln)XC6M=A{0v?qa?qcB|4o($${h2fg^6fpNF4A-=$f_YA1 zxTc*A=0kD;0)o+S9?Tw~oIIxu!h>On-&pn)XaESqj57E$@qp6ozZsv%!=p z4A-=Cz)VvZu4(6jIYVK%racGD0)^q4b{?2J6^3itbHO~NFkI7~2j)G6;hOe*FyAT+ z*R&UaS!-Q?eQ`~DA((9yhHF|rZ%S7fu4yj>DAIwe)!!_*#VD?fNu4x|x zbAZBdP5Tg-$qK_Y?P4%TDGb-Ne+I+0=F1n?v=4*1Mq#+7eFV(C3d1$+qhOv_7_Mm_ z1M`u>a83I-n7=Cw*R)T7Ss!)l%NN(QOTY|J7_Mob1e2{WT+==UW~9P!P5U&Ma)n`^ zc?QgMh2fg^SukgU*%ZEYd}l|u5d!)_o`YNjW-|-G4~buX;j@Y?$n%hE!E6rSI>~H< zz@?CT!1T2Me9JI?$_tRk!E6EFI?K>@4dg`#`?QbQ3;LHJpDN7Wv;p~t!t4Y6E0Faz z^vAU?^shpq3NsY?*C6Q%lLP(hkYNgw3;i3Ai3*bk{hN>)g~^BhEy!^SQvm(jka-F- z4ElE<*C@sb|K!rIJ`tKmM3KNI^d&n$>nFRe0kaHEL4EmLjYZay( z`oBZ&QJ4zo{{eYMVJe~jCuEtzR6+kEa}(odcrP#qC=AEdRlppoFzoNEg5jLI6^^a5aiK^O=G?6<0ADiO z;Cv~B^D>XE^D*060Ddxt>DkW1WFg$H0iqtprsr_vyx;pV)sXiYVEQLvxOU*R ztAjZi%zz{e>w(M~VCI3@J_*AzV}92Jb2*qDk}xdi9U;BJunc)@U9$3_ot-QIUozIt zjbH{QVOY<+b}htp515^kFf6}*5bhazTwz!j+zaxC!t4!ZT`*rN%)Vf__vjae848Ab zk2b>M&6nmJFx-2zgTioa!@Wn@3d3~^_a5!9FzjF4dvvJ63#G|{BDP7LD+7F znQNE_1hWe4aJ%(H!`ummdzjWhN3wA-KBkBJOgF%B)>>G9gIPZb(`1-?z;F-KW=WWt zhT%p>?qS*{33H5Lb^^maOxq`6jyKFHV73O6l7ut3Cy-&ijpwQ zKl6UvIvBse9IP;dQHD`4hbzo}VETbMQepl9razd|6sA9(`wRdxUt#X-jq(GtP+>Mf zeeD3|0fpHV4EKt?s4$y>;a;&%6=q8?+{g2y!fXX*XD}OX-o72$x)=av5SSemW_vKZ zfZ0=Fb^x<0m|}(55zKC2suX4rnBBn~sW7{P84PBQ!c?Q)Q@~uUFlT{D1#^$Wd;=y8 z%ySC!H!$g7K2(@*!NkC-Gf0vCd_-Gz`bOy})p+bD8Z7!?6yZ6$E3Q%M36K$GUyM zWT7rx#?}|dx_!Y6R~U|UL&1zw7>;#0V5$^`V_hzoW`*Hcmj~uVh2dDo?>Np?7>;!X zU=}J2$GTx)9#9yLb;H5Ds4yJsMu7QLVK~+mg85NlIM(e4W<%U0`09&e9p5GIs4yJs zioootFdXYff+q@~q zr!XAr#(?=yVK~-}1+!9NIM$5=vmS0FeD%e#ZakR&U^pLI$Noph^~QYk*9p+2DaYzkCA!zF*5HyM&{ke*t}nkymS3xoy_}@nDpY%-K;Q2fSF{aJ+YiTS z>tx<#`|&Zh{n+bxubIqYxfHC4s9P1nb(Hk6ENs>HH#&6hfT16SFb;UZH)*TE=k?aN z@pGL=NBg)PZoMX%p7+GoW->jW%lPz+JG%q@&<^y2I?%^D&}Vd@&+I^t*AuPzdsQ4W zZ>%pq=dk{#LeDa1IcEu<&rxkzvA*z1FVI_`&t0s3B6O@9#$$cn4_iH-?a>C~w?6NQ zte($fIj@buTF&|%>DdqL^|Xmc^uhZ->vJBldghJoI8FFw9dhUDG^xX5R>ABxk({rz@rsqCaP0u~9nx6YxH9hyXYI^Q-()8TZs_7SZpyysz z?RxHG)%4uMs_D6ZRnv3ts;1|@RZY)5tD2tsRW&{Ls%m=fQ`Pj`qpIn-KULFnZ>px} zzEn-mJ*k?W`%yJL_o8Zg?nBk|++(Ndx&KttbML99=e|=-&poG_p8HKTJ@=YwdhRpT z^xR{r>AAmD({pdBreD^9o_k8Q>$#s)({q2HrsqCVP0u}~nx6YdH9hx^YI^P))%4sm zs_D76Ow)6(sHW#WQBA+B13mYLYS(jbsHW$>P)*N0p_-oiK{Y-1f@*s11J(501FGq{ z|5MX*@295czE4fhJ)fGM`#m*1_j+o2?(@|2+~cX~xxZ7>b8n}n=e|y>?^&O*Bof!3 zJ?pc!_7Rp&V)^u}&-C)?S)b|U)3ZL)%cp03rk798`b;mMp7ohtKEJ2+nLGdTxj3Ki zGCbMyF+Stv^M6gRy@*&(v$rpQ#@P(aL{#2YT)KHSO~~hh~4j4)ogdZ`v>F;QEmr=tp&+AKihzxC1@E zh0*d~(t*CT1O1o|^kX~FkLy4`z61S)4)ogl2iBkV{(<^~Iz}?KHhOeoa1O1c^^iw;~S1WqH*W|lLU;m(98^5U6`XAqm4p!{* z-J>?YF>RWDYv{H80h}Lqg!uBu_o6!~dd{6%{M2W4aJ@GF@p^53qkd=y_H#PWYx5iJ zYx5WNTK!Y6&0o}O^B3PM_lMZ}xe$vHd%P>c@#r_Q)f#R7n?ldE5%qa%w)wo4j_<5{ z8$G{qU>HsV-|AsDxSo4{lj-p)GthItucqf--(>pL&Gp>p>*{IS+UFkMWO_Un4&vwj zURRGS2afsY-d;`5eZ88VdwMlJ_w#Cc?&a0=+{dfwxrbNNbN{ZU=iXgS&waa^o_lsR zJ@@NsdhXTL^xUVb>A6Q&({q2Wrsv*VP0xL~nx1=dH9hy^YI^R))%4tltLeE1SJQL< zt)}PRTUX!H|J%lgp8nsyeaP{%r~m8a^Ly<7vVOU5+8rOHp8JM1J@*W2dhQq2^xP|~ z>A6o>({qoorsw`(P0zi-Rv(TE;l5m$jr6hs@GWE4EmW-nVOjY&uD$8ValPf^1`>kf zxQyYt9mjCSyDNl_=U7&FxfDWhgs)O`nZ-2q8G0bKT`~Sb_!V<64@I z9QWM|O1V@f-%;gx)a=scu z$MfwVKJIoxaO9#8AGa7fI&%FWKJGa}aOCwKIY$H^s|7p%P(jRA5@Uov})=Uu^Z?2JNeK6x$ek^4w+xQ+GN zTP`@xA5n<4M?2QuSAygGAvxyDa^EN%=R3=Bzds$*?Al{JTJA@|Y4cH#Xk(9cL%TK~ zEKh51RR+Q_Z-o$JoBI|?g%%;!ggWL#+ z%m>?r<+6pn{SY37*nIF>%h~)gY$PNKu^iil&97|-T$3n-2s9*V+C{&h3|6 zZ_x!sBFcqjE$f%-Ejl8$pVJ=8KMJww<9dsZ=fj1)Wa~O|EdSAhV>~imuFL4ijTIc@ zi9#5}d~;n!N3Kla_zaznT(!b+{YFQQzb!?_{JQaS{YFRbSiv#fQ3!*WKCa*B$ek)U zraKC;9G}tCk((ztraKC;9G?}_ky{`*#uJ5D&dycj7AhRqgLLF>SGXu4ICA$YT)%({ zxrYVE{P9`_^@8+=&@t>Wg&Po1A-6=~winzh3b%vc-Vz+kH40%6)5moq9n<%o!g1Y5 zNA5#~8yHX__qoFDEV#ca+#td6H@@iDPFe3fr7$16KXwUuzXEJX0fC{<(!d?{NOqX@?{%BAqx%5tQdv%h_?Ibt6libKoa$`El z9o$K-yp!Bi!SzE$`09hd*+<9v)wmg*-60(-bZxphE6Eh2#AQ z9qnDBaJ(O(BX_mn2H>3ew&_d9F&#PnE+8HC9CyfA&OS>aceCI)PDBNlfnz#ycPm_G zK!w~x3YR6gCloGQa7z`=KI>un-cYzbguQnaZco8|sBn7;?hA$6TX5eBj^pf5h^>!( z1Q*=!;hgp6a{CHyEiOdhl5s-=O;{g&6fQ?_TM3TiJImCjFIRB=1;_Edm(kIa4M|96NT9N$j31q zx#JYBAfQ6-RKcYnd?>`)9%$XzWsjwily zzOj?sp9IHohjG|=d4EmEuzLl^aVH9~9Pj7o$UUrZ`v+9WJ*jZK52vF&d!NT=6f6ff zzZ?db4tu{(j_FRuG0fiYlViGl+%qP91eMS;^X+YH|fY72JvyT1$Vf@%@9f!O$XySP z&#){v8poEi&;Q8X04^%HV!_$xf8_q?a0eKU_RkRcxY48!!GV*{`R59bzg3j1J{ZQ| zNu^`FH!Ivlg1bfG76|TE!`X5vK^(SRt`^*F;AHug3XZ?gO2_ow4lWAc+8cvoYme{j z>B!yTaAOfhj@R8OxIdZnAvkcd{2me9oeKAY;O_EpjQ0(}-K}u%3vLlOSuW#X$L8Y; z!QJDr$NK$FaQ7-1%3+@3A$8zZ_xCa%kzu+GBaLn({f_p^a z(gpW8I4(bIyBd#U+phKz+!NrUf}0??{ROuKoLuit6x?{hJqga%C)0kQ;3@_86gZ~M zrtcuZH45%&aI|BcY|pa<_l$?5{j&x4EVwAbY`h2K*p|!1f_u)`v)mzq<8SuTv0py# ziI-`s zzds7@btiq3OuXdQWJ7{u`Mm)y3g4!$49B)UHWA#L;AHzN7hF_uZ-KMp5Q+6a4f6K1^21K-66Q;3ipKIKJ#!agI5Iixx#%YxG%uT z`Dm&rzrP8tY5nBwfJ^6p3a(k@Jq${h{R^7aafI z4Z|(R`$NmUBsl)v8#0#T{h{UF7aafoO;m8aKeXIeg5%%8k@d#=L(BbLaA$*)<-+?z z%dNULPT<(j=Q!y*5@D9xKydtiTiQEHa9awFe`hBOoz3sjg5x(jbc~mOZ%3B%F$lBq zrVEaLcZcED-m!ukDmecA9a+xD32v0&&Ic#+F-ve01a|?rD0DX7;{{hKxC@-Qn;N2ceBF91b2(V#Q3lg5~m8h1)`K-zeNpg8LgdIS-yL(w8B)@4?A=>kPr=2<``PQRr+rpDDP} zf?ElWjOES}+#!PdJ2+pt@OOjgST6rixFZGkPlY>8a6c;CJi+|}j<@wTA7|s(*4veW zVa<=yR32tMB+f{Iz zCgGSS*2g}A+f3n#1-H4vl?$$~!ZizSONE;yxUCfKOu=oVa2E@1TZQ908TJPtk+C>t zpRoO79*$W*EI+;ju-rHtb1#tP&J`S=VOwsz!<{EMJ~Or41RVDf_RbfaeYVB)O#~Me z+y#RB8ak$x+<^{vq2Ty2n~nD%hnp|BK4y%fy@MU@BEjt>xI-N7V!@>e?ofyOgW&Q6 z7k9Wz1XnD$Ne*|Z;NpTSbGXX{cbMSVwxiJ5`n_Cm_L&gNrNZGB2+lr(AXn*dR|t;x zvbNr;9PUcNT@KFH+hlN)ptI?_N^o2oT5gKNT`jo#MZ8m;c&`y0|8}>vR}D_i=hq63 ze?!{l<8a5`b%NvC%i5~}C)@o(!Pz;N>-wbe6kSaOHw) zak$$AcZA@kIo$1nJ6>?p9qtan@o#n8a-QLEe-hkff}81ZcM9%$aJF2I1Si|eU4pw; z*gMLxcemgk6ZVb7YQU!OC!z~tEj^IvqxIYW7Sa7E} z+{1!9RB)#{+#`aUCb-!S_o(2`7TjqL_n6@LTg$fmPItJ+1^1xf&TzOV1owvExR!}R zXX|%~;Jy>wSq}H4;MUo&Eq!M@+*5+vLU3~&?rFgd65L#edq!}33ho?-dsc7-f}7`X z&k3$naOXPQ^Mb1YXWRXG;QB&m%WtXRW(s@fJN8}>+$n;)z~NpL++~8h(BWPZ+)aX; z?{F^*ZjsX{9PV|&{UW$a9qtXmZLm>W zeOv}kj;C)5E-JXo9qui`r3!8VIDW@%^ZT~o3c%TZd4-d{cLaB+;6B9KBMP0h_paco z1^2VVy(hTof}4P`TH0GCxU&Q|(c#_~+?9em(BVE1+#Q0O>~J3n?h(OFak!5J_qyPw zI^4&C`@QY2lh2VpK|WSBdC~UQeJVI@f8BDyY5VIwGaTF5?`?mbEWgi1ezpB|UkFay zU-zZpwEcBo8II-md){C77m<&i{dFh|^M{wHyx^)Go?XO!; zaN7R5^#!NxujAilV?Sqmarf8Bdg~)NZGYW{g46cbZ6r8tf8EA{)ArYGA~gw!dx*!D;*JwiKMUziunRx%=y6{cbI|p8a*`493~{lKrD+ zf1NFr#Cfo1e_ir&>DgbGSS~zcKl)eq*U9l}8;n-5?vDL+ zI}3X~`|Eb^8cT+h4b@;I#d9 zLj|YpujAiX$G@OoYWwSQ1*h$=%M+Zozb;>J+Wxu%!D;*Jh6zsFUpHKE+Wxu`g46cb z6$(zv&wEcDa3r^c#S0p%Xf89vIY5VI&2~OKzH(GGo{yOgEz`vkfY5VIA5S+HZ zu0(L!{<>1ZY5VKO2u|ByH&$@k{3Y5VKS1*h$=s}P*Fzphen z+WxvK!D;*JCJRp6UpGZ?+Wxw!g46cbRSQnrUw4?`wEcC53r^c#S0gxWe_gHMwEcDb z%@6zw+LgAyu3m83{<;RiY5VJr5S+HZu2FE>{<r>ZkEyU{3F3_ zi*s{|qqe`l8*nrPw=pCg5`|cM$KxWKzE2hI1i^i-a3>1xD}_5ra4Q5CGikHwJ6Ukw z2rk3P$0^|Gc-^<)c*^{yL81_A?^GzLN9N4q@-9&Kg=aQQzIQ#drX^;J!b!5|buHd#1_SnxQ zcb?$36CC@wFd)MUpL45Y?-{U6NU*YWE z#U?jE;q2f0C%3)A?I6l!ha?<=f^r`uxE)QHt&a;$rg)wvxSen=_tnoAT(;l_f^*9S zK|#Fx2ySPEv%mYm{0>q$`@0Y1c2PL{yAR}cRXF>*59D@JxO|b1-4)LM?gQ-&Ryg~+ z59Cr5&i-xzxl{=2$ky9MqCV{JK9Ea;`0Arj1a#fw= zsyoTmb&_lBBsaa2+|h!|Qp)*+PI9Mok~^c5+}uub7j%;QLnpZfo#d|WBzJu$xtj!+ zt<=YDo#gK7BzIpYxy7C29_=LeWGA_2JITG+N$%B7a&LB$dslEnlzRKHlbrp19FC`& zy^lL-Z+R!VuR6(n(@E}!PI5nXlKZ8T+^U*sH+^>fY}e!Dm|h>ZnTeMi*I;Drynit+vi9unaFAOI5*6GZ1Q%Qk z9l5n3zIe9~@$&vi#(RmdXMcx{_IQ63h1m36YK-%I8)1+4N4|Lb36A$OGTzHXy!Ln9 z7%!V*6k_AOT*SMBu*XARygLhy_qD7%8}|Zp5zlS?ZiZuu&z`McGHm-k&fZ^=W4!Wt z$(6Xs*0242HFC_e&t89C07p)HPGf(+j2!RZeD>_`(~;x-8_U?1^Hm~!_IKyV@%~NT zFI+7+`}=<6-21m{1ZRJzkR0#dq7WPJwSwE-)EhZ66w6&FIQzSOLcwJUd;J~m zdcoP>d!oGo5U5%m?=N}6blCe)a>?%BEN6e;kK7IrUTgDlgE7u?`@4PQc>gBL`HzCL z_ub@p|7P1VksAePe{YN&@86;j8}Chmv%fb+j`wepyIFAd{+FC~|7L$jj2!RZq`g~& zJ^MRi6}qkT;Ml5?Lo-7dI1!LfftA+}uZ5S;y;INEcc zWBv&o9j~*$OGb|UV<^PhyHjxXce==F&oS*d#5|BSCUBJeITtx?+z1i_h8)FbZ-glq zGH$utg^O%Cj})9+E_Vyg{yrV;xzBeOnMAOh?C;Z&W4S~jw*2l9oE>)=r&ccZKAD_W zF19|%Y2{+aadIpdIgZ~e(kGv@_6HY**nHdv&X%8i{_Jx13r;?NcDV-xC!fc1ykeX- z-UkIIpT}~%iVE%_aCE#*K3DFC^QZ|YvKar-m-8jdg!4JWt&`8;Y`u}=eD33HIg?`( zljZzpTx847j(_C%Y*dba4-3wYpxLiYFl$gzLO`Qs^J z&;G6;Ik$g2EjVkBW#`uKGvI7HwfP{&`i(+texDWGkH$7R#%)eljQhb$j8}!NlqJgZNDU^jk~rV zk)!zH{mLlFaNLbTY-lFj=F4(#8^4gp6l*}P93(_w#ilN|e{%*QK;myVqM{aSMDmqQ^oAFm3|{_Z9@ z+h#2Hn&9m3hmzCAUHf~Vx@5{ffaEtkH0gm_j?v`}dzHocweu93r?saq)r_ z+Of#)jp2EX;O+#s6T)o0eIo1~Ex5aB4?>T~r-GX;xJ3?U_e3z>^8|OV!+j>~Efm~+ z4)?j>?ibtx;G&4b=Hm;&Jt?>c9qvoPy(+jrgEJ~vOsq(IlL;w;Cr?IJSew!A0TQ{Bq8<+&Y5eZ)dsru-vACTcU8=3GPX7vYq}##Jh{& zo_4seO(K}zY{5O_a4UqpVS;-eoXqcEg}q|IEp<4%c4fQ=3hpIv#soBp^*cpyFDu-% zPI6}mj=xdni}&JAa<_n^4Yq?fOn%K$CE<7G?-Jab3ipuU-cq=yMf%<`oNa%96ZP@3 z;NEq(Zw2>`;Ff`7rmVg11ox@n-gmg~1@~9Mec*6E2yPWFHsM%)AAwtmMUjnnrQrGq z?qi4hyWskR<0nxH|3G5xxOSf4)^)gl3hrXTt*6AhKyd3T+_i$+ zK;iBZTpxvdTyWoDBcmL5`7FTZ_Z`9g4IJyumdj6q`$lm5?Fn+$$@*QBH(79O2j4mI z{w(ZmE4c5Scz+RGy5N3L;+4OHumI~J`TZL+#PqWL$?r6;0GEw0o8Ml7liz7x>2RwE zPJTal6}YId$9r-cul#=SYKL1*aPm9NYaDKM!O8Co`8V%mylV(ferI@{!>uVe`JLfH zhwCjk`JLhQ;3kRut|d76o#71*x3=KqcZPp-;$25@@;k#D!C}a1t+#arHv;Vir$PI> z$+5Sd;NsL_WP90OaPoV^#~gb*2u^;F__)LEC^-2&;u8+Hli=j{h)W!fe|y=Mv-}?MNr&56 zaPoV^ryOpO;N&>y`@t6-E=_RqyTF$mE?sc)yTF&h@fk1FK|W)Glivlt z;@Ha&ocwN#f74agN2cK9_k*uFT$bSEcaX0;9RH@btq=KK+ZzryL~x~;2f4=MI_!9} zzK1%nvxndg7TgIAx2NE$1b3pt?IpNca7-)XJ=Nj(?!o3`hTzV0xP1h7vf$2kxP1k8 zj^O4x+)%+?DmeZoc@*h{I!ITJ;I0$g`3{#WxH|-Qp~K|~?jgZl!6?B^2WR`yH{e)C*73f_w&xQC$7j7h?sUO@ zt8f1-s zY44*N1gE`^Izn*T`>002Y44+&1lRLE3WJVu^1a5d{XR;rUz$-LcKmbSM@g10D zr@fClPH@`$s9A#3-bWoTIPHDZ34(LqN6GhoCkjq`A9a%8wD(ab3r>3Kwso@1y1kPJ179uHdxyQRfLxdmnYa;I#Kq7YI&!A9bPNwD(c-1*g4_x=3)^`>2Zr zr@fE*gW$CHQI`l#dmnYF;I#KqmkCaLA9cClwD(a91gE`^x5L`hmS+-^H}$N)E$D;-bejOaN7H*I|Zk` zkGe~6+WV-x1*g4_S|m8_ebhaI)80qjD>&_a)O~`}-bdXpIPHDZ1A^1uM?EMw?S0fk zg45ndEf$>iKI+ec)80otEI93b)FXn^-bXzuIPHDZV}jG(M?Ee$?S0e}g45ndEfHML z`zU*N9`3ug_fgvWs3%4FY44++5}fuv>S@7g@1vd(+~)jP436)u2IG$%GTqkM=L`(n zN^mI(x1Hcp6|TSF(iCo>;L^cGkrpIBNawRSuaG44x zzn{rU!r6G`_cPfFH&Vnq1f1L-`@G1n{0?Z3B=&5%$nSCXbnGn^<#Moy_Xu!NQ(mn5 z7YxVe0#$-*bnLw-xMsmMneM0+{=PHTX56B(U7(Gir_93+;nhJpq6`8 za0>)?w22pJ;B32Rey0sbGWw! z_mSYD4)>1Wz5<8Sz+OLaa=+udg8NZ${lQ@?Kx=;A6Wp5kSk|U*fD`XB!SxZ`_73;H z;I2P0y zqoXJL~Hx_`u+-z>14dJzZE##H-eM*`@&KiM>yPff*Xr=PsedG8~co8y?qakj(Isya6`=ZI8;;jgW$>qw}-QD zX{F$%2yRbf52gage#8UXZW7#H3U{2~_IBd^yNQ?eF;{T=fRp+Chv4ReWBe?aeT_Z7 zlcKZfqdi%E{Eca8@1G*Svi$A?N841>|50$V{O&jLTJ9&o$^1Uxa6cQ)&F_Ow`hF3d z%TVieuF{HKVmXa5$Ro4#F?^vQgjs&M?ft4t@`pT;c^?NsCB_pq~-cyAE( zcADXA`&&)a$K4{{<%+#WI>9lY_U~jdzn>}g65iV@+;bw{pA_z0kzaDM{jDzA%g4gr z&mMcs$JfH%FOI!6guU;DJw_VEwQhT+z2J|fz+<`e0vCia9M1M5w!hx|qbhJ6aeX?; z^##YcXm1ry`WSD9;8s<*62YyeaP@*)UE$6Y+!_jZz2MeVxW@(8Tj4$w+*%4J=LL6O zT~o9JIWKTtWgD>lt+(Lhyx`8OYYFZ@Ij^p5;$=IKbfGovivx& z%JN%JaI*Z|d3AlkY4fW6+pTVX-FdZ-C%^ydyt<)CpPaY;)p>OzPx}7Vd39q?`u^2< zbrVne{#WPKO+|kHKg_F}iT2VR^XlfpUeCPRejUN_>VIWkHC2rqg^p_=j`_ZD{w+7@ z$VU_;Z1*c+4*mkk1zec^mLW?+4)}$xAzpb5wZPnJm{|Q|5mxl z`yl3x`LgR~n^zf*M_>t#JfF?`!hh|2@xDqrribqoeEIsd)AQf5zppF=9J3sT!tbu| zuD0{8mV+;yzINpsuYBq3YI=OUue~|NAGANV!LH6bzIcCa`@VGg%GsArUwxTJq^;Rt z`=srz_S04a=785zeChPr_wm1WzPcK(Fa5sy@|BN|_vP0Y{%hO!rL(K$*3%C4{?*m; z?5=j$UG2x$PJH3M_RwABfLGlKj^i8S^o9H8DPQ=U1nc4a&Tm(;l7w?_h%ekXfBE`* zPq==c8&6XHlMv<$_m!uwefs#W)?-)g`{M0t`1mB{GXY^;wexH9J>{vl^IyCC|G(MC zpIJ(9oG`7+mQOLlx+^`uH9Nj_h;Kd9)%5?`_I>H}#p_GI zuRV0v{i82EzHp}*wmu*8@xOMyx*D%9{avjuAMeYrFWi^@?y~Q*1z1zC7r%<>uP>|{JyqgjnDcWien$&UE#j=(_Qv`_1jhZzI6K9t*^fJ?WS_}#oJZ; zzI6J^r>nfL+tXw|7Z3=*?a{r^>Gr^A2vRAR1TfxceD{0pX1U_ zBFwd8RsU|dFa2E&_dPf3YIs-U?JEC^EjUrmtjw;4|KLQ-`r>bU`of)PTliF-o)AL-Yd!GySlxO#rr?1`q z-oyXDJs|& zE5`U-kNqds+rD<|3-72M+HCN?kJ45Ce|5d>%U9eMnDOm8+}D13*4w}Q(z7o*+~*KQ zoAI?1U-k}EqV;la9qhNhe>5JDF?n{raUVPy``&|w9Js0Y(bwyXx^S{db>fIOaD~GP~K0DnN z?n{r;U0VB5SL5~B_l5tr;`ODctKq)#>8c$c@4Jul#p?_A+4qJ2x8n7sr>o)JRSxmy z`i98J>bmNYg~g@mY4OsDrSazS$dsD$nn-DVo}-Es)>SuGm(`?Z##1wgL~?4Tm(6TS z8xl{=N--ztDL6^BTn4zTG<%jA!&$mLi>2W#78zC5Tv9cqtf{GbN?k@;JS#grF(@5D z4i!UCMgorxk zk^S20hNkp1k=@`DV<--L@#)n^M#|yWR@KI*RMo|&WyfRjSfrsMURz(;Qd5=6!^Wy9 zZ6WEA+LjrDi19ovQeD}4MfGHy@S4`Jv`A$|OWSFB1lsDR=BmcFuvpt+M%!U#+hJCC z*iu8RCFtH_OGbl6R!XYUL%1Q`IP0xsD#uAOC zre`87(OPOO6=BY`=s20N#C)cq=VWFi_MEhgbcDqsb!E-f(@^oPm9cyKRvc$fNz%H- zRknW*$v_WJZSBSBSx91PdSZd5XJux@(=ro_0fCtaOw0@dvk;h=8w6$}FtOecI3%8i zaYhap=~-DR2u!Ry1g0V|vGx#{hQP!kLSQ-q6YBwiF$5-71OkzxEH_74$WfM?qb%eo z%gs?1a+Kxf2<;~=+s#ona+K}nC>uG-c5{@C967^fI>t`~x;e^5j+`MAByxm7GqF6g zk)v!kN7=}c)3b0na^&3ATKBd6;j z5IJ%>9|Dmhr~4rgi67$D(GVuysiW+a)OdP|Q%Bh;X$W-cC_5z`fleJ|r^FEGL@!U8-Y$8Wv2{5pp&B^XbkBoPL774F{GzDIU0h-ke=$~ zXb2iZdTL^hVkzix>FEEm*~F0Wcsd4WClHrsBqj+Ya^wu$2t1;Pxr6Eb}2CFnAsoh|ehT3d5SfwGI?FOqfq_f>%m4-rYH&|iuhF+Ucafq1dbec_8 zI?~y0u)>Nlz1?7yj)*W=g{#B#V8TdmT@r>9hBJR5u^I7Lnwt(x7_l@r9hflCHk=e= z!a&<_1BW0mv8|v0QV{626-*ehbhoWw!ic52Z3PoXEZymloG@bPiLF1FFkLLd_Fj8F(f;++u+T?FZLMkoX#o$d(52_u&21)S8w6q%pO)Bc5Qw#RT4F~CCX9@<#6rfP zfF*gF+iWmlWTd&x1`|d`n%lK8VPv!$tT16@v>U82VPv!$tT16@v>U82VPv!$teDPr zgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!> zjCO++CX9@BgB2!>3=CFg!oYnV29)q5BLla2i7kQ&z#7p!d%(>H#+2|R6|ExG8B8*f zGH0QeiF7&3yiD9aIg7kZ+&wuC_Y?-|5k*n3^)34xNgbDbwlCshF5Do$j29c`4KB z&8Zl~Gtrq%SL72JdxEx{ipe_EpdF-Qk`7N|S$K5V`m_*z9TRA%N#{xHvJux{!ZaH6 zYfO~p1g0?;ifa;E9fpidXGwq_pXn?J*u9 z_GM=Yz`op;FFYN^%#DX%@v>x(V(MF(8(NyA4L!_d>3zJ~(4l$J{4htm>HiJPA5st#IgiEGNC~u z5KYRNdl4DQR_jBM=~VOto0cJ%c~W5rzlS-qDR?`RmXb0u-jH}&7o0XWHOK2B!%Isd z!^f0HhL10Z6qgj`M;hWS4e{pMhDdQyQM_n$-u}Z8K6-d=e0WiAQ6w*~X#dgS;jp~Y zTyvOj{lZWMqj+-OOv8as!@l#VDICeOzf=jV(`xM1w4F$D=mOACrpg+-jEC7z}yp2o!K*wTU$W=>=X@#d?dDtsGPiAfHx?HE>B zU57ViRgvjc5px0G)uHfH(F*KQ9goT)Rdr<%UQ`yL0nBn$II2b?rG)Q|T(u8*_c)=IE zjjZMoYz8m!ni?xoBaKaw#z5a#885GzT;GVy;-z3^q_Gmp%HVw=4}-w)tg4A;cv;sx zv!N<@(J`V>?Q>!O8Big2_nn+XCjOIv7Ljzwi*48ov zKrOGBJe~3K|FlTe5iMciG*%rEuda+7R#p>fspCuAD&(xTHX;s#qC!wvT~nZ<`mi9b zGORPEHX`}8_0y{8Gnv*8N`nD7NW-hgcs*7d_%C3X1gKDQ*}*|=OAW8%Yr^ozc!cok z*V;reG9=7+aKwz^s8eeF(1Jx-edCZnn?%_ z!;)uUC90E&w9y(LCJ-95XZS%*DqEW^8ZF;mhpjk`ogp|i{lcE2wWFZh)Zux0D;}Q5 zobwFlJkvSPvgeic@s_%lrmD(FWn<+`d)&}eSzlLW&-l8X)sG<%shV8Qif>C^cwXKz z*$peV*Mr3+Db9InqB_-4rzNV>9Cdo4I^9vn64e=rrMl>LQrW}x3cHx9D zj2>JjPpQC=0zFpFP1rqARmUYX0?RShlm}x^IY%7)hx~FueOOgRb5l7M-HqsV!C9p_ zv!V99F&OW#!jC{2svD{}O4U?VHAE`$UwG6swWYbTetI2aFN>GAG|dds5gs+g%aF1N zJT$YaW=x9lvKiI!vgzo>_AnT#>`6^k8`D&MB%(7Hl()cs>)Euj8exIA3NwvvIyYI^ zH4oY(i#}t&5MDmSa z8qb+f7|$7BQjn8BF%q67oaBznDJsl2nw%2Jl@#Tajxm}NiP=a(b2h5+1v!zN{QQ!f zNPgic{5N{+n4HM?g4`f5mx1^Xfw_^Ad~yYOc{W;1^R0(ewVo6ejA}hDEj+NG^(1#J z7DDE1JXSP0_PBIRNv=80ZMB+nAP*TSDkvz9AQL(9+_9w-d6XL-VRe}kC?W+Y#*%{J zc_>%}qTIkB5C;s*jTDaBuOM%Xt&Q-^)&}YZ*D%k)imR79{Ez;N4k9<+zj5m>RKiX+9NOX5LO$c-n2u@U6ND{E$2Kc3HK zVL}2QA%U&JcxleCg0OX&V_w^Om}?KY?F}_S&(0DigwLGJywS##Cx(DAAtq(PJ}r|b za~!0Y$8D)KXL<3w(IvQWWI-e^J}hTsVbMe!Ovo=7SD07OdR|bJlRLU3XH4PfQSto3 z5)g&Bb14~?lUEQQGqIT0;tnF7Taq&}p39py1muk=DT<@h#dC^=kH$G7$t^0t-6Mv_ zcrXZ+mLiyAq%pz6(xRMktu{yGm}Z@Cz`}fUTap(aSuoOGmpc)6Rl%qcMpjZdX2eKb zQCw2k$`p;x$w#6Q^{~PsrnmJtZZh8*03|b%kL-^v8WRtOnmqTENnGk6G!*PF)u+Om?Jy5gayYOa1h6BS3yo|9>>FEL44%s{DQz(cpBW7h4EmZ z2w9=dFDM=}qD|d)$|7$wZPK5M7!%TO0)mQ!j_J=$NI!w#;&2=^6(6RbIu5+yts{=x z(jTaT(=h!(JZn8F^^)Bhh_}iV92FG4nP@@i`@<;<+Qn z1$<~Ym|FF?lQ8J#Z0&@Fj5r6or`qln--r4#EV+5 z8eNLE9m!9LFs&RGIjk{KJ9%m~{=;_U+GZX#VBM1Aq0g0`TSL1d!cmlwe9nDp>4Z#*z z+!mD0Y;#k=PN9ExW9<16I zJdtW~f)_&xrd;TCWR0YSpFGnpk*w=S>N+8e6nr7Bj1jW5O>#Hmt zlvhLq({j5&CZ=5=4dBrdD<#oDl0fDoB*W=BSRiqz3KuUJ@`D4+0<8gdI2^G**H_^T zJhE_9>-wPWI5*OI92uE20T+fVhPGo6HasF19l;6^(`+zk;ykny1mrqEcrF)v;rf(s337^xaYs2aQd&4_I2M^$y^o0$Vudz(WQ3C@ z);(N%1jkrIv>xXKld%bAa?N3IeUiBxc~2Ia8yPjWbtw|g`XJkfVbZ~*jP(|78NwS$ z-kQe?gM0IcxtYWa&yesTLU_`8TN|EoSP6#Bw!tDewL?;HVh5sdd}YsT?UWo(aKFX= zfoEa#aUWI9q_jOAKujV*A~~2hS)$B@UFn7gt!rSM25V`#>a|yK(THQNsascx!O|>o zDHoKTSw3N*Y*$k3LXq;Bc!rNhVZo4uTim$GHpZgE;wIOTiWWTRsl(l2eO)vBCQg_b z7BIqvgFE`CkC#u6 zgnlFaMxHlSl-1zTCHXRZ;}s9?r0pOkl;xVT?MgdpCcQF=GPp9hRrkf|Q?^~1#B7k- z;7Y3;w#VQpc#K*#IH$2W0C1 zZj60d|y7CrHzf}CO9mJVU?-xwDYM=*>r!R&`el0hG}CtXT( zLs`Y)*b~<@xS|Db=W44O2Tu#Sb=U_H#f)lp_QCFkIL6pggRdj&>)Pp%fNslV;_R|} zQ#YH&4N-MnRa3Xy#SJpnZQX7bUkrD@P5aq!w_CO!Xm`J1`~7vdTgLa}-EI?$({8tk z#ua?n-tC6D$)($kVqXX!E&Lii0^ihkdvftzJ#B`6$BBO%$U6J?NDjdPQw#N_Kd;-4(KIIJ~2jWL>D0doJ z4}ZvqQfXune9A`n8~l{RjXVaQvc+1V)WWAcZe%O`!3@eYBTvDnFrUn$^?8g-0@*}_ zTYY!=+rV}K%Bvj0-+#7tkHk5$7damO`taw#?*spG_$ZRdHSjlse;@qu@HavkBioTJ z;itgg4?eFy0RBesE8wG;BU9jS4WD5fLzp+(*&M?7w}dcF+d?*k41(+e*%h)IWH5yG zQz2=P7$gJ2J(WWsdqDPt>;>5yk^{+wn{kfR_+LymzQ z3%L`8whZz<<`#|=E429%E3LwKEBOnJrN+6|>F_3YPiI4*!2SchLlOaIRkPwWDaC5A)}GbFMeeoqRr24qc0Z^$~3^&uNUHim2p=?mEcvK6F1WCzHO zkewhqLw1D>hNM7JAu&i6WG~3xkbNP!kUU5MNUaxdgQ$o-H9AP+(wf-Hvo8S*gX z5y+#E#~_bGo`5WYJPCOU@-*Zb$g_~=AkRaVLSBHp2zd$eGUQdrYmhe}Z$jRJybXB= z@-E~($TGx3Wi;$NfFGF5|yb4(cv14OCnneL*1Z026Xvjp! zfsj)nvms|e&W6l^%!Ql-IS+CP$A$Bh6EI$I*6hiicur7)qBO#+8te0ZQ0gw_%DP#;} zEMy#HJcNID*4EuYI6fG12;@*m95M+~1}TSBKq?_skjaoKkg1SrNDZVGQU|GrG(e7k zG(wsn&5#zzG{|(w49HB#k&vSxM?;Q*%z_*bIRSDar&o7@euJEW`a zgzFJo_XRk%?ZVbG>o{4RvOe83%Jj*6%D&^K({2B5JKh%Y*fL=`x^c2hWxTepy79RE zjq&Uaar;O)Mhgf9cC851r zjqlpCw8(qMUC%ry$!d*=vR8UwG6j_HwJJEjqlo9+i=ATLT-Zb zU2Yx2MXm_B-o|&ibq#l`(J%6F>lrTP&tXYs8{f6JzTuV`{c;btf#K#p9NL?2eAiwd z!%ey}%*RyYyWEC`>vL7e^)2GoTkvRTZ=vyBdwmU8{8-3MFuu!i{tn#ZA=lgZF2{L* z?pC8;3APa zx5)T*{Iuiv4$yIYo@55Dsm6D?9SzsV3|xJU?{b_oX>YO7FY#~#4cA}>xLL+`?d@#1 zfo6c?JusciaeijJuN(bx54Vfqcn?Uo!1%5`u19EZgc%4Y7~kbMN0Z|{A6*~gyByaw z)IGYq>+h@v5)!U2dq2*XWmcxE#Z|_2$~k zHJqDYm&-F;!=YjNW*Fb)xCUl^qw$a%XndC|Fx*n3f8E0kGu*66p}pD0ckK-~TuNEU zWgFk+Mi_3H(J%LKg@&739@?94eAnK7h8tQDawClIa{C)@h0(9{a7Bh&P#M}=Xnfb+ zNW&FZh1>+=yWA+lMJ9(_Z{xe%Xv5uV^ou-PvEe373GGcazH9FQ!}XaOa(#{OawUda zZ1hV!T&dw2szZA-jPKeTW4P#HAve(YE;rV2OO5_@5662|w&z)ghxTS0-?ca1a49t* zmu-BPn_#$QM!(#{@i_8E%Equk>&S8*V{;Xm6qM zU3-TZuDBuOCK%u44mDilh>+`Te3y$G?pC8;sTKdu4{}(-d-jjqh^h zhFfg(OFUeK;ToDldozsh+N(5NlrP=j1{&YxstmW(=wJ76lMOd(T4-;!@m+gU43{!J zEUV&x8TUo-a_NM z_G%4Rd{oFyFuu#x87^{k$n`e9%hen1R-<3!;TjA#>6p;oRO7q$jxb!GV?(a5@m;Rb zaEpz8iHB=4T*Gmpy&1-L?KK-NIxFM`8sFtw47b$iU-xj+3^(ie(B5q0yY{9VF6D%f z%Qn8t%`n_DqhIdf_`Ee3|4t0;%{RVl??}T9Jt^cy7~kcNGTaKIU+LkFHr#@fLwgI2 z@7g=YaK)#D+$7_>+_8r1eQL<{HNMMXs1NeH*yxvfxLJmqF*~$3+xV`%;|-T`TF7M^ z-{np)+%ltI?%_@}+}zVcd-IL&+B?Z`L(d4g5yp49lMT1R=vR8UQw+D@%+TIKG{fC$^ou;)>4uv$C$u-!_^!P(4A*CF$n`b8%bjVs z#YVrx!<}WghI2xDvyAWBJKJyr=Y?E~@m+3?;a)fTWgc#>;bxy3+M8>9*WNjX%RVpU zh8o}H<{56e(Xa4u=NfMQ`Juf9#&_+VXSfj;gj})lUG99ttu*?`g(2^97Z}dH@7@UE zboM^)LL76va_{$DZoc8%`+b+Y$Z&jLN|$YXm%A7`#=FeumwUKB7;dh)k)3aR*WM+D z8)^z-gz;VOQp2q<`jsB;GQ%w}1{NCMwRgGUiZ{jq+yvvh+ydyB-(Z6cyxyDOKRB1W z0%1XY82us-cctMbZAvS6{$qUC-c``iUf<0FED|-o%Ux}_rF}#0b>q9-HHMqLWyt*> zd-nm?)Y%viuS|y@L)LOAtrFEgA&|$?o zz#SDKZk%8p;3O_UQHUTCWc|({k`s)i z?pHW%1LoY2)NO@J!?;z(MZkqPvc7O6?b`W*HX==i8X|>5;TA3eLU>b7~}YyW!$6o*?7)z!{pd zJ|mL$?S<1cW6l{#-9EUW=F9~nsoM`nw`+LV{jps;0Ovb__34q+9fYID9jPiD=YjjZJ&?8d5#mV2p1iaPB&T@6CdaFxEXav;ljs?ZNk3~ zBB_fJ#>77Bm=dP2A*qXnbHlj1j5EUpf5G}fkhJd@oMkj~X-Mjh!#QJIE8|YU8FZ{K z2ub@+!r6;2G=+->N!=-7Ok6KBB?tkjET$P>s`VWdL(t{;Y{Lz6X9Y;Qg=ZZ6Z@1HSIM}Ga9-j88{wiu z(!NW=nAm5*{HMt*M?75cWU)>7cP=FDOAyAyzK9VeOc8~o?lPQhICBaa_dA?BoF|g@ zU4aW8#`?mM)Ln(McrllTr0yD=Gsd+t?mC=tDC-MC(!NBvgdxl&A*oA(a}y7A2p4xG zbvJ}DaXs6kM4!i=QuHk=aUDj9bN&WicB$t=fR zxNz}+jc|!T(&;7(W8(aIiU(|jix-kQi!dg-k~eM%p`0fCAzY}tC;U!yUV}@96*?q! z_u(Q2F&BlT?g5;2Aaf;eQWLIH_Ylqv&K*hJBe-Bs))#`LE(Olw!CV@Wx>Pu4jB90F z8eG&g)@MS}zQ=GnJ#)TD>Yl)akGpofb*Tp`t(TJR|sc6k2y7xx+1tZj3>yrVmLzp>oX#0pP~%gu4(2o zr$tg%2F`+UtBflP7b0#`g-bY+_LYNkH z(!OeN?n{{SL{e8B&W7=lH@pjfg*rR9h#=M%g``de=e3kM9g;fnvuoo1SNSb-_DJe# z!kIB1C*x|t>6fuS1CsXDhEspXoCZnVyKo5@Pm*zU;Ec;zUoevP)rHfpV9pImojqI{ z#;r2$J-CpStS=l%``(9h4`$92NnJfS8^%jMKx#r#+NH8G$E<052u^JoG+5P z25=GIv-yicQs)Tg^#gM{Bz0;yNa`BGnJ{jaaUa0>u4a9DB<*ViXTOFyHIll< zaB&zod zxK+lrfD75c`ofX4uO*!OM&>+`)U|@MVZ7wSI>KL}t~FdlDC>(tQs)HcwTU?$k~(KN z z>jr1|k@Xpow68my<|pQyk<_`uC1Knm+?m@K6g0PHsksFtXU+>r-2gbnbmo*u>OA10 zFm94@o^ZMutj`xo`v$_9v3}!Z*7G1Z{cr5{Fd%8)U^w-5<}^s^K7&iZc#@3!98NWp zEr&gl_6>nEV?0jA4TaNx#rh0L+UEtQp2eI7N!>8G1dJ!ixZ!Zd*{m-JN&7~?Ir}lE zMN&5s&VunY88-?}y;#X^5d2xXudMJpZ#Z|3^MUi?I31iX$Bl;5bKDnj296s8XXLoC za6ue54lbDE#>0hh+yuCAj`M|!;JAr!Q5-i3&ctz(;mjO21ul-`#P3BBUtcD0+*G(E zj++K&1_YGVe$1Q0YF+)6k# z#|6V_IPQBmXO8;;PRntt;M_QFHJm%gt%398xV3Oz9JdZm$8jNWz8tq6PS0^0;0zqM z5zfeQp>RPQw+Swo<2J*Ea9kK%ILC#fYS#3^>%0*oEyje2ItOk+u=MpZU>wf$L)mEaojFAUyh4}({o%DoPpzZ z!_oKG)yC^2>3wN?;Nl*!fdnLVd*SHkW~ti;XOAAWOy7Pu`gv#CcL0ulzLvU!aP;%F z)S2Mu=S`_QB+cJLwqEo|>JG!19x!J{Qg;MSiE)*Ti-z;M&-!#o+IJK#;vRERNa|wX zY!>DeGAR%ZUrz!~qbz91y+i-SwJ&0G?ay0dUaG_7vS`_Sf2q&`!2$nZ!#B$r0x=&3gh-NE*{S72J7=h(!K<^h$QBskknm< zvn4X8ka54mxx;xPY2OvNkn5~397)|(xbP>;MIfoW24}g(oE1skbvS2?Yh_#_obf8_ z3qsPqB)Eht%q1bIy8-8!&YTyLx|?u{r_3pl)ZK!M!njGs-GQsFdK=A4n#rNJd(+#=&1!v#HOeZffD_XN)U z5_6tN>eAstE;1L6r0ywP+6CsUNa~)!X|tGfLsFL^^^mT@oPd~K{xkEDIM zaQ1o3sgcy#;NmczAmj4j46j(95lQ=A!D;fDb4F5^50`{-i;OFP3o2lJAxPR+2#=N;oUV6&0ALt}`gmJTss|M$*WPJuC?W+!_uFRYUNu3>B0>+bM zoC?lZh4lp?X$Uk<^LbuP)x7Ef}}TxLR-_@36jbB<-sW=U$CDPb78k!r3sc zsLnifb>Jc}9wp=I!g<-T9vzbQ*~3{zmoIr-rXi_&4=(r%=0cFviQh|K@;*xyo308; zT|GDx#?3O$0nWDu>(e7?Uwt_Hn#`$@)HQ&M!+3&>bA&V0Vtqy=?Nh^PYBT4Iq^==c z62>hu?gO}>cUfOBlJ+%%)7D|m4M|;NxHODgWn2@ukh-id97+3{!nxZs=ZU1Q8JrE{ ziuahOP6HQ#@hBPB9M0=~)}uqxz7OG)^_Wv3scQje!nj$+wS@C^V10Td?P~>RU!OTO zlDgJ#Ze!Tv$Q?6U)T}QUN&C9MX&W-oXu}-$zm(?ssuA z_rH&&zKQI9YCzJyK5*(u%xRF+xx*!3JW0lV0%x4e`ht+OPdp!t_&9Q&!kiXKU0*m0 z#?xe6Ke*s8Szic}_VtHTYuI|$AgLPwmw@pk8Rr3KY|i?EkhISe&iO;;v`Fg2^W%u? z#ce8E4tFGVgW#+fx5>D{aN*NfUj&l&eFo>LXU+>r-RE$M>C7pS)D3})!njGs4TaOq zV12$w+UEtQn#r6!lDc7VzF$`^*?&lXly(A942KKmxDjyS95)g!isMGXnK;fH&dhN> zaB&=`gG=DJ(Qru|_XV7Vz8_40Q*xXyoQmTn!r61& zBsew4O@?#lxG8Wtj{6eMm*d3qrHR`iJ;zOhGjN<9&d71o;et4B23#=5&4df#xUb;C zIc^qQ1jo&Wi{dyxxHyh8z$I|p9JnNo^M|u=++4Ucj++N(<+uPi8^_IuQ+)H+kKaHz zCC3@zR2;Ve&Yt5I!l^lK5uAqOzJ_z=xW#bp9QO^JC&w*;^WwN5I333=h4baOZ{hSD zw+zm}ao@oiIc_;z5XY^63+A|$a3LHQ3>VIE-@`?4+z)V39JdP2#BrKv$ zM>q|~{RHRCaX-UpIqny@V2;}Y7s7GB!i96(R=5a`i-3#bxNUGIj{6PH%yHY{;y7*x zTmr}Kl%|WfF~%X^#S5k2-`V-Hb_q_r(DN@)7YS$hjJ>WkBB_gl(|nHCzewtK!_n{i zr*01%J#PVZd*LjYo)uY#EuKPJ-hFT(L)iR9AgS9A=Q)%)9g?~Oa7r)c?2*(RgfnA2 zPR5zw=y?@r-yyi9->YB=S(J7nIV??gFq^*wWL>gej=<@MF=s?l7cKRX^J`Fd6po(v zfw~wt)wS1`qqGxAtTbJ6f3_#fVTN-b$(F+tN!>9x&2Z+Nk<=ZBOTu`Xj5`4rJc9Lw zBWd4BIC_2v>Q2GY^FB~_8je1G-y`eg44e(?LotfYCv|af5g3n>acAMYyjhP9N&C*h zX~tkZBdI$NmxOVPjJp7*^kID}B<;HhXTrEy#$AH*)v-Q3lJ>>J#f@ez0ZCl~oZ$=R zj7aJ(!`Y)pEz|cq96e71?Yja;&o@EcRXBPc3F@xF(epk~cO8zN_kp@ZIC|a(>XP8- zc^{~|0Y}gKK;2C^dfo@>Zo$#>K2UcXj-K~{x;t?6ybsjfg`?*!pe`AXp7((|3miS~ z19kV{BJjQ5QAq0U!+C{NDA}UukkmbZQ?6%Dg{1BwoC)J*8TSazcLVFwBWYg>oc%`T z)JW=5;o>l!Amh^D456&gh@^dw;WV3=b4F741TG2V78#cg7qpr61tV$SQ#frHb8blL zp24MI+$!TT;6lP#UpSKXWx~1t$ebsVIxCzFq|uRIB<-sVr`gM#Gm^S0a7h@q$hfL-LHk%=Fp~DY z1E<~3oEwt5YH(>7x5~Kca3Kd+UpSKX*}>7ztG!R2XRF}o^Kt5GNYfq9o+pMQsjCU+ zK7lzeBz3jmg2plzjHIqMoOT>@Zb<6hg`?+Tqpl8|!k6_ak<`_NOT+Z6GSjt(qvv&_ zeec0VVR|N+>Anx=evsY1?k1MBuO6IkB3lkUvL0?<%M7<5@v3zEK#AAU_DJfa;|KPW zSf2(-opk&l0pk`KCmlafUtsgEL6+_lrz9OepyvTB?ZoAfjvtubt1ABZ$ECCrH@VXB z1J6NhIdn(|{0;qAY`sXw4?+eq7lEWsI)0Egg*hvdI_da<6}OiSNu6~3z*_J1BWa)Xcys@XIWHu2(&Nqf5_`O9k)@s3AU)pb`5;R>agn6Q zTX@6Q*Gp+v`thd0?WIMk#S-BXKIQe>S3iI)hY_hRQU7w%?W>#3oG+3(>Glmj#FjS# zNu6~2CN+9}IZC_Iw=dmaHpKi%$73C^-KG0W>gr3*z?Q=vNnHatGsfd&oFklm4(l@@ zX`dP{Jb<|fBy|np)c(wAkkowumw@pk8P^ETIG6PWA!%P@IOloHxgn`*0+)tyn~ZA; z=Q*GC>5#Oq8Jwvfb8$%OG;s9%dDJzBqvy|~?n5|wzEtX3z|r%dQr8lWo(GV+R+7W{ z7^U+!Qr8-ep1+YgCpdb3KkA$%_ceQ5O6O&yt_>VLFC%qr;go@8N*;+Si|d3yGdO~IC|bl>N>&E^Bz*Cg`?Y{Mr3(i;OKUUy3TN#1#Eep zk<@j8OTu`XjOz*)ypZ*UBWYhZ$>BVo()m8A>kdcH_eq^A99`bVWWBh-xi4b#=ZU1Q z2b>M#im#cct|uHlzc_Wh;1t-dsE|#_{Pl*5!1PQ=>OO+gEoSqjM^g7OoLd5WytyMw zJF%e;oabTYypW}xIIHe(s*bPkFH5_!g8Kx{u!PND5VEOQB3zuOzP{gg4r0y?Nu9Lc zPs6xX#!35qdY)U_C++t&+Siw(v=f)(kLTG-+5ANyo0TkuaQ;Vswj9#!=J_piIwW<{ z?WSDDoIR2{>2@5QmX4_K( zk~$x_1Rv&-kkskm==pl78x5y8!upg*>b`)B!njGsje*levp!!W?HdcHI?9|qlDctl zW{k(lxbblM7}jS%(!L3B>R9G9Na}py5-^@5oU8%$G%G{_r*8il8-Yy5UILhp!P=*f9S}nfb%lZ!75e#c3bDep^A$KTRFJR$D>O zKTRFJR$D>O<4&C))*n6pG<60zdLC`+=D^YOUQ_1}rwd{0S&wXi`=4_=|C0NkbR5%u zJ#!i)b<*>z1dJ!iIO+KnJ&!i+lb&Bi4SD_fMrl|2`4v51OgAit^u2j=h2<4L*J0kq z2Bhzor*0k`{oZ)$0^lNcu)ZiHb@So8b~2|!QWprP+{K&7cz{oZ}*zJ{aUr%&BtIC?%C>b`-a=NqGL37or;tv^pBbwO}8j4Kx~Pu)_u zD2$tB+_!N0NY-OO(!OPI>L}(kNb0_WqvvO$ZaEx1KNEE;;OP1Ns9Om~&+kWFFr4o~ zwj6pSb>G7UW5X3}5`H0EsQUpnK=ZU0!o8XMQOIC*>2ua;$IOjdgxgn_wgGRmO$Gh3sX0;Yix| zBb@s_<~)(q{RC&jaw?R6e!KlFIrJ#@vtHWw3tR+xqGbBEz)6*eo->-1WD$J>n z)NO?`Vcaa^BH(;Ys$a9R~}Zb<5);Lj|&-=Y9L&+#T4sd*eTM`{Aq@x5>Bz(sY}!o(Lpe-h*(SO_}pTQYY>P#OGm(X3Qy( z)E$D0!njGs9fpg*<1tF+ad`yJ>i~P)>X3B0(QwLx%&Cyn9fdPt+$`f_;CxN2PmiR1 zv2gZ>m{TLEGsDGUJVC}CgEJgveMThhI}WEg!kjabx)X3o7`Mo{lW;-NtS=Zz`%X#j zC>)Zy(~^sULsEA}af$74hC@z;T!1k~r>nI19&JflK4Kt8j`te|>vggR|$j>u_q0ON7&K zToRl!$K8O_a@C%)_zor>ec zm%XC1=Q#1@uISVpC%)_zordFT!#Q)@yKq{Ls{`l8adqL`InEx=ljGil({bGUaK0QT z9xf2qpPu8y!v>-=a9n*jBgZv>3*tCOxL}S`!-a5ML%48``v5M2;~K$5ah!Ma z6CC|MbLzBk^n4i9xxmr$VNll@j-HQzx-M{Le1E2SukZ`uLS0ul-+gS{_r;&PZg8p` zHm*j}zV2{w7>~>S^FCKNy^W3QU;VjrgR{?PWsYbJr8jSHa$|qDRu@ z=mlqAhB-Boy54Yc7*CLKAHg|0vHPDEN&7yAGn8f1H6p3&17|@`noOTNT(C2nZa9+m zeFEp+hB;3pb)Ul7Fs^9JJav8HA}}5$b{d&Z{!((IIKyP&j23=2S@Pyx>e2H_NzTaK2SppB_p3hQryv!<-kAx)E@S zYRtJIsT&EGhH*`G=BXP6mw@qvI)CoG;S6=zxWVzyoe!K^&BirII$fPKU5qEmxY2ON zhO93LN&CKlbN+xiEs{F%>;1*&R~C$?$+)p_%J%GWsY24ead0M#n`PX1INuJePmiR1 z6X5JSGN(pT=L;8y@dOz+5zf$w^%;@0ZxWnF%bYWky2)@!7`Mo{DR4n9tS=Zz`@V$J zc4p2EN!?VqG>lti+%(B`VSQF4?bA!HD;$!#>5}UPhoo+Xl_+I5o%l!)Z8fE}S#R&4bf& zTmYOK$IXZH<+wmNBgYxxf;esgTrkHigbU%gMR4I9_cdGu$1R47;<#_%OdPia&dhN^ zaB&>B6fS||zJ*KTxMgrwj{6SI#&OHx6nFmmak&Cc$#E;;R2&x!XU}op!>Kv$2RIGK zt%7srxYcl4j#~rg#&K)m+&OL?oF~VHzOj{6zT%yGZK#c|vgxCD;-6)uV6w!&FBE&?u%(B zIqo+&8^>*jQ{4UQ?bQxACCBZAQ*qobID3wZgi~`|6r6_RcEdSy+#WbB$BBol#OHr* z9Jde7o#Xbyd2-wVI4_Pn2&dyX6Pz!{9fH$y++jEa#~pz)a$GcA5XT*b3+A{OxDbwu zg$w66Gh7769fOPFxZ`jpjynMt$8jg&5;*P@ToT8fhO=(kx?L3^4<1WCdIPM~xJ;z;wqo0q`u$Pev%jMO}#FvQif)g+FeW27Oz|qe~QFj@R zem;u2-{IU(vFUpusk;If<<72{kknm;3pvU9!jaTngG)QXoE1skbvWH8?20dvx`t;Darli z4qO7plVsdoIAecyB?w8Un+)eXfL+lesk6Y@d$22NBz5=T6sOtxQzEIm4;O`TlZ<-+ zr#r*?e37*8AzU2hD?w)d9>Ezr*%c#__NBmS2C^&8Na|AIk}z(OacOWNj<0X8O81G! zhaSU4aNH9(6UU{)nK|w$TpY(egG=DJ47enY%Y?IVoE0vOZJV} z{roC*m(fQ*ze=67e^bS=?S>jjowR=o8pMi&k<>~1w>b19$n;73H^W&rT_ckAN&7eL zU^ZPhBz4mMEe+#V87J-EH0RiKosqOp+P~4yxl$+X-$FiP^B0b!PTIe@f6kmIk~(Ss zX2ZB*2=mlQ`?m;;N69#8|K>H6_2`haPujmJy_j=HQYY=-tQfb+IBEZug!OBYSZJXfVgz$aBz4mMEehi% z87J-ERIOg$PL}Q~y?;}4oV0&)<~V8prsX(k|K`SV(*DhzhgE7sPQd;et6%+P{TxoDF^994GDHA~;TN|MnsF zZ^r00E5%1_KBh}QXH8uJoNgq$;)|rN5RQJ%nz|x5`nhfDis4kF*cE#ubqe;+@Cq}= z<76D(R-~Yx@1}ia(Wm!j(={Ne!`osMY9Hn_Nb2ym7)1iclVlv;?xHa2SYHs5_TlX= z3g^+xX_3@PZ)b_>^!j$Kv=g^$cpHnt#Bq2Vi^9xtczcQ>j^pt56h#8Z;q563orW#1 zFA{G|>2c5wO+S)Tz(`&4l17R+go)YX7X zz<833s|jap$@+qjw67MNb1UYwNa||CSumc4#M^WKSby)r1-E8>AxP@Px9yZ1S9W5~ z9Z8+|_8rk#F>aG__Hg0OtS#=&ETvUw;}QN%|Dhy0~g+f^+h15YYykxl{qgYbsxehx-q9jQr7}53gafE^tMoG zyVep;*PZqGBB^Tyr*dV^9!XtmI5WoMWSkS6-i`GckhISkPThk!4U)Pxa0wVsl5uU} zj6GRj5R&$_gLCf1oEAx4dpHZm(_~x+xZvKbF9b>ZI>Nbq#GE^lx=wIbjN4?K7B2i_ z))#@KeJ*gGeVFq?Qr8(y;m({ANnIB>`ni+7c%I1qHaiYt6SfER{dLrd$3a9#KX*c% zcpOA@O6;gqNa}uvi|EB>$b_Ws3Y?{vut35k4N2WqI9pFFpiJL2ICnTtB<;Hn7u=go zHv~ytBAnYt%()|}OM(mO!R9XlEuQywNzDVlC;~?Vw zRP_;a_DJd;z?m@~C*#E9AYz{l^QGv^=9Bh4f{Va-l#COPgNS`z{aB9-V6)TP0>HK948(J5nTw!Wx<7WoOm2W?2F*I7jRJ=Cmsh8`%E1763)zV;&BkM zFOK7Ea0whI9tRQok~r>_G~Jr)@tc6`$9_}@!zGt^IQqVD>ZJV}{ahe*(*BKpE|5BD z|EB+#&5#jEowR>5;c;t5QYY=-G<{f~Gm<)K|CWSti;R=@Z@vTAc0-S(ebWBT-h(+c zk~(Ss7Ua(6FBnOkw11*$qjZw0}#(xK+kU`?ru! zSzkDk_DTD<1k6{G%=}6FH{<7QIf9V1PujmZ4`EJ=q)ytuSuma^Wzd3W9w13lboV0&)<2Y&m=FV}_{>_u)uzw2? zen&sQN?n2EhO)<72$H%&IQscj>Wbj#=V7TUhI8{`({)EuCm!b)m&1y2n~W2W^NWst zZkG0m$N5DUK8#H_0!f{CoL_XF!N&9fPK%s8I zoCZl9g^+%r-<2K|#GJkkltq2znqzab^By}F>^K8Secp<67(_4i?Jdi3} zlt}9Ev{ey>aT9V7<}Ym{d!O$hp{8WJAs%oQF1|?W#HX#IQ?+N#9!Z_}v`}6zY{Oga)`S#(IsF!Nydr0710^R1GvH^2ub_I z-G%6!wajUe)QP(b(OEE_hWt$Uop_PvPuvZNE?7L!D_la5)QP(R(Yc8SdWDNSlDbiF zR*c(ZoHtx}7uFYnqh<7lm(Q(;4G zkECuaoEhVBGHx849vgH6lJ<>%~y?gd4x!e5l2kwT7nRe_~=8NL9g@0Yflq*;ALzT)bD>i5OFY)%;+cogN zcMa%;MfVo|zxMjW=6~-B`5#_#aqIM0+NcTFS1H-{$`{+Ov&dU5-fqYKhi|I4lmEY9 z4fqN3Fk1NEOZY#u)Z^y=f?4}tISaz!u-CR<4Xd#2)FGs*Dz<0HW5~Miu-{KZo=v%Qz5FNFKvki< z^z*Na*zWjNXWJb!(%z2!JQaBc*-*uP?~lBOY*mB({%d4pTlVvRa#`MXzg+|W={2xX zm_X_6l(-EWF8nX%2#iVFw{zl?gSVIe=o)xOXfM6}x{d9Pe@(W%xq@t7i~YO+c@x>T zHv9cDWsm!6A>*QNi(ZCG1j z`}ND)*OyU(c|;`KAur&fGzEsLOs{j(mjdZqI(d8u=92`#tvi`YtU0 zb4&2H`Ry9`?^^@wg-J=zucYT;;x?=F>qv3@+qciX{#$Mo`ucGrwma?KXWN}+$OlN5 zdhGYX$W&xE2lo3l$Y;op>a*W(?Z)!IWue|qx53PX^p;y{&iQ6o3TP6Nqdiy1f zJH5Tj3v1>-d!er%H(`6zxdB`G-y@$Odpfe;uSeRD1J&&Jzag)?y}j}Nv$x#a_Wy}B zut}JxxV;jebBWt2@wyLw7Jn!HeCzFNMqw@d_gutxk&CY%4P~As9I0k>ey4(4c5}^p z-5Ry2c*|Jf^kpwxt|{)WN-kv@vh7?1Qt<)%*$WwoR5oJ2{{ndkSrfj^|7w} z$76ectZSrS-Meh>V~X6yb>}Y~Ir7u^7YAo89rtbFj)@*~pB>R;?VHjouQ(&|dH$t= z`7YHT*7&;{O|_dP%v|BrkeVyR$sVe#P`{&a+rQ_l;)xCy)y->9dDc2O_Q;?N-!q-Z zWPIPD-OUv>a%ROJ{FuKrmbTdQ>Ub43QKXhERAsk@s`BRS1v=m2Ytfeqj0-#J+E=an z)VpI1znGt|I}H4IT8sRg$ZpF=Z~j{wd^U+`6*`~ntt^?qk2QqZFK52FUh9(;evUDHTYp*Xz2QaQi9QFz9t7tm-p(HK=(p`LbG(1Qx#jMN z`SHhYZaLj~e(LmZv*UYo-}E4_sAlT6rP+x+il#is%d%_n_xEyj7gXq5ssA2vzS>k* zsFmg1ZqGa5I<#UXLz(j(8csYhyGFO|7ghzN-U-VZ^ahLDV?m|&D=SMDcek(_h1#bM zX9~C0I^w+G;^6N`{ua66;0K$lAIVPNvvTP=?!H*M^;I^tzRZG4if-cU?ye^EDtbJ9 zdONU7pYM;CZ5r-Uvu5|N>Rz6(Ci=ZD`30?8paxS#ndlMoz&e--hpGXNep1IG0Y6o=6UrY6V;BLRN za9x@Idhd7-u`hIIFTH|ti@jA;jo3pQj_Yc$j+F7t>f_Rg8Sxfj-D&U3h*`_&n3aqXI!G!ah1|Ew>W-kkZ{jU*$}+%*r?v|A7+nD zo|C&Z`m?{k)z*1h%53SPtSR=EJg&;S2@k6eIt{K;HN=?Gy?5b0XIq_HR2Ntkbxxnw*=f)Rw}rLdWNQ%Di9FEbJDAM^@1C z5vJdMo;>>b0NqTx1$K{H|J%hsc2t}%RjjNt^*G+ZmWR~xktFi@W{E$0*+xBJ; zUd$QX)Tz`waaN4D^%~ejF;A@i@n2hmo*n;zM{VRwWlE`DVQUb!yo|U}9Qpai!~g!q z*5{FOT}dt5*nXk?hc>o$SC#H!@A+yA-D+3xtnJ!zr02pWUf(wHD%-^ChbCSNnk9{azrnss+#|khpwRtMtmx6}{oF8Zo#tPa z-B_!<C^m!(V?6>XsHc`Iow=sMZ2Z@~>|aE(x22#5dj~bpN1i$tD3$2=(@j z^F6Bm15XIAo>e%CGyF&I%dnu!;k)`7^L}euH|Ol!pHFpLHEZUqqtj}=$)mQ1MbWF3 zB3>*Ob`jz;*dNq}*2P1eJ9!%qZQH-?@WZ2*?{7YP_|W0I2jB3ymm<5G!dPk*JFBNx zEcU5<=Uj!B8CQF+4~_0L{C2xxorWgncYOXP+s5+Me=1P4d9C<)@wm|P|3GmKo#I}p zbu2uYAOBTEi(0yv-_Cv%kagF0di>ZsBS&T4I@$LPUWBN$$J`V@h_x4m=Lhdp^z4T1OW5AV)3tZmuEXGdfI8pX3--B>Z}PU77QcUH9S z5SNwMbAED4c4F)JF`XWb+x0SIOvBh`*?HLw{`pyl=Or5uYCKrpSkS# z+*0k^^3DCk8T;eOe2hn(gIQ(QHEP(r+Lg~Q4x7ID(vjR*H_j|`f0N}kdG=F;mfSwl zllk+7TWkIUPv#X}6#Yy0-VPkpNA(Z%P7PKRioJMf8NVp5Z2#_)bLB%KC(Rfb_V3?M z8w4tLmFm^opB_^@@t=6eUh+}+lobDKM?2ar`i~vrcikuK$+){_{^K{;B)TprcY30- zB5AGJvHXAiNq75;$^)f(h28h6!gY%Odhf%X$_diy6<#cTp>3S+R8@JhU74nzKfBiC z-+t|}I#g*d*6yyc&`nh#q1L;V-_abasaa67<=L7oj}2@Bsw-cm2Bta#k*t9MSPDeEr~_TJS#^{iL)Igj=%^}V9^?wlIm#KU7==ftQ@x#tURUd>MYw0p*r{DT4AR`%)^xZ`2r^t(=( z=luTukwJ58g}aW6>I@NQ4$t5BE_g6L-*@63w+(YuMaQ0ekg=nUU+&W`9;cUm`X>8q z&2*c}zob^&x(El#ZXbX5c3|h`Ep=r#G-`OSmtT#5A!j%4-W#8sHRBDQtSu^QcjHUN zoRVTZ8MqzTvrmnGV5e zUui+P@S2LvSiS0Z%9|sWk2zG_ent4=g>}}>uB*J4ednXwt5zm`+Iy4B&O4t67Wiep z!P*F{Q1Mb&8TJ%LSk1!A4s{!AafQ$-|G-n%sUIn#q}xv@7M^T3DE2A$4-_|OuNeKW z+s~Y2c6!8zQg`GC(D%RdJ!D*V#{pGDs)RC)`Zw3Z57!$i}{{C}5 zSNe5t`RTR^GrA{s_47WE|D@kHyM<%jK>^Qp+&q9Ve4kO2JS1Uy=ed5)BTI< zCw}P~cDsV#Xc4PfybG4zoO)*k+5AC5BQ14<%%}CeDhBnmn+&hYO**-~F#sBWpkg(dyFkubf+`ZznarR4|_ZYZOz5e*|FZxI9=-+Zn`2GiFmCwtz zY}vB8`q)WBxk37JP3w3o7PomZe9)B9W6r*7THLHl>cNz*>z$rzyF^XZR_M|-I(u_w zKv8P`?Aa$K?LV|4rpWr@lt%YiLdG+$u zh|Dij1K+Wo$UT@CID1T&;&ugj<6m6+F#Sxd|AZTrA|0dWTVEYZfBj0v?a;EJyDlyB zD>NjoEcs*Q^(%+2`n8TOdY&??V1`R%s|9}E(b@Mm)y<4Hq@KEXD<|aO?dU59T#mkY z9No;PU%lyvyQV&wekgrqVmWU+t=+T1c2CmFq~81L@)buzH%r&sp~=R?6PNA!+C6#h zZx%+5MQiP@OW4Qm$(&#(Z@Ys&ExSdWNiP#wDYR1d{jOfF-ge?&-WS*{BDF`1q1)~J zui707__0A`rRWCw1{aoP>k5VO9Ajd+OTF^5to!ksaBhw@ zbbI8It+A~z5wT=PEmmeYEzZ=;6^SSI=Db)i`S4*UeS_O|+1Smk4X$Fb;uo!4EKI{z z^!p*~>Bwfqc2ZcJB>{(0e+hW`=;x8= zG7C)?&IdeCS=`Afdd|J9`|nNjHe?@v)WlEx>B-2t7oRP=>*v$`-1dTFKIa|o)LqwT znqlV2jg4BLc{y>#lZ}n;I!t#t5F6b&@uQjXOLNjP4|Q|OeAQ>pa#OT_a`6c79CPZf zdEH`vEQ+7sV0~C%VXiREj|+Z#xIgmXvaHz2VFOz~@^DQqSbHI^2&uH+gF8{d;>- z9_6o?buN8R_Q2MSiaJJK%**~YtNx=wMNcnhg}!hQYW)V>^fTnv&j|f$#l3FLo^M`d znz<+Unaj4ABD+%o-d`3680Syk%U)?wFY_pA(11`09y6f;fqf2yt^~{(P zM>4I_)$}~i+qsKhCa>wz>BW1(Y-c?Q@L4l7J^3r|9QBz|dH(aug}rk4cIAxso^Ssl z@yB`IYoiO2+ok?8=XpwyU$QvALQ%;&5f&p+nDgk(*J4{2eZTzZqjcB2?@w&)j(0Px zMG9MxwQYO{53K#ov@fsKUFy}V#@C-MT$oT(wKKAMOhaY;uY;0$^jP@u$aWg7SCF;# z$i|BjjvZV0UhU4mEZScFkk|Aon)?Y2dpbCL{X`SAz(4*-u2bIbORZDg?gnJ02NvDU zPVKm@&5zzs&rjWDxv{g}k?)q?KKyPKSO2at?ZUn)dwJ#Jx-YKZd3v(=k)7j=5B-fOo<*Vv1BUDE43?c+Xc_^#7lxiwczT5+VusA0M9UmN;z?uvkO(SvplyFPc) zg>y|(68tjSE{Vzi&gI3H1F0dMmNp&q`0>#(N1eA7`K4I573jjWH#evXQ$9N|CB8+! zNrts9^PVhCy_J9V^R*v^#h&#aJ?K=Q3-?x4tR7}a`K)Qn*s(|b+BChPs~$GnVtw!Y zmL`w4y?S1>Vf*ZPe&Z+Jnf0P@|AG_Enz!t?G-~>Soa4W2@CzB^y2yO5U96#P7w?^0 zcjUIt2#p?H-EnV*%e?CE_8aEkIoZoDEaTzkr`Hdsr;XgQX!D6mukOBgeNk>?n=|u2 zOuW%->3F-o@hQEopO}y|x_a2F_w!F)PW|@9L)YC6x}QoG=WNBHA8tSS;l=8e85f7| zJTm^+1doh@&0+AcVGw>+DfF>;)+nlb`Xtj)XW9Q~@VTpDxmoUlR) zb1&Q*oM-Ot{p?b_$Mr>aTMH{4oaI*Ay3O&q!^|cF{d@UmRozjy>E~0Nmw3Fdop;}N zSL;_a zgL?<3c4y{STyUaNYSgTiId@M56m^|Y-LY0tC!@z8FIQuOQ60+kcdM09zWtkorMQ{3 zQngd&+QOZB_3lqkc-H==(U6@VBpo}}@QX?{DpfjGec^kZwHmD^NL&7S83zZ4Bc@kn zTbHTZC(NzNkh4?!EZMc9`+^1j(=v+3ctnk8mS-1w`(gUMhj%mYHvP8e*p*cu^{*N~ z-}ll-1Me*FRX*^^A}1His>>TI=M1iH8{6JIYGa46hm8uW#cnP<5^u^K@NM?dP{%$m z{m!qL*EVized}3uq`!K?sP2v#X*dczSr3bDTHKyOPt(Nsnp{i&ZvA9(GsZ(Y>WlMUPImd(1r6y@h2{z4K$NPcLRQ zH5|NG-QpfLd&R8J4T1d%JKHSBU*0J1w7F$+*_E-ak}G;tKe6q>;o+9YM;{%rZ1Ol? z%R1#u@y5WyeaDU*T${Pb?ry92Rf#hKw}&?Ptw0g*?KORZOK~Hs?OfIv>%$vagRT1- z#0-2ov-(|^ywrJ7ZhSQQm3pQuEe* zWaFlJDY;KCWIp}ycJj1<`g=3B+<6vy?dT(?6~8*%c6fNdphfoxSL^7UUe7X*=dJ7* z=@S{aBsI^HvVP{C;`^H?IbX~1yU?e5#{3-rP8()TcUeCnKCjZJ^EYSzK6&xR5glSu zj^{LSOzwE_&?`HAddjP(hqC4z@yRjGZ}yYV$N?$WPjxDqlsaLm>pRU}R=UJ?X&uMgE&zmP|+)kvI5J(Tel$b@S<_-+sRP_lwdef3df?->4<&lLKEY z9&yky{r;;B4)rI!I)2U3eof)Z^iHYib;I&Z&%#`a&u7ownE%t};<2^|^Tr>qlo~iG zx6yLfT}2l%!Zw_#amh6``%IFmb+Yc>YWq2Tknb-o#}q1?yjO$cUN8v zIF_?9J7<~G=4ts8E(N}Nv~9f4wp7n8g3!Hfht;=S8|QQO zSWbt;js8))PUOtezB<0Mi?|YoXVjmTQT0-@#Pk+3^CnLm<+A?#*^vP+hpwC!eQmQx zO3L8)Ut_LrjQ{!G(rh1(+w*=48sGiNoD_%6>(9q;elTP0gW`iX3kT%HJ~qwjlYAgm zH|n-S1OMpIM3c*fk7Bj)p<}9Nj%<~>-@nM^j6>UXfzK!1D4ua3cSP=YsRiqcZx#xV z?k>fBT+U1{Y_NR(^zQ49S4y0g*;DvAXJ*}VE-SJxA{mcyW@_j*#S1oh@$B$9*oGj zbkTY*EIaQ(-!td7x6c1?X3@I97UG1ng|$4avSVoRwpEesv(K>gP@Fb)j>Gcv!}7xK ztP^kL$hFz^6BGN2H}W#^M!sk1Ju~LPlWFgqi#c9$8&4~^Dcp9&KWfih%XV!b+``wa z$MSr>o9U3cK7EdGtF_wMqS;KB_1oi%o;<&NEUV(z0UHnHwfER?tyxaW%n!RxFG^p! z(c|6APe5bkf2^UtME$4yXFIKBHf zftQBO+gq|GDiya%)$WR1oL2Q6yHm%z>$)uXbM@b*KE{%3sw=YnsqMe4|^Z1yjrZ zAHV40VF_&iOLy^TO~|pyBR{XbB&_qzrM16n`0d(Xs%w7Qvh2XN546jc>)+ z_=A|LRqxc^6Egepr*X&o*V*f-A6;Cgxy|>;wCUGAsdd?^BUk!N+7NT}SNnOBXFSx$OsTNsn%!o< zqO}38KHtrqY3MTH&YZ#m>+O5#m(pi822~ds13tT+`%{Afo4Y5@Kbq3(Xi7P!qk|?Dx6XgOrKr-Ou%j#c$9+6usP|sSLi3G2 zuNF+cq<>avfa}q*%aV+%_FnS1WWAh_-#Gr+?1^hrZ>}6y+~?;&?VQuozqAn-YwU{M@tSRZW^&AbGYsJ^W$}Q6`r_OcbB(5dPw}-8Rzo1_FWPAa*o%ugdbdDsHxWaj>!e$=|~!Ku6@lZ*Aw9Op;H zhgOJw+OCN<|IyZI!qb|CE2pmZepW6vHnMQA|E71GwD}9`jy!64Qemi*R``AL9If}^ z*520XFXCOIUu{gzT@rSqT+H%IyGG9aFxv98Zf+xO%*(Ymj3q1UN!2rn6Fe%f$eg^V zb&T~B!@Vv?pT<|-=(;Xu!il;o>I%!TaJyJ;=^+wqNiGZtrBesZ;fei$wqxCA*0Ju1UoEVz)Jm7;A3Jl|&im(Tv6*(onxozAUSH!sSCiKGCofhe zF83&iiM^3qTxNF1FLxD+D}3FpTgj6Lu8j2C8-Hz`zj!Bg@CkF>()#+A;+$sl9g|-j zepDng8{b)K|4KYhQ{K$#@uKm99&u4>ACBKc|M1p&?C<>^0s!lQ?S#VoO?Lg=jrUs)ExoI`6pL)D_A@9{8hWV zA$wa#uDIiJCi?2Bg8N$zHR`l+xnqj?PK}HedcUu))QA`UJklt1#m;Xk->!ep@bb_% zDNCMx6Ft?(`^mWZ-MU5ix%Iie_(&sREJL}qQIYVcUmtD#Lw4d{KA-yjhM69)f%|WM zKdWTe)aCf&pg`fzJ2eLM$tWlM)fZVp?d@8Tx7MxmU+>y|=j^egwDq4?6q_Db*!pv- zsZnOhB))nv&p&TuubCN@^GC;YD>!+ycu-``t?LpCCm(%UW?FjIu>5jxS^YG?SZvF4tu{tm4%C!2fMjN zsp|Eg`0YngQNN%2(Eaxjnxx)?cI;dee7x!6#UE9v@c&hA{Xt1*TYO86dYM@Hq>Q3^ zwN|!KS_vgk+ita4+Sb0TH0jsMD@#an5&5-d<+i(N8x^St>ux{REig+{3;{JQ6Cu+? z3;D4ks2C^+U-|uLd$WJOd2i;-oqO+@Ip=)N`P?&i=FUz3V$o6ft%utsEqfl!n9@=s zpv+Pq$;Zu1E1o~Kgq>ods!3+4cggbRN{8tWZ7e+D@@D!3b=B=&chB0fW;}-jrhlB* z0oj&JD=t#v2387@af3w1pl~Upbo$mDYVbq-7tm5ZgOZc!X*!j*hgAcPCRf+)$1)bu3+qAjSgJa(utEFX!eHB(c!Ceuk%2ol|G8cHEXS?E99TO9m^EO{FnP zayJ5*3?^TVq4msG#6XBnNzJx}FeM{nYw$D^R3n>|5R!r=WIo5ogcL?Zf{YCnNP2s3 ztZ@-Y!L3qP_Wc^yI!WZJm8z9FzmdEI3yJ&K`uL261hd3OGOVKU7_9LKO!}moJSt+l zUe7C=nt>wdW#}dpsn(GEKE4-mB^S{ndB$FlRYX1DQ*xty4XHZtGsR(eDye}7lcn@T z)fy%PDJR*2{gKF$eu(HShOy~7*knylE(^=R`jh!r9=*GZ)bJl|F|2Cd&FO6DN!ktd zHKaQ`9QSRfTItwrrfOs$6ZIsUdLJa#>!x6R2b=?02&h;n{`M_gN!LychyrWW5zi{J z{!l>0dfJe|(TqIH!x7h@s|Rw_J(p1V{=``?#Ha_a&Qe-=XY}bP=}*Q|^oXG)A;nCv zH_)7xW@Tw&Nd-SEL%b3=qTRgg0`rj|YmXU~OG(tIm=UX_(G%b1_Ect3;e?K#gIY?1F+s2c1<1J$iP?)6&nnkYeek)i;EG+iUN%Md~zh4c37@efog%WmR^wYxJm!Dch?pl-v+0x zAf_Jpk*(F8@)MaE<)#9j`J*2NQ(Ho`8k2a{r?MmG7Etwq2-`uOq+KNA!kVWE$B6 z=O`GV;jYQkY35-U#J8-Lj8zoDIA8Dc-@`fFIzYavwT0DC_apz4#Mw|E+1m7I=RMD# z8gm@p)6AI#^#xg*jI=UWn2Fd>PZEh=M7jD9s!L>Tb<(58dWgyh%HpPzcBP(=-d{NO zdf>!4W>oU3>>DR+IT8weSj8BvwLvI52yRF_7@%j%6Z>3XOgO`nihb^E9K){12vZ?_ zU;=l#s_pYWL$MoK98U?r)n6Mj!`Z^5m2jc#UXsKQO)*o|7T;$mRsDp~L_e>#9U~WO zbZ;J{jIQiF`2pdh8lJc(e^P<3&Y@L-&5m-4PbTjcXYZRO8B?#uC|z0?0oj~CkpTlM%>L|T6hTrc>!OqtZ9RQAAP5mX7FbZ#&ne9aLB;_;E~Jfq z9&mTn`v~>!ra?tOf$#BO?sO-{TtEcWcgSxytHbjVAkfsObkX=jcYhV!8knZC$9v(G z4qe}4;phfD4aQ|T>V;i2Rc|eowMfC-RedWkQW4M=B#*wU+V-Csobn8J11PBPAO6Zn zfB#S!>!AVz*2klhD|$$A?wI$Q@(-GOQCrV0+z>kdyO7U7zXWvT4sQCdONnntg3Svg zrTLG`^@_wTYr?j+nR5?rU#*)7Ee>oAyb+lD=_ltghi4)4KG&|EJ$1?CU3aD~_~E8R zGq&?39|a$8)6c{*2kL18HidHVVW=enmC>FmdjX7Rr-I97bK?h?53Bg=Get0UXTI%0 zF;OHwt*?g||QF z+uBd_abm<`TmnM(Vu*G;WP#>NI?cE6`%6EF25wFkbb~95PSZ%mmW6lW?2hz9@;F^r z(;|haKO^op3^9Wx(h)SwC|qU{k{#a=m>Ku45U!{zisAgqiiBq(Mq82C_UmeQ*Mf(A zq0hB1St-c+&WXZ#5Lfd&B(X6vDZwYwk6fE^r71U&&(rV6;LlTPF23fl1>*_rtl8#>UKf8^?mGdS1PXyb`w9 z{&@MTzoE|^-ef+m4>Ugrx2q)&OPF}G6cxMVd{tfk<=&<!jy5>lbsSDerspd5P1_bt#YS_p`6y%M#G_oaw^At|`>I<| zdB-`dtQfcciW%-NalYmSo$jc)Uc$>6ailer7j~v$>JN11cEV9rN$5n6so7d8gtIr0 zQ;w{yf?eTdViDxDSR_EUUtk*8o!huLEW*K~%7)tvy^sX-vj8Y})VbDE*Cx{F3|=#p zBPy2mvK)`iXl$k>doZMPwxJBoc7Ky``0P8YbY{W=E;E*Eh$C1g*rwaDZB|LEIhr+{ zi&L*4TBMb9%X>dud6c1Ox^V6-->0%Kumt};%1VxF>Sb9+vB}-}Ok4pwo;3H$r2kKr M&2WFTj*!9mHx|*1pa1{> literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_vlog.prj b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_vlog.prj new file mode 100644 index 0000000..0ced920 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/MiniMIPS32_SYS_tb_vlog.prj @@ -0,0 +1,31 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib --include "../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv" \ +"../../../../MiniMIPS32.srcs/sources_1/ip/data_ram/sim/data_ram.v" \ +"../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v" \ +"../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v" \ +"../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v" \ +"../../../../MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v" \ + +sv xil_defaultlib --include "../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/alu.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/dcu.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/exemem_reg.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/hilo.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/idexe_reg.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/ifid_reg.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/mcu.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/memwb_reg.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/mux.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/pc_reg.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/register.sv" \ +"../../../../MiniMIPS32.srcs/sources_1/new/scu.sv" \ + +verilog xil_defaultlib --include "../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv" \ +"../../../../MiniMIPS32.srcs/sim_1/new/MiniMIPS32_SYS_tb.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/add_inst.coe b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/add_inst.coe new file mode 100644 index 0000000..c75f627 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/add_inst.coe @@ -0,0 +1,55 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +8004083c +04ff0835 +9340093c +04322935 +20800901 +1445123c +08315236 +2c001216 +00000000 +192a083c +40dd0835 +79a8093c +e0712935 +20800901 +93d2123c +204f5236 +23001216 +00000000 +6180083c +60330835 +ad42093c +1df92935 +20800901 +0fc3123c +7d2c5236 +1a001216 +00000000 +ec5b083c +e2250835 +6ef9093c +e4df2935 +20800901 +5b55123c +c6055236 +11001216 +00000000 +b970083c +00a20835 +bfd9093c +00492935 +20800901 +784a123c +00eb5236 +08001216 +00000000 +00000824 +00000924 +20800901 +00001224 +02001216 +00000000 +0000004a +3f000000 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/and_inst.coe b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/and_inst.coe new file mode 100644 index 0000000..80a48b2 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/and_inst.coe @@ -0,0 +1,4 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +24182200 +24182200 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat new file mode 100644 index 0000000..d63851f --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat @@ -0,0 +1,25 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.2 (64-bit) +REM +REM Filename : compile.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for compiling the simulation design source files +REM +REM Generated by Vivado on Fri Oct 29 12:20:13 +0800 2021 +REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: compile.bat +REM +REM **************************************************************************** +echo "xvlog --incr --relax -prj MiniMIPS32_SYS_tb_vlog.prj" +call xvlog --incr --relax -prj MiniMIPS32_SYS_tb_vlog.prj -log xvlog.log +call type xvlog.log > compile.log +if "%errorlevel%"=="1" goto END +if "%errorlevel%"=="0" goto SUCCESS +:END +exit 1 +:SUCCESS +exit 0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..370669e --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log @@ -0,0 +1,10 @@ +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/data_ram/sim/data_ram.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module data_ram +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module inst_rom +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkdiv_clk_wiz +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkdiv +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module MiniMIPS32_SYS diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat new file mode 100644 index 0000000..c258528 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat @@ -0,0 +1,24 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.2 (64-bit) +REM +REM Filename : elaborate.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for elaborating the compiled design +REM +REM Generated by Vivado on Fri Oct 29 12:20:15 +0800 2021 +REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: elaborate.bat +REM +REM **************************************************************************** +echo "xelab -wto bb1d9b6b857a46b28863b191d55162ea --incr --debug typical --relax --mt 2 -L blk_mem_gen_v8_4_4 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MiniMIPS32_SYS_tb_behav xil_defaultlib.MiniMIPS32_SYS_tb xil_defaultlib.glbl -log elaborate.log" +call xelab -wto bb1d9b6b857a46b28863b191d55162ea --incr --debug typical --relax --mt 2 -L blk_mem_gen_v8_4_4 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MiniMIPS32_SYS_tb_behav xil_defaultlib.MiniMIPS32_SYS_tb xil_defaultlib.glbl -log elaborate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..073aa28 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,50 @@ +Vivado Simulator 2019.2 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: E:/xlinx/Vivado/2019.2/bin/unwrapped/win64.o/xelab.exe -wto bb1d9b6b857a46b28863b191d55162ea --incr --debug typical --relax --mt 2 -L blk_mem_gen_v8_4_4 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MiniMIPS32_SYS_tb_behav xil_defaultlib.MiniMIPS32_SYS_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 32 differs from formal bit length 11 for port 'addra' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v:37] +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D1' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:138] +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:139] +WARNING: [VRFC 10-3091] actual bit length 16 differs from formal bit length 32 for port 'D1' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:152] +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:154] +WARNING: [VRFC 10-3091] actual bit length 64 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:222] +WARNING: [VRFC 10-5021] port 're1' is not connected on this instance [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:123] +WARNING: [VRFC 10-3823] variable 'sll' might have multiple concurrent drivers [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/dcu.sv:108] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module unisims_ver.IBUFDS +Compiling module unisims_ver.MMCME2_ADV(CLKIN1_PERIOD=5.0,CLK... +Compiling module unisims_ver.BUFG +Compiling module xil_defaultlib.clkdiv_clk_wiz +Compiling module xil_defaultlib.clkdiv +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_output_stage(... +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_softecc_outpu... +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_mem_module(C_... +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4(C_FAMILY="kin... +Compiling module xil_defaultlib.inst_rom +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_mem_module(C_... +Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4(C_FAMILY="kin... +Compiling module xil_defaultlib.data_ram +Compiling module xil_defaultlib.pc_reg +Compiling module xil_defaultlib.mux4(width=32) +Compiling module xil_defaultlib.mux2(width=32) +Compiling module xil_defaultlib.ifid_reg +Compiling module xil_defaultlib.dcu +Compiling module xil_defaultlib.register +Compiling module xil_defaultlib.idexe_reg +Compiling module xil_defaultlib.alu +Compiling module xil_defaultlib.hilo +Compiling module xil_defaultlib.scu +Compiling module xil_defaultlib.mux3(width=32) +Compiling module xil_defaultlib.exemem_reg +Compiling module xil_defaultlib.mcu +Compiling module xil_defaultlib.memwb_reg +Compiling module xil_defaultlib.MiniMIPS32 +Compiling module xil_defaultlib.MiniMIPS32_SYS +Compiling module xil_defaultlib.MiniMIPS32_SYS_tb +Compiling module xil_defaultlib.glbl +Built simulation snapshot MiniMIPS32_SYS_tb_behav diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/glbl.v b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/glbl.v new file mode 100644 index 0000000..be64233 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,71 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/inst_rom.mif b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/inst_rom.mif new file mode 100644 index 0000000..a4938bd --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/inst_rom.mif @@ -0,0 +1,53 @@ +10000000000001000000100000111100 +00000100111111110000100000110101 +10010011010000000000100100111100 +00000100001100100010100100110101 +00100000100000000000100100000001 +00010100010001010001001000111100 +00001000001100010101001000110110 +00101100000000000001001000010110 +00000000000000000000000000000000 +00011001001010100000100000111100 +01000000110111010000100000110101 +01111001101010000000100100111100 +11100000011100010010100100110101 +00100000100000000000100100000001 +10010011110100100001001000111100 +00100000010011110101001000110110 +00100011000000000001001000010110 +00000000000000000000000000000000 +01100001100000000000100000111100 +01100000001100110000100000110101 +10101101010000100000100100111100 +00011101111110010010100100110101 +00100000100000000000100100000001 +00001111110000110001001000111100 +01111101001011000101001000110110 +00011010000000000001001000010110 +00000000000000000000000000000000 +11101100010110110000100000111100 +11100010001001010000100000110101 +01101110111110010000100100111100 +11100100110111110010100100110101 +00100000100000000000100100000001 +01011011010101010001001000111100 +11000110000001010101001000110110 +00010001000000000001001000010110 +00000000000000000000000000000000 +10111001011100000000100000111100 +00000000101000100000100000110101 +10111111110110010000100100111100 +00000000010010010010100100110101 +00100000100000000000100100000001 +01111000010010100001001000111100 +00000000111010110101001000110110 +00001000000000000001001000010110 +00000000000000000000000000000000 +00000000000000000000100000100100 +00000000000000000000100100100100 +00100000100000000000100100000001 +00000000000000000001001000100100 +00000010000000000001001000010110 +00000000000000000000000000000000 +00000000000000000000000001001010 +00111111000000000000000000000000 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat new file mode 100644 index 0000000..c3a6376 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat @@ -0,0 +1,24 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.2 (64-bit) +REM +REM Filename : simulate.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for simulating the design by launching the simulator +REM +REM Generated by Vivado on Fri Oct 29 12:20:21 +0800 2021 +REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: simulate.bat +REM +REM **************************************************************************** +echo "xsim MiniMIPS32_SYS_tb_behav -key {Behavioral:sim_1:Functional:MiniMIPS32_SYS_tb} -tclbatch MiniMIPS32_SYS_tb.tcl -view F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg -log simulate.log" +call xsim MiniMIPS32_SYS_tb_behav -key {Behavioral:sim_1:Functional:MiniMIPS32_SYS_tb} -tclbatch MiniMIPS32_SYS_tb.tcl -view F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/MiniMIPS32_SYS_tb_behav.wcfg -log simulate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..6de08fa --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,7 @@ +Vivado Simulator 2019.2 +Time resolution is 1 ps + Block Memory Generator module loading initial data... + Block Memory Generator data initialization complete. +Block Memory Generator module MiniMIPS32_SYS_tb.SoC.inst_rom0.inst.native_mem_module.blk_mem_gen_v8_4_4_inst is using a behavioral model for simulation which will not precisely model memory collision behavior. +Block Memory Generator module MiniMIPS32_SYS_tb.SoC.data_ram_0.inst.native_mem_module.blk_mem_gen_v8_4_4_inst is using a behavioral model for simulation which will not precisely model memory collision behavior. +$stop called at time : 700 ns : File "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sim_1/new/MiniMIPS32_SYS_tb.v" Line 26 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou new file mode 100644 index 0000000..9065b5d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:47 2021 +# Process ID: 23084 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log new file mode 100644 index 0000000..6e1a36c --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:47 2021 +# Process ID: 23084 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri Oct 29 12:18:47 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.jou b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.jou new file mode 100644 index 0000000..454eb38 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:02 2021 +# Process ID: 12844 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.log new file mode 100644 index 0000000..5d069e5 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_12844.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:02 2021 +# Process ID: 12844 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri Oct 29 12:18:02 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..bc75e8e03e2ee10472466e5810c893b3d4ed6d0e GIT binary patch literal 7383 zcmd^E+iu%N5RGitLAOPrB5f{4Q*4SFb`y&dm!u>b2oOhh0wYmkSWeIcC|F)r(!#uT zcPS}(X`lLB^q*Q3=r{CNitb9XO#)za$;oi(un;?W%{HcH;BRqXV=8mQhJH6@-qKj<3DGs%r(!tW?@p z;-%iwFS#rE1@Hq{?8Bvz4#0z26u+UQ)#gw&tL1`fn&t*XrIK2Z*5S9rCt+^`?uM=c zw|pP&%X=Bz#|+aB_LNEVH0ZC3;N$%y3`yA9Jc?G+w6qU@Er353b~cMW2~->eUK~VL zbVyiC!Y;Mr7<F=EOdDU9Y^&{r|eXXimjI&RIQdZM>SQ?Fw`=_ zHY^lK=u+|HVJB%p{w5}_?Td3N4E4lsz#)Q#r_k{atpEpB3x`&xYU!3PS9`>_hV1*q zkt<0^M7EgK!NO|)G_YM79i21mCKQvn|K~Um04%g)){Z!=laSPR_Zk|q8m}4_cPt0D z?amm6GI87Z4K3dh0r*i1N-iR5bF9d-$!rX?pzCHjEeGJn3np?RQUaN8cQE8_ifwNo zN3BvxC+KsKJ5^)4sio~c1Yb0`O}RA9xXp=s>>r83zScDzrHl`~GpcDTkHMWin=yFM zrcu&r!)H<0AaAA3=P@S%d5dY^RJm%5o6-$!MD%|yfNk65iAc9YIP`JY;%ynVN19Dc z8En!hfX#>o6L*M37)O-DwN4<*Iu3qn^mT$UA8g7hMMn+7xQ!x~fj50^I^1 z*q%r2DVG@d?$KtkML5RAE$X(#fpD8*s~ge7Vr}n*Y*9jlxh=NbJEV6wQlRC86jzLKn+Y ztr@C5TB>h=MT##^u|V3HYBEY_r0o8*0Q8PciS78f-;cnc-?EF=5koOHa1x6Pf)mkr zQXF#VQ6icY*5^W3wFxem?@y5r0gZpPaC&ZXR>ds6qheN(Y%Y&`j~cjLivkg-%=#(a zC90+;sX=$CndNkMSqEz;J?db-61^$(Y;MD*A9bM}+Ws3xhP|+^j`x_FZjAJp&%k=h zuXkER6?4L(W*TW1J+OK}0xXBD$WOR*Pj;ij2~nO3y$@$cP1jTAkkGjrv&q~J8|`u_ zjpKl8(sMcDwO|l=i4f5$>rbSmbZd>)z_rxOqwGF>@o2jNPD3$`k)hzntSH-{lt!u7 zYxNyuZEe3?uRVRT`%Ja=cJ_ClZ{IhR(uP=36eX(*-)D7U6?~d@LB#nuD@KqN1A#9` zM@q%@4?WVc#E;b_Z?gj8tbljH^{Ieafqu?qMS21%lQXn3xnxBNj}vZ*UEIR!GbHjH zTwzgD%v$cirHge%zIzyK!}XeVp{@x0M_cvXr?2jRbx6V)Txn&M$_BVOCQ4brEisN? zpe+C236LewqITv4%<%w!vwrLV?7BXik z`eQp(^mADmoFgD148pj0$Ct6cXlH%o6u_;qfQTpFBEKi{Y(F!3Zq|s_!DnL;wTT~P zC2kHSu(^XO@WOpzq}EbXEz@ zA&wI;`Gz|yV4PJP2DmdOj!{7I?ItVc9NSrb=gA5agWC@i;`8*Jc>LjMI4z<|H9alf h12-n38hnvD?b)lDdU}HV1c05;6N9SwI^pGW{{SLhx>f)H literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/Compile_Options.txt b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..c82d94d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "bb1d9b6b857a46b28863b191d55162ea" --incr --debug "typical" --relax --mt "2" -L "blk_mem_gen_v8_4_4" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "MiniMIPS32_SYS_tb_behav" "xil_defaultlib.MiniMIPS32_SYS_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/TempBreakPointFile.txt b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_0.win64.obj b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_0.win64.obj new file mode 100644 index 0000000000000000000000000000000000000000..fbb698408c89dab085d42096a1a9756d58373103 GIT binary patch literal 956334 zcmeFa4}4_DT_@OzM_6Nd(jDUjkvO;=cY=~QrU#FSf+tB2C`%i3&;%tBFc>*e9EF`M zP0|yzWC*RBHb|DV*RbLcA7(k-GRJB;-(BzBY-kVu+_uMqQL<*Y9ZXh$1LD@~j#fhu z!H31Im$~ovSM}bj_g;1P)1Q$wGf$tMsrRaW^{d~%`qi)M)vGu5^EV|bpZ(m!AAa|1 z6RDdL@^^3P;}a!yDgVD?tv4}?t1lqs-&i)0NGA%T_l`YWdRTJQe>v3u_1`~~_|xVg z$r#7~xkRpU@2CBM;~z~VzV^I=ajNGMh1`epr!N=t(?gl@{Pb{UbZ~kwGct(V!b~Nz z2teHD>wU>YB7f5$VFoj~C(5toEvwA1%FJMh9R_)!@vC7n^zjB zm(I`J&vuSYKX>ITZaah;HK9Im_=_k$eX;n^(~l(Y90XmbFOP$@s*pGY62UbXCJW$N z_^Ve_id_^AW(M-adAh!c%tYxOPi$(^z#MC`F*2VEGCxA11^|;MQ2+#tl7Pwnk(2im zZWQcK4fJUC{WVcL=P*rnlz2~H9Dh1_XD$*yqo_jl`S>Xk5BOQWorNS}clfzGD)rIz z(f3Uj`umYd`pa+F_w+aWEcCA;lk^Wr;l7st)Eh1IFCdfjZ+!Fa$RAzph~IR6552q# zjkrb+knHJGnIm1vNB=kEI~}M0YmVQLu3E15jo+N;I{C&Y7Cv#aGHqCP_E?>`bnju8 zF4xnaRB(%cmoCr(F8hxZTA8``Z5VX$$=$$Rz!>{ZId^!hKEu#M>jb~if>kv?ll1|;7GPT@Kun&mUwWrv zP_GXZOktHb7ek_79O(XH<=kzsstYoE8 zOZl3^4XnM5JpITc_a^VG5Ei&EZ!(#l&1^_IpSi?zW>(VksOtKuiY_W|AUFSLgY*}Z zmG0L8WGqw6!!5DyGv)kENa`!ZbCa$F&~b;r{M>A26@`bte5_7Rjy*v(SQYJZRdXgW z(=(GhaNnT?G&DHVeFn+&g~7uY4t{CyVB_WHqs^1w?n34c^a?=f_Pi`9i1el_(!zT0 z@2Lwdr?j+z=1H5Sd2sL|N?vZ>t*R|`l@0*|p^0Jrj?+&HK%#V;zcE6fGLzm)<^?3l z%FpBfdJ6SSA&iGKXs zY^Y2M6mor5Ws~=bd6ZiU#Te1(P-?bTJ!(l`5)AZ^_T-PBr%!`!>3B0UZS0ndZHA1`h#3eWhrs zY!&D%lBV)u0Zw0OZ6?xJ1kyE=Yof3C97dbI63JmmUlB+OfgUC9E3oB#)>qyX?kf}M zD{DV^%T7B<;(=}sq9?z_?KZgs4|Jbp)~hf`Jj!Qg$CLAof7WdY(gr~ENJwaRBxEb0k&qCm%mhq5i6mM1m-xSZFi``9g$g%B@O1zkG#DL# z)q_L)k{u;zJBJcsjMc!{_d(x;7RG+W6iykrj5o-QE#6=`6^4?(DI`EY4Bap4e5w_ruxvI#a3`n|7 z1}vux7}9jDt>S-(bCEZ^G>Bioy@hy4A&a=smPTk%2*XwaghWaREmDY(cd&d? zgG>q(nP*fcNt5ow3&dLnkTgry6UP4Qk@HyTFa-&c5ei6{{5=0;BP{fa>SCI7X=^%?nFQQR* zDwVAKDsICe;)a?|(VW%`=nh;oNx8d1CKh5QFKz-E)?)bQt;d|cJfPQyvRq&3KMzWb z^&u<^^?S=g3kTd~A$L~>SAF`i>eGiZW7TH>+mi-?DZ+lMiJsTM!+86XhB6~)7MsSL zy;b$qn+=GxYDI5gNLGG>1OpbEw3dNM9%=~uZY`>3Pdbb0*>CqPs@D!XE0z?3x7mvh z(7t7>8bu!C2Munq|12zzrYW&D@~8t7<)PqrUmj%$z<9`zxH|F}eP1VeEWg))2+HHM zXCF0SqC6D*?#UzarngA?FTCI2#;X6iDUmk(E&>zzRq*?j-(0Q}ze}b>g8Ul&zY0v` zSHbTdzfS)zf7Ea9xOJTHF82TIu}<<>FeTPT9%W#nJQV!3Dv#yiPV&f_5N+hq_aP+@ z1;6|97`WeOAN3>Ku@8*Lc&N#Z$^A3(1m^T=*YtnC@!MSqwJ48U8FQy6UqW79kI;?V zFbYmySV7hY4maGO4*nHx0-d~2Mpg&> zdD5RF{jzs@AU`)%{6*o&${b zk3GRha7MgE5vX{}!hhavKY!6{pScdomhCU8_Oq<#0B@Op*`zJ}ZyN10*Fo8`{Z-Ze z>WKrFmQuIQ(sHQ>)yMs_J9s$@8elnrc^#lJsk;TW zMrB6#2JEjsktiko({H{~G1@zr87qB?o@WBlzJ($5Rz#{nhO#C@1q&tQAUl&kfMuJW zzkRB!bQBc|Ld=j^6%VKJvPsBHPLE~sUHEUPYfU@_k)5765MrS~7Qk)+l4px!y@Q!y zTo0ATa5r>N<&J{8F?aoCC^H0`&1G0}gV5~Z#2toaZeIJdqcc%-Pv1+r`X=Y_BOs8^lvAS6Yy^ZWF4zhp1Wmlh!3wjdkgUt+gsS)`o0*n zw>yv%u(t%Vj@2p8-Lf~#B(6Jq3-J`&TiD)~L-zJ;*xqVlZ6=-*BuC*a>kk#($2dA6`OJpbdocvKEr!0dLMDIQSk$B?nQaTIzvihLf? zG3Z;}U~Uk40MNt|hE|WLAfWz#+vP5(k)eSQL$sa|SV5u>gV^MS6e#;>-1$O9Ys#S>e9$k z@@x@bZPC9StM^`q_7>tPwzqIRe<@^dm&5kf6nmpQcgx;xy$~ugT|4ZN}3OPqDp)?JfWBgZ4IroPfP$k#($2dG40Iz4kh^ zw-8UUy@l;uj|dfg?NhXEo^T~&7gmK96161Rz=paI_0@r z_Qu7^>&@OmJjM1FwzrYzg7$VCIRSgiA!{4^g)Fg-vmO%KTeHu%M7sNhEIc{uAt9b( zdkfoJ&zFPtb}MoM_O>DRMtSa*e+%ucxen|t#8YfD6-uzpLr`X=Y z_BQc{LH~9NIRXDRimYRG%5%5;ThDc9Zy}yydkfoJ|5t+cb`Np__Lf4H+V!?u_IB_( zw6_pXvAu=uZ6#!HFNE!_A@)Xjwy?M0{>c=^-fMLKZ70%i`2CYp{~_xB+oIZkTSSGx zp0-Z=Z}Zo#{d6MzhPR)Am8kZ!r0ge+3R|?Fa@c}N3enR z2=aNd*-_sM0?Z9UX8}ztVd$(Q!Ak)H!&mtjdioCJS1$u&;~U>a z35;*oihbEgkL^pJst?=hY+nn1Mo;#&4IPHuL9P(1NId$czxC1U+P5q z4L|0ft^OLlb7qX~eGauu8mY{!{x_0fS6X`d+{cJ|IpAlt0t6R69#VSHd=F?wEx{=RTkp)VK6UH7e|wT= zj>+j^W{f*xK?5u}Tnka?sDA%;L}FZ?IW`uGSVsUoBIoWOanIe)_TnQJC5gxR!FU@h zM!ZyT=yc=pVTs3w5$zUCTJv^Vy?2G*18DWG@CVF#c%qMBH59@k6wfgpAM9Fx2&~y( zEgk_YJa3tA?jFbW5UvMH_u@{b3(OT!jmZCemzQ6VAHpGNIAcr>wjat2GOVT`MqcpS zO)f+eS1GCeWo0)xR0!G41Wpi?^O}9{Yj0e;@wAO}!#?8qTF3cX&sY71)jFOosCatA zW>|QkyLCL>k7qOI=?A>?0Irq!nvL|>zP2)7t4Fr4DP>=as1R~orhW1Io%qlpKSYQD z4_zEb(VoYU=a?59D>BQCpGEwxz9^V2C5`h2@L@q&t;oTxE&%B3$rN7}!PnCc@uj#2 zrvQzu#vHJe2`upBr~mpAad12W^27|jFn}rpJa-ofeKUrV$3}^7 z@y~V=*{UG~)DQay55o@QQrjfXq3^99MBZVILac z`Ku{O=QI2!Kz?Rc((@S2T-j7~Q8|xhMLg=8G|9@!p8@Kue4vKkQy_+C%8P(<5kVno z+*3q6MgStB)YrZn6}=E)Hj_Y2TXZ37hWE(_`DPCBn^?|QC%?q6PF@&1hz|)q`rOHP zsS}^=ADkp^`QRik=t0efH<4j!_-`vhQdfzeJRuD0fkR#**izro(eal0=nlTX@d^r) zmCyVsxS#88ps_pv7f_Mu6dT6ZD~U*5zUW(qla+#%m9Wllbn2uA57F#VHiy)VGB2Ogi!N$*O@2yQ~CS>Jf(Z z&;TzX6&y$msk{^a2cpST5{aKrNjYTLB_q&Mk1(vKB?Bcf2TB@1 z0*;Te5PyCpv5-olFl|a=xlc)1WY|d&P$7jd_>q)Nq)-xbKnk^lOgbE79#NV1Adydj z@#3Hl2$Lg)LM~ViBuwBNSX({?M%?;SU@XE{hu92k;xYU`G$X@1RVL%a+|=?vCdbuF zKSR^NXJ{BqV2H(#16v%)qYl#iXH}Xjs5GnZ)7*J4pBFy-WyC4Y*rf-dxyPo|Dg8^2 z$~ecv_uZk;v~+rOixAc40IsEBqg2Slv{oo@{Fy8^k!NEOAErc!=#$m3QowKh5gNb5 zy6=-yRbTWO)}n$vo4w?|@LDe4-D1a*Ft20!(BWju$tzF(D()TSwt53AsIG)SWbS7a>fMEa2WQ5<&`6wg!>#qt}GS zPkr>703oIb0s5(J(9b*2Q&pMTWt>r&RJi(jATGd^0VK^5gmEb}rrT3kySEJJ+xPpdR`E$t)H(vRos zkW?a3{%nKpxfpwz*werqjLiga7T2Ap>2W;GcJf(ZG=rEWFh_?Apr4~U|JHxf#9T&hyLgi2jK3;O*RUSqql=LNYg3}O z=#AyRsnQfO?2HJgFhUsojJSt6V1%@QJ017Ts!S3laStyJ`hfg#56gjs3F02smbizw zb=<=uM9XZZ(^M%1mt=`&`jvd*sgkR`wkvG$R7rUuG1O(4T|8A{2S3X$$z_g3C6a4? zs+4vDn0TthMfkl4U}D!2yO!Wa>+8|A^vA9RK^;BHo;??gT}$j*ZUonoja^IZTHP=qze3dRK7ZmgF*b2;p$PmEQoV0P82mW!^0NKQ13rGa#l?cX1;2z| z|By)?_k%=#S>PgQoP00eG%S7o~yo5w@-7UNeD&dS?7*X0r3tFf2yUZTfxnw-ij2e5CV zL$FW8`|9*7gLDh=@~&QIIp}-qF<#zP-%i`Gdy_OQCtlu-?oHx-b@9Hs8(?4ErnBf0 zFYoTvqD#EIi=il9-j!!-H_(Hm1U}CzUule&clXNE*cVk`+&`b+%nG`N%2-{Os5 zXvC1?d@?rgo+Q-+mlU5Q6`v&Kec~D`3)~?VpClEZB&Bx#@KCCVCrS0$y-B?AvZaSb z@i&d)lca8hZyKeY=}^4zvRBigc;O{pc!30Nw1t;`$J4|MFMH)_;)NG@pRzxA#A76)QeT2441Ro(pO?Lr%q)^* zTekYRbc3MNUNVv;bdr-vuL6ag6af`d2*cJu$|h3Cn>iqbeGQrVOUEy&Om;l^(lIX%`hesV1EP=%mIDbB z7&2?W{M_BMg8OB)Kb=L`pU!4Fed&1O6d0c#&J^;~Lz#j6^kAkRb~utlO{DRcj$Q4w zKle3fcHR|e%3>Q=Y173+*W!26ecy>+guK}Knn-P(kL4Vic(`HL+EXx1^_}>ih(DUX zpFWyCWp^d%*p<+A;_6vigoD#UX&ml5?c z%M_Y{4VIC3%Pd@5L}mqvEVJ<9pbyBu%))XFk7X9tmSq;=*2^q}z%mP)>9owUY@ZwT zEBVCBEYaph$;vS-cHl_m<~Lit%qlfOkybJEu<+WktNntmydgwHShAAcUku?pY$%vQXfsBealMvA|TrcXMx4WLVG|s zbPXaL(uto>I8(^53rC=(9${Ed3kM6o%z@a;NMI4{t^8a6Nz)@OB8MJ{p2NEKgmPJX zV!GCk(ao$))U4fTQJdwyW#b8C*clN}VT3UFkr7@(%cqbeEB*LCtmV`jkqa)hmPc6= ze_(jbyBzl}Pk5I_@3Q1vwtrYM0%Dv83hDT(DiB`qPtPKtmEDTL9aY$An{m;+K4k$^t^0OoO( zc@~L82D~`v1M)`(EC&)MhzwX;A_L;qkpYVk87v~{6dA18BZCptgyiGMKomvowO=*O znP$2IaEoo6e(!%qKTMQ9n6CpsEQ6kt(Cfoy{VHoKaqbKZ{8#ij^h9g2 z+`zq^WkQBR8nTQo%36@$A`-~qd6mgHhg}Yd>Y}(f=mU~2N)&QIKn;&B%G%OJiQDO- zxHO4fz`dPVQlf|@41T0*6Df#g4%(?AL8kU6XH+JwLx|-Ch&6!x{)FW~!UV*!wh&9) znphS^JaBBo?oZMn8|=pZ#MNF~f8uV7YCqlYgI@TDVeJm@GVNVvyvvFLZ52JQ0MZ}8^PnfQTB{6OYLcp%gBv+=XuJ$@h)yO!9s+z75EwXa=Ey?Pr~5^mBb zonel*5QyCQkk%5pJ1gw@nZ!)bOzyyahZX=hIMaOw$@GQ6!xs*IY4Bj<<>sT!llPmz zxdXidQ@Y*1#u0iLjA_6D-~tBam`XpxXBBcF+vHQ}pQFD{9ZG@Pp}9j3B6)Cn`O52& zCe}}xGmn0R#qCx~SkCbI9toen@oiVG$VdIoFCAD+eBf|Hp;Z5q4YvPGc@fQbIk)+_ zL%r!MSMt+Sd1N1Mro0qW^Tl6R^ZRetg^rJA3f%~U1Du6d?lhw1s7@t@a%Dk^V0 z3W!G=-0xgSR(>2>x-y16&>(>T&y@2&FDTS4z73G;V+%u>e11lwpL=ZN)5x2=Pyp!A zOqmdn%s>w-;v$Qb8U0ujVw&dt2M&KRK+4?Ighq|lUDV48^@KuAh;5-BB^pp|qOK~` ziwZR%wuQQBL7n)F2xM8ICPZ}9b)gkKMHED}I5OkmOl8Q<+D!l#rH_1jenvyK>L6@E zUb^B1jMY_Her_l;VQCwtLi>}I9M)OtD%}E-Cs=p=o3U6U)>%rL{Sd{VW1<%iS&|i2 zsxMHC2G_KlGz!qEhB8Gt0>^Ss3itAIogP9Y!9xILE zZtS4SotPQQoO-#*B{8gz4Q0k)*(@KQ8P1$Q{+I8%fPY`Y%M8p7LXQHPxWmxQ9fKo8 zE2Z}j&A5joIF`s4B4kNNT-V_*yM9Z#vM+QSuBWb?nSd+QJ%B_F-_7EpmcE^gCthRI5j*>!p){$nICv33*k4En2)=>HWP{YJmH zj~}E+#;(Kz-3;zdzRAtW9e6;3xRX5)whSnq(CwoFaKSUegHFKPem|haN3Z|L*1g$_ z-G(~*EA=;UN zAgUBZpSqx8$0x28?^r(zEzUD_b$`wOKivezgqulYXwRzAE zo==2$W|uAk`zTl%!F37zkKy{bj8exBs@x(*DU5vXD0Lit=QyB8F-l>SF-9ro2BAj) zP26E<<{p<(YGga3RMXUJU1Cn7x4nwi%AiSED`SJUmS*i}KJB(NE=Ej_eMv{t&LB21 z!ytEPVqY;$ti4BwW^dG(xJK~^1H0Nu2-?=j}R z18N4@_X}Ki5Z%K&w4PGay&F?%HQ~39K|bZRiYE%!vcBw)0c~kz{mNX{%9WY#prpiGC3#_E#zm)RdC>}XqA$WJaVtIKBgA7PzfDl z96(&y!-5hQ_PUX*{Vx7PUN`fS{d$#8-ptDHSKt@O- z*gf!@xO9U|5~4q&33A=T1abucp`wb3+?8bq3DI4_A2L7UW**gxz;Cwa$YTBW26OR8k@!1eXyL)|QtjDCQ! z7g8koxyQ!2KX&p$5uzc%cLHo^4o7yN)bsCJ4E-o{xN=&(PQ9}Jz;S*4bY0w2TGH(?!3ocpK6c9~p#omFuJ{2esCf4|Nn>IrX)!och!iDQcsuT_?>D z*sG|k&i%^mFs=t{eiZgUd7&2Qy6je-2Xh?W2ZiQZpb0{G!q9^xSL8$}bKMfL{M>&>U!z8144}>K*CfuPo--grg#F^S+{RKr zBFbrM<)Dy_JUHEOLMRtk&o=;$Cc^Zj{J54Ct}Jl1pr;nb0sWdh_5GmGQ)rFj5^<1DEiuTLkCeS}_*|wBESq6S ze)_NT(-$tGPU7@gDZL5l9e}#Y5NAU*T?~q1Bcz)2%^}rnLQ@8=jUN&=s;$`=3FFxC z<4Av4aMW7ks1nCF_(XBZkE3rya5ObfwAmJ_Stc&OsjT{Om6hpk1mzPp-2{{xFx?eD zt{NhDm~QH^mh=n|ho85mAIH)|f+GtYRDK)YieX&Kz-5|Ds;$Ye^!+fI+nE|_PCYCn z6f_AWSH$a@jPi8(nW+JEQpb+8Gnl+E+6C9!_^Q?QDi5aX&rS8SZ^5zk`@2iw@4G0+ z?AI9nlnF$p*gHUn)1Hf@sgVTy$dp1-P)G>mNXCX_lco(y0*<7ikQ5aX0<}X@qwatt z;6XMOlBz;NAR^J44YAWl=>rM0YxceD6_H6zAt4ZvXx)U6tb9}`Hgk0tI4c-j#T z1(O$=o$0Z}eLa5O5;_iTO^+q+Lw_-QM?nQ&skxRsS>ir=N@tYG&uusE+f+?w(KL~{ zs&NN#SFDz}FW_MNhefP~)@)efzMx}OabK}D4oln@aHs_p_f<7dZDJ!!+!t`Db!ED> zpnSrP-V*l(9O@+%_cdGdW{LX(j zR$uNq+IDfD@*A$(AJrjSzyGR^A&TC zEssg{Y?aR;oafN#o0T;B&z?Ls)^LfpY@9PyE=-!_KaY8*G=gk3odq=I<|D|(FM ziVnivisM8Mx>x?2_xO6HC5{WkO)Ebv>S+F^>Pc>}5Vn3x92bb226Ws6T+!pWfakpQ z{X$RbUv#9$62}D`X!Qq_sR36DdMt5VAOKobJQZ5wu*7lne9ow`{WvcBK_PzwJ%z|z z)wqMQYxHRQ(D3=}m=3fXBaTOj%n0Q4F&mPRD3KX~ z3_A=_GDUfIip&T^BwE@bBu$QZZe%vaTiIlCtWJ|v;BAMjtuD`rs`P+x;n&dkR>HLA zKM)hD{!7JFo6xFrm^Se}f~(dUSLZM-t-`dX#^suJxVLu>)0P#kjn23_hiNOHQ1bex zN?tn()0&@D^6HGMbC{M>VOrt0TG7?c70f+0@Npq!1ElOQOjALEJ62T@{zS0(t%hlf zPE@s9VcLR&aJRxVk%R7)eOXA?>y?%;Ef5y%C`=24McWF~0%6gP!nDA|a9d$oAimjF zn8x_V8A7%nrj4sGtv0WaxnYPq=DMbD3DW}M(Si!on!wc}Vzq>6fv{*x?x+kCy!5~c;* z){erofcM%~n5LYU`;>M^VOqfBY%5F)c$#g6Y4kA85VHL+ZBd13#RY}T4MW^9*EM}h zm=ry(*llYQ-x_w&C}Mxw16X8P+{7J#^uT< zQkb^rADpTxOiTT0OZiyBv;{woh6>ZNz+nviwv|Flm{tv#%=W^xjfX`=1x>1X564$}hu#UG{-$k0`2A#9WKM1&P^EdDT!Kt!UY9YRvm zVVd$RQ@p*pVVbB)4+y0xaL_hPqqEwrFfHJ9b`+)syw8rpw3?sC9ffHDFO*kdTI$!7 z5o|3?3wWWN3e&QkaaqE&fH&G1Un06{c;1 zPFdsLtuRgGpnK()?(p?WOPCgLTRRHV0^Vy|VVZJYyA!4bJkGYlw1B7CR+vT);|w9& z57Smun3g@Gkhx(6NuW(m{E0h8HYnAZPM5lQNw`2vRr zr(KiT{%TslZ(PkVEk$Du2S@%ejX+KxvxRAiQH3PnU;JSjf!c*>S;`ZX1RRS$Oe0Xc zFfGjy4@i_}nd0r$4bwzbdO-O7&!h3SVH%y)ZiQ(9ud|~tE#Q526s9fudE8N$7Vtu4 z6{aFfHJXb{M8jsW2`3KkYb7TM^m2VOrm*s}iR51Fb|= zyA`IT9fZ3TrimPMue|#keZA5WrUl&Aj>5En_u5vNrkvOAglPefv#l^K;AyrMrqRPV zL&)~SwA2qlmv~hdJ%z}&7N!LpQ%;3xsl}~?X#s~+RAE}SH4aOd7H~x4DoiT?hcP_b zR-7z*(*lmD=eWqHsByXSi4>-l{f;|<*9N)T*qS#>m^R_ZksTErwa&a*!n9(*WVRQk zjWVpj8#%=>g$wC((G@FpbV?x5Bi5*V$2+ z7Vthh3e&279(NR`1-wvQg=y7)u8d%7VOqco)l`^P>x|11rUksw4#Tuf8Z8DNkg~(o zv}KXK8>Z#1PMB73qN?2r)AA0&-3rr04!T#KI_T?_mN2d1x7ZzpX#wxGtuReFFV~Ik z=%Hu8<7_KT3wWAsg=zFK&JeQwFfFgbwAybdWUmR0xvuG39(o2G(*#F8jNiZ&eKjrM zkd{=Kw$U1gB}@xAqD2*^rOqmzwzirUbVMpl%W7P%d?JNuMSnLKP+?jDxLU}^5~hv& zaf~xCz;Q#Jd9#FRqXCoIUYNG2}r5vX05wn%v*!U{MRf0#y~c41nAo6Lbkd6p^OUfnQFRHX-mpZkYsylt39 zXSG{lTF~q49()A9{U=t4K zx(7%G&u8VKv}t{UDI5dy=^*gh0EKzzz7HKpoaY&LYC^Y`qy>3h)Dp7=eAtd+wty?! zR?Mb6+3v(_0r#`5m@VLTwiUC{-O!6uN!yRvrhX8$5d-`Sh0Kjf+;tMSA6R0xfR`$( z_^%3FEn*VOMzw%TbUYOZe>&~ ztP^wc!vU5*)FY77HEp5ZC2GSBuw1v~5A_H{B-(sKi)pKrCy)gE zkw4TU5RvG>I)tR3qb86jhcw08s~hTxs&uF~|1cW&#u3YF2=sA=3GL`Lgn+Z!(TZHa zY3(S~EBbldQK%PiUfEATd^l+5x0DfVEz}D*uYUEmXtpyhOQ=UDX6SK;p&Try4)^P>ULx`D{{514r1WDD;k5k+2lQ{)eYuDCX_t^tL=Cm=_eNNvl zqDh2&Ie0?;;d0Qs%zKwZ-X)K2=N}JHCdD(-Lx||UE;LX8tOEYwr9GJKp(zKx+r2PZ7#2QTQ%EuZsnygNU2mOp?m zr1t#nQ(dJ)@an8UufBe_0dsodK%#V;`2j=)hyjG~k2cUUN0sD!Bl!j16mZk4z7A44 zUe5=Jlram#DVmXwlW<6BK_o%_M1CKLWCbDeVUt%`v1s*;lhbEqMT6g$&an0xUJH^D zP`6-XkS{~l9mFPg)|zDnlYHhMZK|tQ0LQI4|4f&4U%Ne`7Y5upf8qFZ=CIUdebe~^u}g1 zi(rO#5IM0RldK_==Y%7ZC`E6)fZhxskt|7)3zlnm=#8~SZ^X^7H)4822vax-+*^bL z5(p_s*_zfHp+z#(8v#OkBLpfl5xorp+|^qSH{j|33Zb`pKyRFRnWSx^cUnlJ-qa*5 zs5e#+>U?_JKrZ!0l5BcoIra7uQ@6kA7HoQBGnz#(!#jwaSddB9kok(rBudd6FQ7LA zNF+;=XcoZ??;vtwK_*#4=8GzmC`E6)fZhxskt|7)3zh>36X=b#MQ_Bd^~NGoI8EGJ zgaZ-?DM;Cx)*GQkGSnLZLV6gr9mVAC6$(JX=)-a+KVf=sf8%x6_5QHtJp z0lgVOB3Y6o7c2)7CeRyei{6M^>y1UGaO$|X2nQq(QjoGWtv5o8WT-a+g!D!TRAwT2 zy9eN|-uiI^uAW38^fnsM+XPBY(rN&+kVd@`G^{sPKtVunOUR|(NRmx&ET`TcXX@%r zw_wv7o6#(S8QwwU#DYw+hRky+lPE=Rynx;eAdxIdk_(mt2@~jzwMB2lt@XwtQ#gyb zw+II$5K@q`HLW*7i)5%b0)+HN2vlYwddmRZ)ms`j;OZ<2p|^#A-ijzSNm~Gzg*57o zpkckS0ty0pt0I?rBS|*Bv7CCFXX@%rw_wv7o6#(S8QwwU#DYw+hRic6lPE=Rynx;e zAdxIdk_(mt2@~jzwMB2lt@XwtV_j@n%_f?9HbKPh5=~u>p*9@ZQb4c;467{!OHc~d z`@;In>xG%85C6fze|xZrPh_r~yq_v?Aae(L<;&%z+rtx!buKWmHEPA6zIXz+*eEB| zyN5Iq$Yp{}jG$(Irds|OlIeMA(Xi(4tTcp9A#Te@Y4w z&+fSG=eN}M3vBx*RNF5`Y`e7xF9U;!@TG{TT8r=%V2Cb4HoW#5uN4s+h|Lo#qU!#UWtay z^~|%;;MI5&5mv^VXs~U#;-q8kr!eJ!F3(28Ch|NN4YrNm9|wl$dguIh?PdH2I-iWz zwn+10L|97m*=VqBc;Yx0ohM3Q?e5nIPtQkd+qI|{qQQ#{UW^D!8C;GA+eQXk>(63; zcdB0X(vI8S+7$W~w72#fYE(IJccf8KZ2#71uqThVoGa4vN4FEkgcj(Is~-L6*6mh3 zSl(vULwJ1>7R_ai4zMRbZ}7UR7AlJWQ7qf(=kVFqWsSa1p#^MIj|N>(&@}~p``yss z$G%D0c{U=Y=Df#G=@9IJa*jqRq1S%!ip=+&MUYNXYTfn=pgwxrgkJkYwbt;`j`_Y- z+gCKc3BC5is_g@~j$$3H`OZLt(fKCy+E@RZZ;fU9GQVd_Z4-L!Vb%5>JLdbymf9xt z+F!gPeBZO7U&yl%Cnwl%6G} z_bW=z?rTaPC#5s$nZo1yn$mNm^v~5(g>%s;Rr9K7ux;j5o#GS!ys8tlKbm=xT=goh zF79heFOt%S)suy1qfsjIe?A)Qt0ezt#r}K5r@Ro2QsL^wXt1vmSI?8He~s5fxy}@g zQdz%xDIzS_uU?4;`zlG`1xn!mQcw2|=+&+c^H-6;tr1}v!4F1-?^E!@QQ+Tk8}n>-&VtO@Q!MnNxHDVe;Gf9q=!Pb8l2#)FR~faq7R zv5%8BUe6JivE6kMneO({xvB9C&diys7G7jiWuDPP!UFGryZbR035`=u08JdkH8dkN z0>tSDHFHxd3V&7MANW}-eiu3gRzOWnLFa7HL)ZdLXZzsX^rc@Q8EWfm9xnlN8!6A> zNrMGBa|dZZB*KpJ+rNB3OQt?Rk_?F~fD1BBNap|;{|4av^k1{T3D1PqJmU&Gg|R6Y z<<1N5&J(Js0rVgz)0JQ913&`#L9wW29M9%L_*I|Hv{!xYZBYH_b79p7w7m32O2#?k zqRiW`CsXPa@;r<&WF=Mb=2!_J%W0^>J+s^_=ywXTKm*81hWG?j)Zy*?ZBn;5MBy(y z6X2WMgfjLuyMpKeYXHvzob8byo2f~YIj6}!T7cJNPT?p7nk1Clq##hlzfQeJXCRnR z2#Ns&d?U_9U@=IMK6vXPIV}wG4GXS8E>bdvK~8~J(;yQ-)7l^jy=MkF+QA@K@ofKz zo-cx2o2uOf0nJsb;t$I_@0H^5IjOd$cZu~UFVs-qso2i+(r*#) zCcxX7o<;G(B@{1q*h2AdMkuWuL%mG8+9;lOwzrB8y&b`R=h@<{bXPw~u4hy}!+AS` zFI~*Mo*NXWLvKeokbFCW5W%-2=o94a2ws2yzB`h^CEl-44kKB4H>w#O)$cZ|+qF_f zm^&O5wcBJD%g>Z~3p-QZjdsh?f+QZRr#U)If8BBT3Ikxv`Og`SGvsE=g4p$WV&~Ww zVA!cSOo)xQ@^vk2+~?~Ifdy=wgxK7x#l}TOuyGn^E9dr}lPzt00xfw4bxwSw-=NIV zme70V+#1_88)YA!(%lboSe=_vx@%;9=f-c2I6lf9Vy>cd+k)bS%MQ*h_nF9wZ|~gp zRPh_zRlKQ6_V%LF+pUTxPtF(^8r8;8buSff2zU|B%e6I2j~6aGDE@nXs-@zKfgayp z@r2&99-n@z61qnCsp3qlO@%eW{2Y8QK zW{ur7t$5$8G0$aD{AF^M)1asBmHMgw;%Tehtg-nH0nY*)>rF#{SYwJF-8=nDF z7>Q1v75Pv{V|IV)+Zc6cT2>&YMPCdDnMhHisp)nLuvps^QCii)i=b199pI4bM3KZBOF*MTV4leZF=S_Wus+)8uNjXR*t z>)5zmZ*lG0AACz6%+=ys?7cD66<6uQT+ieh^$G_T-Nm7<<@_!~NCh5Ds-g{e``~EEMXMJW|FQpse&-_BVpF@2?L4f{p-< z^6grmPf017m`@4;g_=C*N=vPB=>asT&&}*=QF&4W5ZXx&VZpdA6=XkdD2Ymcc zxFSlV;xyX|-PC=1=_=105bP1ZU}xm`7KkybP)C(evk`?luB8{W3yQ+;DBT5QF~l6k zmEv7=#qSIn><`)uHoE*e8whEO4Mg|6{ca$Ep7rif&zg~QLFg#Zz1p}kHzHe57G4Ng zc)%)L@Wgka_afv3I0AnA{KARICNGS3;mKIzt9&xnfVc9@3dK7-q@@qDMfVFp!~-k0>NEc>t0?oILgST_n2jH4r^hCXnM+Jc>LdoBAsaJwl+% zx&JE-1Zsz*_K;#H;YZ^CN&|t2q`L(>eGe-nnOjY7$fD?`R0r4oUjQ6_S9D z@H-j;5lJgYQ_zk^`3TqL`5g^`h@_RHNl}GhNA=J$Z+G3%h%WUPV15bji?;U_s}Q_l zV>#8oH?)o2q|{=weT%OM^zTawSL&~nOxobG_=-UPt}0)V)ws6iD+2v{K>3P7XIvIv z(eTS_QTd9Z#Hv1-E2qy20)7RH zv%L4$2S(ANL5uhtp@rR(=KmB*?q39UctL3Lf@9XgevVu>#65STyGxemQ@A-+ zm;aw&l;EwqI^pcxV~MvRAB*c>SNcw}$`hmb-(A407x~FwLr$LIILg{R!+A4W_6+9_ zAQ4)gXE+o5l$}8fwO!AD$e$^StQGv3lzzSNBmT?{WU2#Q9qn%0?uaU^-M_m+9%y&e zF757SERNUTie|BLBE~gyFhBQt?y}0yoY883Q+@^;YQS24<~xR_&t=La6P8e<{zvoE z!?cMZ+Qcc^1O_m6TL5Wun9$}huG0~6M|08l12hwwi*T(sKl#k%W@*vg4eJh{R&yx= z*fSRycnuYK=0fWB*3U>JVbCHn-D^Ly5pXGDo{fMd-jIH#>@%E^5txUeEYEQE-p`DF z*iQ=$r!K**L7*sdgdYqwGR4mX&!(nxs7sV?KyqN%CFes_k(>_}IF`iK%x1**!TDe; zP=4sP{M?(BA3CS~(04ckDh|u0AF7gK1`3k4@JB=1A1we#{E>1^+V!}uNxP&GogZ>d z%y%BX`CLwq-z=(4_hd_%y!>Nw=MdBGw2iMg3JrtrcVrTz*D2N&S@y~+AFBvP2!tV~HV&FUNHt5$c_~*Y-<)u>}rF9ua$I%_ro> zKI)y-{m?r{ZM}0m?w!Y;X!s0gdB60|X8Yc`*sga<;lApfO`niA_fhX$-VeQV!PYw$ zIXc;u@dxU?~{cO5wihohhG?7xz)`EbfQinX@gu=L73x zH{`rC?K7OE?e@-XJhOQ-29$P7?=?#Yt(R>}?-K?806<07`vMD|9KUvH^^2$?t$tm9 zOYd2qxya@kV@=6hrr7%^Kz0imw7e=&Kt146WPNKYV2L-RpUL?QC%xSn^i}XP{gz2| zT{f^8)+CBd+m_ygFWb;L)MY20Q9WLI$J4*i;-2$V5T>yUOYd|(UYxl)>K_xtHT@g5 zq#iXs*=qN}mS=(a8E+)x6Yuat{=jnE-rEV3{ zz0~c*tEbzI_PT9Ybt}31O1DK*w}x$wd=9!bVPgx z#l1_eD>KZ!M=L~GO;v7Z-V4mI47>;lOZdRy#+Cm-Indnd0$`XXnN;%|Wi*vm0~|xB z@KGA(_Bx`{^BeMxy>CxYg4|m7dJ=@EghX%?QtmLi$-;#UC^L!+kk9RA$Lb_ZaUhd1 zXS|tLPYCs9fVuZBpwax?{mXBq*3V@YQ7ezpvMT9prYz}kQ|sKdmZSdhKv8W@qSo15 zYps(bYF(#SS?jCV4`lv{W~U2^CGGa=0yZFuLDdCrXBKENR3DSCM?D@uO^E< z^OEQr%XLZ?Pik|!zqwNb;~qzCU}8mnx3aeew&63dx$YG*H+M+(*UY_Mx|AA++)je+ zdEI0H!I}=<`gy9cLUVHcNU%B$gBljln ztbh(sa{6*!Z#x{$4CH5qG6Uy1aylqMI1X1E_!~ez+F-};FCDlthBs$s2tM~%^%zQU zDjEQ=4XF+rF|CytU#)5K&lF))&?Y@u3QM&Omc_dSORWu-=3zz4-?|#7e9(HBv(}*M z{0hh5mCd$;&KcdKGxX?|pvxiX1;t`90*f2(7HL!!=qj93OKH>f_SXJGzhB}TChUNeh>Tx~aE+jV!Je9 zMgUz=poIvasdozj#R#A|C4p)L(3%3RMF1@xQF4s{y8N9=t`R^JO0B8C>nzvWdjxAX z0_cPSEkpnv9Z+(O0NSrWtL;F8X4_PCYN+EFOu%e|H5OEjX6qVGk5I$ih9bv0`t)h1 z@c)T)tsr&uGQ=BM1=7}UY$(t|1ki$NxY(xQ@qTHzsz9tGslzR+?2HZP{2-poIvaxi^RwiVDnQ-NBobyI876G)OK${UjFMUjC-G~4>GN$DE_ddB=!~3cN%|-xCd|a>=B7hbZ zXfXolssgP>04+Qs1k@scHWX+x0%%%EU?T!(>UJg9E3RBa9b`q#Ke7sB!8R8vD=dXJ zSn>*_*apjn0;#saQdc0gHdsbqFB~=7VCh#N8*Q*GD3BDEvk(Hrbape=^e~P19ZW;pQ3|~~4NpVO4DApKz z_`j@%Ut$KQRCz2lZGKA0mlaWGK$fAgpz8K*p?c(L5@^ylLHgwJ#W;q<+UtOYt8`9# z`MIIYsDB|2-(YUNb0Ta1%%@< z4UV^3eIwcB`t?ke*Jk@+nR>d0eI8Gg4(nkhkEITA0cq6lPs4Pim!0R8x!ks%L%oqi z=?(gYHnX+S_1k>6w6-t>Aba%mDi*t8?$eXYP%3i9yqsr|<7mGBr$zHMyXGHB-Z_Z! z)0g2`Wc_}atK37G{`0+{+F2e1J6I3zdkwIv_3(5lW%?Veji=dOKP;{Mld3%chu6k| z7~OLNg9Q$sIh02eaKdzv>+iGIuc6R&bwXIUJ}Gsb`1<{JM1kShBgF9i15YRKECU%P z5tn<=aPsZvG8=FKa&C^K^O;qqIPie!`C9?&$Os2kNl|&z5tBOlB3XIkcLM6H-t(fs zo+%e@G7y2MCTdY81)zjXx{<8?_IvQSY>M*4<1)dHN3;pl^Gswmvx0l8J9AIonCXF! zzE2+5*!w0#<^l7xL)#Z{*p7SwhZp$yh-li00NNK&g05N-I?-DX;jtE>iDCVY(@zRO zqI8?-;}rxd6R@|Ec^*l!@*@7v&&_5UNF7Nf`=4`Md_^9@B|p+g;-gtL!UDl2S^@JA z+Y)kSx?g##oYVO@Z)PRWd1<`&dvERSK7a=S2bY8AO%vJrcYEl19_Z%b5kLLbP}Zm4 z>}Dk%=-xzQpX~*|M1Y@9VvESIs0}oqLy1U?)wIM2jh~Vj0YVZZgq9eD%{wr?pfV{x zi1Dn-JcA@z`S+AeCBvJ8E?^UPkPG2oZgQ}}urn(#*v~;w)R2be1sPzzeAfm1`x1_V z*2Q)dBm^ilJ*`l%c%9>J8pMgNnUkNJ7efe*ZKux)!Y-t2yvwXuMTT9x1QqcTw-zs< z@l)a@KuElV0P{>B$$2En%Kr(t`UEV&?4GnZlaMKZS_pCMghMAxh2!SQyUfhofd`}; zo}>X034T7rWjkUJIg1kEkk!E9_W=W;@k^}#h)LW8vM64%WfL#UDc(~|*H%#<9hT@r z@*#dLADAESV1BHQOiBwvtEf!kN>=8914X=n`Ds8A@~prc=0_OV4inUnhUNvC&-`?; z9R=|Ng>I%53KpBvDdOIuI!(4nm{qh55gI>bL!>4oBSN4ulio_Eghbm=;ve~KXaki^ zLdF4VA%x~a(2xx|nLZmL68wCKN9~A#_XJ9WLsru^L}>g1HZ*`N+7Q{Y*$~TVLr0me z@i24P5YdO^L;Pr(3BvnI<}zS(gkOmao;6t?swPhS2Zkd71N|7n+9EvQm z)@=z=wx+uZq485)g{_2`A_OWIk-(ks4)oBHkjakITgeQnOq!MJPIv>xG$3n(e!?5> zgfQ?0On^)pnipg~ccP2!D2N{@bTh3`=wf1$uLSa5nJ%N4e%v97xu5Y~vj5*D`@iA^ zGAqa%nmL63-B2?>_lwK_7`K=Y%3f&+*ZZM@ksP3q=0B^_91-F^tMAj83MXV)f#-2F zoM=@AusZHUyvfxEkg+!SZ5sOgv4e}8D(VV#l;iJ*bKE7k0@@ijtumc^Y?1D3^1=ur zTH3^&fa7_zgfc>R9l_#z6$FR)5`v}7TL=RG5Vti^m*1-xk@OyV0iwYG_5u?A8SSRj zOk*NayE9XL1lR2s+tC~bL6YaHmFY0DH(WLKMkApOB7tSj zO5AAoOSC8PORT2-Qd48t%DklX`JK*5Du^Iq=Z~Xt+ni)p%}GAwpCHu$y*3%(b^)1@ zqvf?v5E^#Jh;=51NzGJvQo}6&q^69VeLJbqQRNwMhGBr>0Dsi#t30VOO|lAd>?TRF z#Ux3%Hc3L`7j0(JGHRL!3LQ16wQ8>`Qu`)(QvkJ)=NO6^YretEbYjiY)ts-4fVg(^ z6_zt+J0bS)3O2h(L zObqClDv00`783MMa?i^Si`8zKT~LV0n)<%_JUrXWPL z_J{aym%;>ZEhPce?2wLck{R6!GTEd_coP|R!e2m%5YB2O{F~rZKsamQmsq=7IT;a0 za21pCfS{R(Ykn3L+zIV6ZlLuc6ecT=;l|L36N{}NTj>NU=%y+pLT%u})kh8pK+qr( z{+VnIAkWSgp@l8hh7og#h|u^2CaP&<(d&>2o7Z7Ey-tRyGf@>{g3=&1<=24YNT+#)2fi7SG3DKlQE?5pEOwa{bTe<*o>n? zPJd2IwhsP9#C?EsAn<^wsDttv%K6!KGbmqj>ZJU226UZ8Rfo53Aiv<$=|LTLs^`uD zWU2?Dvy`9ND-#9or3E~e!!xUS`GyRi(P2Kw2iBMw_Ct$P08Qf`>|o;4DlO{!QGGw6 z@ALXTG-d2Yy$(}GaN<0wDBXf?aO+(%*0Q^Vd>{J?bj$=gejZ62G#hi2MF_;+OV6Q1 z?7W5xJ=72yKQ+`4AS7-=XmMjW<{i4og36@up@Xw3^9&MqIOYxdng$e|y}C~VV8Lmy z2gApEnIO(CBa$sLBH>y_gvM`If@W(_xQ(DWQcy^|YQVAwg;U_l9~6!tht7a3+uSqD zy@;3}x1^6?CYmxOTt%3JC!#WCDY$AZf8|yNv+b_rS!7 zx_yKE1lq&T$6*l}b`DRWL^xzMJsJ`kzrbiXjx36sY}v%kaxVs7MSV;_h(07A;@9$t zgXZ=R1Zdl^p;098WVC1qZeC@Msm$X@u4>TyzoX~4@j%<4Ir)(|OliLY5e+u;+X*1mHkHbw2J?;~V zt%aM|$(UICXV{G^5$gFLz+;N_Th#T3%tsb`JDWtedqK?PQT4<^eG0M(TKTt*?Jer+ zc6orpd>DfByn_!u#6;XjD*cCHF_RYt4)`Cb5X$yQB};JckqU3!sRr9ZZ~l7n!ZIfE zpI^o~jCeK-PzctM^k<;H>v4FK5i zOhPVx_|S+-E18B|JhTk1)OId|gQW+AgN2A3)Clew0&mFyR}Utn75$9OT|Efcff*6f z*zEC=vUGSx*47arq486rlbBU-bRq=036qu!)tsLsEB_RD3|Tu&X=`wpa>pi`Q5jWy z!|oC=!N4C!!atL-MdaBTBeZyW*4BX_q45g@f(yuEAV_X(fgsBn2%cf;EQ<&+L9r0O z7E5pE>6!#NM0(Jjs!?V_G-;6wmZS4B!LrC%W^*4GThhxSQlubcS%l;!D?bI_TJN+O zr3z4s^r){5h{=3FARC&TOkaFXB>4H%GG#{$yo~jPF;>&|Mriz$y%8W34G{vBnLx0d zq;yzzSOt>6{y}D`{exv<>( z(c^no=gU&h>Ld%3q_)rMCV<$YM@Z}(N<`c>Txf9<8b2j&0))g(2rX`S2HwF0$ptbg zeCXhm$|OtfvIB2m%NkI0Hmi5A(N@K92?M9b1jwYJdHt-y*np^u?I?&JD0DNeP_Wqa z6yvzJ%ZQDMj95j>h|u^c84(~PBSL@!CM^T>6DVvkRbB;3le|%YTF4XE3D8hiWc|>3 zIFaDzLp)+f4ARF?B7C!&_K<|eFW@25$fALhS(|~goCcm@y7q^7T81Em=tEK?el*Rb zS3S+p@(FH@UJ0;KK#dmiA*hy*x2?d*q^ojwfDs9PKKbPBh(Y8qN<==arsYFu`~vdH zA&c@MTQ>QyobnlDy0(h?kPp#^I%53KpBDJ%D?Q>Oce=6A815?s5Fda z$dfRq&!~fNr=0p(U0{9qK!_d(V!^@D102`*qlSOggSp@TjQ>JW3e|7g&kF7>_CvW7 zG}QH-OkdY068wCKn|8#&`vOYDFj!3+CZX|DhDm^sVG;tBnZO30N75lm*#we6l)^0Y zEr)nV5nn7hXA}3LCvNyk-k}RGs7wkUIykE`&meJqC2!y>HK6D$emK%1N})BHU2zJs zYta=6YDOvheMgawQf9$Xs3Vt=iH^(!MpQx4B1++e$du0nKwHSinLGJ5KRTH{S4AZF z`4AWFh(Y8jl!&WhH65i88oxl4!qJXV3fZznDJ*A{!r{@4QiwhzAL7^YLC@nIdLFAI zlhT6FN-C4M+@8l9^gInnGBDT@KHepCRUdeK93r+vDXY+IFiL46Pok89QO6ghG#rS0 z0K$z@>JCH>5UryWmYN$P1fR1ZLYm(P8zOKTfbl-+_o}t&j~naMpETF0)e@m2YV~5M z9AmjkUgr*d@pt7IOTJZlxRLDFZ=_CMMhBw`G?nMd3M`p^WWKYQ6zopbPe1 zeD~hJ$J>mm)b=vFyjI;ih}Z6u^-+BQ!Z>%Ku#$Q|f6#qDKc@i~Ouzy4`ZV4?MI{{D z$`t2rLcw_Q55oH)07w7_70A2%>Tm=luJt486>5B79(C8dbyfE`1*sMCSNR#dFO7E| zCLmf*FgW1Z0;yh`FMby&n7lBG3YORA@$z&9Bm(60Wv~uHxgTeQ??QYtGI2fNHG_|w zTfMyEnJ)p#L=+!P*Ez0#=P?R2e1m43AYnmkWjy}?475XTg=aui3_WMyYpD6JI@T|FxZ^OTybxr;Y zAu)sC0-i@uFC){F{eLd+u@8GW_aG-fLy^uswxM2UNFghvig7vq!Z`n8@-p{9aV5Mg z>2PKOTB&NS@L-NK@|AH}1SzsH=Ln(|9NMAw63W_ud$>h?la%534;-NY(VMti^|)LJ zx8(RVK0@6=K%>3rPC(4VBgSxj9M{8gV8rl2ohv#YmH&C1#4yBp91CXUKqy3pOvKcNT_;sQq<9wDr4QE& zZvjy^;pKkZ%Fts{wSdePR7p?vMm+9*CA3iWkcp#8#0Y;Ek%nyW^jSGMp5ZTFJn35d zWkN%&L~rAXZD^jr1Md(5vk7F9BV?XYnZzgI9WN068bA`aFCtqmSPmpi5Erwyte6wG ze$>MvlO-fzi&!|LCO{~zCj=@p5k;AUK34u&4)W-6{v!D@TL>U zAs5KuV2_+rrSAl3r{H~^GLafv#|26V!&wS5_~5N97jksZDU;};g==CKEE9SR=S)eB z;ip1lcoERW;27RJm?@PC^@U?#*6162Su_n)GU(aH07|CcFK?d3phkirv-W6yZa5S6 z$q@1=B|X-){&5GHEpi+u)u3`5avH^T3D+lZJtm{t800FsB88&-KgLpc~@bNq(GoF+2;I$|)yeK?+9gbL^ zhlBCpJjyNOLFyZzKS~3>c1j`zJs$8nG#>O9g|a?Wa>j!PoXU$VLuHHyWnMd#2c7Xj zSI()*I{Mnq_&}Q7@!^OXAIPjXK3L?#VaG3@D$sP4&m{o4@=*br8ZUY6u;`ALqe?y- zibaVuqsvEE?z`DNnFvO`9r=*vpnS-zC!fj7oV;-SOiQYF>%(6AaDP5!$f}|?^3RmV zL6?Y2jc3WqKfetUJ*zAnPKY(1DK`L>s7qjh&dogT(^&*UuZQAW9muyh7tS&X%3j`S zL$VwS2qk8!6UhOKS~k7JUHEO49kUFP=?UoXkjh=LJM;tGau5w$rj$pNNd zY^NoK1Y;7h38F08ab<_~G1k{ZFrjg$o*_`Up&^(MsLZ6dlEKloiPA)U;$0AJz(n+O z1EMocA$I^Kv_T}!28Wk;Gb?#i&mwN|xwbZY9Efs_Z!wvCuCf}&WfB%1m(ONc&gkhy zrfbC@Rn9gCM8uxiIxi}JI&J2fn;l3Bem+Vr;odHBguN>0VI3`TLKB0$2Tp*H#0jA# z4rTEU5?oc8lt0vPS!I$pcQKPU2VFo>+pOrzSj;30{00-WAPvn6GT$RtU2I3Os!%X# zgF+V*lXO?!CoAv5IL9vA#9hlS8#1mcps9}qr0PjjUFWD0o%tk-XEUm7UZ7!9v@ivB zTkm(>iu1SLoJXA304#={E4*IT*K1S;?ir8q50_Kw%4;20`a51T2j9u9jtxLCPiQ-6 zH1@zPeP4V)A?s`OEN8lSmZZW6R@lbdRc+j{8I&;-jb22;Kab@ev(;lg042?Cvk#)& zW%7aV?TOGZ>xUYCmaXEC*tE~o2Xgno6FNSVr*AX><<9=km^nE>iU65@3J&`)s+NeutThzI=k9X-np3 z_;xwb<45VJ-itlA%t%(g7w`4e$BUpd;P_0hw27(~U%=KDwG4J;Y-Bq!Hu8e>nnY~i z-a-Uup!-13tK7MENQ5Q^aYzIRIV3`$GLzm)hVEOx&z>#%rNLfc5^@Rm7D6Zuf<}oH z=rr8-*@-47%T?rASO5o?Q6jQrH7!d* zyRsxeNS1`qvVV6alcc%Moi_(vKvBr7z#Gn;FmUcnfNYh?ydd*A zcU^2pK|+8+)6)utE+!^%?z~S{ehL4#b?#LHfHLJdA+n*lvb@ylctMOXgjzd#v!%x_{r~K}4|rtBRUg{pT^Y$* zx#d4=%dob4y|S&vV_WN4gO;^%k3B%I5g@~|mhJJZIG|Ce& z?;#Sur1MzvDTx!rmx*bMP3W0*CbE-9WJ8<@4}$n&zNnuzL2O`mFou1<-#K;bR^3~- zyCu!|&$9i^=-ylR)Twh$opb8cxpnK}j;o{eJb?~&{XaXO7pg)!4-mBFSZpIDjomOIS^t$E!ivQbZ!8T7VN({%c6^K7VvU~TIkN)=(a_#p zS<)QCvZQ^9#j;u`93w!+Vu=qO!7f5K@CwGcrG;O{VesoT9IO3A53{F?wJw8WZ0tbe z?ZsM~05{g!{<}9^OLNRuK$%>+=J+)@hq~70xB{QqVMCaP^cv%@@Vmdym?KlEoUMEn zXGd*zQul<$H8jb1GsP4nQ79g%h3j4@CjpPc=1RUdt=B$1fDG| z?2f9y#(|6HOipv}PrQrBfsncV!?-wn`s&Au|M22Nt>Ox%g*E3^94;Oys*=%v=*>|*FgCiiEJbi9+BpHuJhL7Pm zcJ$)bjYmHd2?HkrL*SSNtHBoiwpZ~RV8$~W@&FcM+ zy8Si(SPODyg0m^d^JdF!gmt)HN9NM@UA!B2G%{cloHGC_*8kH{Ks_u^7^pC;c{!Q| zRH3;644lNVj0w&vj&208it9iGK{N#Qr!9*&^NIbL%XfTX;I{`h5fAU4k^v}&v@SOl z53&)N!SMwD7-M__M~SFeR;;g4>F3siI9!EAmHqI*m+J7~;Tsv13_;f|ZO zOC2=*9|Cdnmo^j)N8|QH8N!t`yCd8gu+pP&393QknTx0Y=n(p!0%4v*?+h#sJo&i+ zbBiK!@iuTB=rk);#BQu&!ahRdpH6z&N+#?h1S<0|sOxrX$D6}s+F`DWyDkwcxDF8E zYEJ6OFe>05G8!(LWfU}X2PaX<$o0!B#cwPIh ztVZr88VQ;9Xdlh;h~Kl+IJZlvJ2^c|(B6DP3|WrDu)X_)XWzxfj8DK?u$@DNPpy5v z4{E}Q*Nm6Or^S8`qf-H|8`Ggq3$50rlu#W;Jn+ z?FTg4t`f0}=KYx~?rn&q7p_*efQ>dPZ_JZh)FWPuuBLjps zpIyfp`T&$UV^KT+ER@mhak!B1_exMYe`y5#p_=`=ceBcJha`4PLXv*G!6!*ZV$u`$ zVUiTc8v$a-1N8Q3aLkY}0)0%FGdlC0aj`#bHbgt>+V1Au{uo-Y3TFqQX=;ov&EM0$~-jF^#|Tt#QvF&e9js7G;qkE-HXQPfy~2E7m}k zS3Evt8(Y%SSu-p~V5}oY@eb6)WR1jfmRQ;h?mOtW86M7GTBY2qrRB@bGr{KA!POO+ z_uj@-s5qqq7rRlc|4YcIDZaxo7V#mSlu}K02z4O=Unv(a7#H*{bR3vm)EGwd1J4N1 z8J*h6h1p_!8aX_6$etz_&AG1=Z$5DZ@{J!W)5|g(rF>nR;Gmh~jMSaG&)f5yQ4E>q zjM#{TqddXCI5Lo-mSx5IcjK?4=%SPuQrO#=^YGFvsVLU}H4rp6xFXiko3dX&9+D=s zJ}*yCe609O_0exNfO-dWCrm;hmvO(i_{nnuIas;z6ee)i=NEJV&L%94)Us8KAIG4G zM9|T;lVv8?!sKkEr<+c|?a*i7U!nbv@u#C-r}wzNe*Ir##E!itqL)zANOB=T9>7e?GKwwOD+oKL!amj|ER5|=h1;#5VVqi>?LV+>wGcaZ_d#KIPk}Q&# z92VJ(VG+#m3Vad^3h4$>$aoipL>bs1Lw)6KDkVuSn?Ra}I9l#ugN#rldsPQn=JqI!1`M&~n`MY45ZR_pJL9wtN0aDY(Tb(Mzq}dt z_%SZ;Nsn{nabJRatVF@>;%{fc5#r#2D?9gFu3~HQ8C?mL%FSr$8psBv7H;ogdOMM#@T=|*` z?#rTah1o35qC{l56Pt*$@%MoFHB5yF|gg15=P|XR7CJrKv9CZ)Z~_#K8sE zN#j&P8Nv1Yg4?6U3DTv$&rxu+S+FWx-dRd9qjxZpZzoJuGoxT^&x5?ngFM~xGtOMOpLaKCX)P4$9k zToZpgn<^m=F1St_rxMBtZr2yw9yLyoF7-Wk1cLkVXI)E;YaFJ=J&eDd1xJX33$ByK zsf03uV>Z{$J@%||f^@0xr4-zE^j%BAjUvogym_o^I6{bh2=2FBw&yQ((m0h+MsQm$ zhNZK6)Hp%9)ORNY$MoMAlYS+{0i`4`+!Sm&s(dHwclkwd+9 zZgEwV^b=i`lo0!n^*QihRjl*$c1u7rvcBSC*t3!f(xtv(Drw`ITK8d5(($fJN{D?3 zE~TWMrnf4gjNmqX!R>K+D@d36UJb$ZqNFc<4wRJBZ=<9O7)7t0lCFu8Ug)Z%ggCgY zJ9$zSYDU%@zO45+b_>#_zF+K7*0(+%vSyCR$oiJjwUl*JWc^52Srg*mvhFmAR-tBO z-STC<$4RsxUFv(NM_KBkQ%7T}xTtDL!PPs}CW>K4iVcM-RyHtsTNK$$O~) zmn98`2Urc)>#-=ah=m&rR-W!Pud4Sw9kXhU_Y--WsUKQLodAPNCn|53K zLBSoRaA%&I2<9g66`0E;k+ZnK^{RZ%rXhRu7aAeSbTo9H9RR$PUQ(9pjcFtdnh>P8m;F|Dp6;z&O;PN%g;%E{F zyC=a>@^Oq;g`TRR2cc*luH7syrZ`;9QETW24&4k160V%Nw2kWm)PZ-Y7JM4wszvqv zLP7)*+An?~rDf@#`?HKZQ!=Q$TPnA@%X5vm&~&~mR;L8lR=zy9iGzKX$aC*9xWUNa z21hN1)kzd?N~wi04M!v;WZF|~Xcopgg|a$@5^&|H#dH=&KP2POGy6^vML8eGGI98- zRq%1lyi0IYfusGSe!m9nB&C;4?bk+HAT1;LL`MR4!ai}B*;botIw!Cpfn9aa4)Jw>3IdhmNUf!BKcFbmOml-3ZuPsz1lxBbCdj+(kD6 zwwCJG(t8D06}WPABVcPO$1$b078!c>W^3%Az3E73Op4Uj8kFa0gm;duMSqde%e~uo zNH52~UqrW+?uM*hHc5hSqA3wpRWA$0+-}&-;z;TCvg&0iAII32GJ2WP?FH4#GH|p% zz*qMZpB2!{G!v?q5i0LpFH_7+t6nzpnF;7+niSY8R z?f*xQnpnSfrVnNhtgJWo4lZ+dySYNe zw11$#n_lkS7D9U2P`#`gwrxn$lU}AoxT$&>0ax#ONzplDa6x0{Mm~;!UT*q0uBu+P zD91MrcybKrWts`q%fjnPx`yvTW$tn_g}}FbDUSWABFy zk$eFO?cW3eo&DwBZ6TzWS5z+>>2ByrFH<7y*STCPzZ(MnlA?3;azgcTD<4NdFIzs2 zah=N*u=b(-e<2ce?|PYHW~M4i5gB^+rk70! z=HPm{qI%h&Ja5Cq&5>sy=aG`Zu+ELQy2~?=^GL~Jc1mPXz{0&8c?NPGdzV4TPAZ!7 zD4}UjEwXkJ$a$=x8yp>c=9Dxi-W6x3zSzb$< zZly($wY)$EWCbKRingm(yp_*JAOo`O<0xrFUr0U1aJn~;0a@^Ij7)#)3@!6ad=Ee<2RoXsvMqImws5NHk|$(QxyKeW5XL|4sKu z&C5Z}&PP0%*HL6&I*f3EH=kIB9-s&y3BD1+pCbg*?3U_%KKE>o8}AX5BLzF{UBzgX z$|=pTQ6!6{MLg_W#dS{45!BhTHSh|VsF#41p@rP=V9yU_y%$`{+G|md;wBH4?N|lW zpma|M*%M?9Y+!SV=l&bnT!I@y$etiA6sPx>SSBdmAX$*(`O%0+WVd)+e*s!c8qr(P zCP+0K=r(Y_SU>(|K;gLSs39hm&D zf=6ia@#4GsBnDWzAn(5)ZI(#Kna5*IF-n9lLfnD!`Ag#?5_omCX^B7Vz-=@pA*R75 z7CRH8>~|q%x));fn=AGu`B(j1m$8+ji*>IYoy2kBt2nm{n$k-ShNh&{RC+`MVuI9cmxY?y1EQHzG%E*0Gpw>{ z9T3g5%4Yk3Xqw|9o8fPip4xt;MnS`nWCbo0H>JRSz2z;qwjJL7g>L~EB7`aUMQ$?D zz+G>X2^KhNVHzu=F(9Al){5O>z1bp_&bUat7+2UXe>lB2Ih{^Uk0+-b(D_EI5bmz!rAi>?0Z!L>>|5V=0GD zrM_xI6zKsnFr-6r6tj%GtYUUVlHrmB@MA2}549A2LZC7a;E2O%v3?FUnq@c;w_nro zP8Fa5Lda+w@91tS+(l~fW^UK$y*H5A1DFuC)Q+NT#NSc0j1mS2Bsdfx@IC{CV?4HB zkH64BqQO5;kQEdJ=m+^Ha7P5mYDSQR#y=G#0Ww=l5yA)(FOKsHx?9#liWSnG)IySG zcVprOf+zzxgDb>J8r3jJl8A{gh--O33(`>jH?_kkh#x3SGkGX@+f}v+T!&>uT0};y zVq`>U{8JebAR{9}fCC;NjyK^@qbn-`RGif~O73_f&|3UnmLD;GZXeF%$&IdBQ-81rvt#3}fhgmZ0<53JS?4xIL_e zY|?fyv|TXC7&VHCs<6sDOx6y`QDNkq--V*P_R z!WUd&^y~O|#?wi^U69S6eKEP~Q#JY?KH99Jn#QAcp{FwfnGk!{j6nSW4vupSY>s{^ z!e1LjW(aKHu1nw?c*!S_(AXRCXtRuyt^}_1Mqm}Vt~r6FUI=XUMqv5c6Nqmkbrs)s zZ`6@fE55FQOePA^AxOgs{XhX#z#W{hcaqL-;cxZc`OyX%HJ_JI1n%c0`hkEsFXq37 z&3XKJi8zA6t!3cgFCOvvl~VnbM8$kwf{0kw0Mw#iL8aqr8sI9iWbG>7lD7e28BaDk$q73$A1RWsBei88^+7f|;R!i( zDVRk;fC7ky;iwFXtY!v9LgSwfiUi0EiiALA9tJhhQmnrk7eSpyUr!MUemIqgPEV(k z(&Nb~dz3#{@sr4U27vAzC$eS)0%{b(s&62&Ru$4ss2Ov6L9K^8iL9&Xc9Y2Zgtn^? zPP=Yo{oO_g04?nNwA(dhBF4B+S%$`a-cN$V_YoSBw=CRrfVjK@WMQoc#pQ&?zYcLZ zA&gdJ!pR!$p>rm2fD1T=gN-P7F<`?f5+jG!7XQD(k#bPGkLALXko z;v>-#F5ugi{MgxB`6;R@PKA^H(3lE8vFh8Rkqi7!|?i7$WxUC%NE7pw$!Pr|LR1WkPz2mXvu5LTEr zB&Hy5+6V&*(~5~EIyA#-els_sguhfIkB&(E`vv(<2laj!koJ8hGyVeEgL*1pM@IKkM+c#6T1R{xDU6?dAo@dP&9)Bk0*EpPRi{y_B$f1 z3DhjS`w*(GY!`ol6-L#bGIQ*WC@AVU&xP zV^P@c5y`cPylNli7$AHtMpu~)274=@iTGK4Te10f*Y}AT&rPt@2rdYCM?9)$zOVWd~U(z=rV8qr8fOuv{4i zid`j*l4$uK2;*RGaccN!(K-FU7p)i^E zsalK`lAY+)41#-#vKhk+I-FPNTVg>WBT{hqCM_gN+u^)GhZ{hVh-NvR4krwHiw7W+ zhR(++vZTY!?Jx@B2MW_n9tz%eWj%)LfUH3T8{2n`MYIsdVH`}bS}FUTp7txHFd?yh zcb)O}6s)olKUiIZ+E7VIa7ambpGtCp$M(CiRt3Ws(cqsa$PpBT1v!d4B1l#~jIRvA$V*NB~H>*co@p=J;j{X7KB-lv=%e`6y>t{4j)W|UW1*T0h za*wN3EtF8Iq&_57-ltSQ&0~8De<4+(0lX*G4O|B(hhP}kh*Vk4NR`mUAkTpakdZ1O zj8q5pQ=~BAmKIW)DEtq&LLo_$J#%>i^KIdPngo(&$(o$-zJZ4qW^H+z!IsSW4??)o zY2rG}6d?s^3nzvtLR+Q?kYS1tAdM;vzr-uhL&ZfQEf7pSqJ`9A>z8-|#ta~9gMPw0 zeu*$}Dm(z0G<05&d1-lbJB)((fxHgNoEiY{&|9|q98y&WN{LAM3Agz1W9Q8Q$Z3SBS=CRLBi;H z1qC6sD6HWC>3&^ApCES<Jd6T@HDiO6|PSuN?q-J?zSrF5AS+k}HLg|%Kx z0VZIVcsSNHeH0YTU%H|>i@h(*jmW{$S+jVkfH9+&)CW2_u}Oy-v+8GTe`rz;H1P4S z15C&6Ka@dbBhNS;Ist?UJisN0cl)}Z^5zEaoGqGpksr8gYQwd|34rFPAgw7;!JP2D zr*V&s_$t^U?hcb@-cKUC4)_1&*^bGt+@v@8K2NAAQc{tr3gK$43!cV^r^ z+$13oCW#JBhj^dD{9PW~-wP;mBh5%4n8bwlBEvQPGxVEm1^+x*kDwqxGjJVf4ob2S zV-P!H3=#{BOb?&~FetH*l-r2~F9s~2beN_#oQVZtVD3Bs8Bt@s50QBj3v)Y+f`kBt zp(hUoZ@Yc5f$Ol0*qG=es~CMGG>Gaxqbvgo;vhW4(ZT_2(acfUc}7VfX_hGDq_-I* z@auH(A#JZV#dSmso}fK88Zt4+6hw;{BooRI8nx`|T3os@h>a5BByj`x9ZMU24KBg2 zdLpyd<9T>~eqdXLD>1za4y}RU?re;)t6#bz@CdMzsfV zlyH${`f5&uGk(^!jKln;xg2KM@&T9~|C<~sjU9z(o#|OaWm&=jlGm@C2Fxn%=H7;> z29e1l$Z(?RnMjFWWBQ6lO0YL?dgj@id6A%Tee7-KZ?e73jy+>s%wO69t@KLfy-sIsJU+JF z4M(In3NOpSVI;z*N#BarIOUI}gt95t-h*IfO%7ltkN_pAJFiaW?I%QTnh3=d{)9~7 zW2w1bm~skqgcU62;jCtCmC%&CJn*FCGwBjSpfV50MjabHZeFQJ8eve^)z?1c$RH$_ zg^*kpfG|L(a?CYAlcOS+d;G^-thRGJVHgYdm>3~>*~G{R%=%8Bz9yD0FjUfA32HRV>Kg8LR(=HAR|md7-2$qyn;mMP;wrpD5-17 zgSBsil{`3*+>@rrBu;P#wI0N?R)Wj3;z&+zy@*fBJjj}`AJi?@e+kB8zNFiJJI=AR zvu_QsG$?ApzO9Lfnmr+U#-uV@z7~DsB3Jl>m{t-ROp5>pSXSn0@Sa_?$uRjRu7%M}318~^Mo?<0j7`SYsDklJ_G>vdI#sOyG#+(gJf9M$cR6a{X1q-c z!9g_hekEW*yOX2UfWu?eN|J)%NPbLTGd*+Z*d3P!K6`AS1)sBd>ac|@$IJbn=Q{9X zqt%^$v{t1wfb@iTs%o+Rg+4|Y+?)(c6@;j=OVo4@QRSYA639HFriG|!L)390YPwke z`xFVNoS9sVM!p@c6tY6%k&<6J8|fwpV=wn&1-~P2quY545Q0!X7iOiA}0ZITT`2RpYj!Or7rRUX9#nqB$lDFsQ?owFb~jX?~DahwLi z z<6B1GU=8(7yC{^WuT@3ZBVOSQFUENscT}j zjz`qN2csMnX=&(#e<4F0M=K0*r$0US=rYDPIDZU6^k>slp6PXLmlnG-R77F4iN@mb zt@cw7Jn+EliZ5p@oQ!kRm@}8)ftn-q($M5-8Rw|=WfY6gndYQXo%lFlNfkzS4&2Es zovGtCKSKs)qPu=&cF1=1;;&0uaG0Tuk)V;ekRdft-bdGpb&b8_TInJlmI z_qd{n)IHSVbFv8ci}fe_0rjw4aO%ZC{Bm@~;n!3}zd7#(=@QDEfpo5yffPI80V5&p zK$=>&!ze}4b3CE&K;8u$Frw;0(b{YHY*D8UXRnM=BA2#6xmn+2om~3`;L!vGF*nLS zx}yY)h*df;q@W2;T-$(%g&D{_RIQ!zvG5bx{9-XR!Fw^wau7qTO2owPSe@!qCQ+b2J~k(j@{83*gPiBS1%Up zWoBBCi(%LC*FM1l_PC7OCwV$6r!$x|pOg#)IWRU-VjXr$1IGYY(1cImj?83P%}kmJ zO$?HOAV6li)Ib?3^DtN+eHe#g{b%vFIVH9oYe!1gIlT=~VKq2e>lxMyBz7EK53TiN z3;vpy1(Yxw(ZDgAjd)lVWN?`VXF7J%9ipKCT)ke#b%1zCL9=7~OyV?v7FCHIzJ$~Q zBLxb%G6R7^l4jRo@M6FMHgE+kBD}K>gD~)BJOCL{!@h~kTZdt8H+VaPf`kBtp(hW8 zxlK&sO?X|bKL#DmD1s#A#K$ZyY;l7xjwtG_`EqNEHODp|FCPEgp{3^=AJ+E#Q-N$OicnD1lVmt)M7!M)PFFc@=KY>Gz@pz7@0k{Aa;sJVbs$x9& zqXR|Ac-Vq(JnVNG4@nIf5AV}>s9V-}8oa=N*LZR)A5u`&g$P;Ah%h!k5g`FGA|!+n zp|LyI38_IL1q!*+Dp5$%tnu&y#$y1bAI=#MXtx+33^bnyAd`m98xwb4(=)fjD2N{@ zOfz{X%xz*4VZcph9zN z49z0Gl#~TxQ;BRB3a?kE1Aigs>t*0@R}0b&k+kk^s3LBt^0@1U%JG!B!Pc?P+=y{{ z&tkNk*r5Dn8oE*hCMb8~YsjxcZ(3%2KEe2WV*MrHBat5pqp??5`HdIrXUJzFzi|p_ z;&K!LNWzN=Y_hujDizomYV%y)&wQxAb_+QLz}Xq)bQP6MsJMejlIfVGg;n08_Jn{J zBi^=gr9-HaAdCac&cQe3mOyM#E%Q0RgTrzyiZ2z;#!vgR*Mfof#Gc-zWQ-tPA~Q6_RTn9V;Q7FHs|GD~r zXIv?LXpuJcBFH6v2c{mP50J35J;EimX1i>o4_-zkPaoh@+}D?%16L`%y7N*Z=3Utw zyXejt7`WrVJZ+e?5AZ63P=wm|GLqjPl(@5-})mt@&EPZH>{ zm{DIsl`|K&r3R=NOWxVRXvBcZTF@k2$URGENWnex7fVnDwl_wnP;wp;>^wh9tK)Po zM^qxKz`ycWqQKO_^IM=k2FoWty8*LN8gFnT8byI7wWAV$vf7dhQeB>0t<_Y~w!3Lx)|Jb8;eKq<%7 zgi>&9HKVMWQFb%~edt0@bk~fD&YD3=NdYL`Hk3}JH6zgi(-ooxcmgo=UUg&aLK(-Z zcxuFMHXTq|e526&g}6|7{ld<_D8Bp{`g8uVE4a<^j!wFQO(HgU#H5YK^_SvL+_WxM zhqjEr(rODV?5_p@0uyH#$vT>BE4ov8#pXX3kr`xyK*8RlEkw%mfM!C%JXUw#%x zGE)M{@lPfksT58N_#`vM6VT&L8Jf5bG!G6wjXRREXyL?683;`bGG!n@X39VaROVq& z-<>Yje?crk<%qu6!fbJ!SQ0&R+<%AE7L3oXrWqFd!(>yJ(#WQAYrvW2Zxv->{x0B- z@W*Otp%518EliHh@ zT&`?O62Mr^(%CsAuMtHp!vYeUPtwbTZvV??!#d*S@f$#u3Jp722h7Zk2Kd2@7Em0* z|D6)Gg8-qgWe^HH@GxjLE4Z)8on5&|Kc>R7QHmv?L~KMX&)-so&_c!iuANiMDB>25 zArXu6Lc~$N6^%fL5`R-FVsQCd31b9b#2|dJ3S1EPtt7N)&%0e5%P6JxkeQJ7 z@P3ja+QJpKb0_+YgC>47O+;VUIUQFz3t%SDXJGR@?udjbAmii-EfVII_XNnuh!CjE z!(f~kCIY(4Svs4dKoK=q#m?mM?Q9IQBC%6x$iLy{j&6BtokM(Z@dayQ&%X7FK)J1o- z8s|~90lh&um_6@X&oMb#Ll|5G)ZF5|T!?4$c=w{zJTjvvp=&?n2Ws)SA7! zkCD--7m~KSCHgtlk9smCL)l50{LIu|&g`{xnjM&$Ixw+1=a1>zTY8)8eSj|+x4@1GK2~i3XCmkeqg)B(>!G_* zJE5_MVr7xhFw!ErY!CiB2?pJa&8KUdB!HRY zz&)rt9jEtFsNmMlIAF*Xm^EV>slnA6>f;M}ER-jDgvLMO^xoSl)~}*8B)nCWCq#A= z9-(^?UL%FIv+xKlTho_tk|Vq_*y<|0V!aPwd<;r(qy(R8zXm5EzNy{4^G)c4?@TYd zdecfF?#w!&f!J5WgsxtdW|lm&^M&XC6G#({;o}Wl8I6&#^PD`Uxcwrml!8LtV>s|f zFH*%ha)>MXHLx|99SP!+eC}k<3Onyj&}S7Aw$4I9Pnv+9%E(`L^sHbrEq0?+Aqo1v zuU_+n%k`E{$Db3qbWp6mN8mowMoC%jygns^z9t|8Hc4Qz@AhieMQxKVV7wQgGE}mf z8SM#83^HXUKxVWjgdt~8-AS?j4*-`DU<-u_As3`KeeMIkGAlq12+}9dJ95TY9wa`-1F+2Q|T**t;KAvYd4)HeK5 zYdN&g`vxA?QkV2$EzkIKTbw|d&wAVk>N*ixvYP1wLKBJffv9u(BSVBReSoUGf-;P2 zp>gnU(n9iJ=QF$*uz=HqKPLl%jY@R)1lTG;(SkITzdvLc1qlHPLr)$G-gad)hU>75 zNQ>wxs~8y(8vj&A1jy(qA;19-gYo{5Uj|%8PghZx5OP8GyYLBd^_0+QFDiy!S;QJ% z+&lB9PyJ^+kkALZiSjP!3on{&Fc1rX=O+`d;E3e}TBZ0)t>PzJ=+6;Q4u6K%!sM5b zcuqc-;gcBa6QM;`tPNRljx>x@BH8igNUT9CpnyVF!27g<$9QbtfCL0WVId~1jl>U0 z^C0`sFkZIb(~NKd`M(f1Ywt7{ zO#qd?U^Sz+tWFGK+XTqyEpHoXLTfk5g@}9Awd5apy0501$wAqC_IcpF!g6z zNOiLgix*(b0J1jdC%ogZ2m^1!1CU8W=LMNFr8*zq4x=D`pfJtkp)j}EkR+Na#Hmu%NiZ zMO=MXOUP^7b^6W!3&%o@lUO6DI~Rxk3}wihjAInJ^Ov9e3pBI^AapjBgg*`3ws1y8 zaV8GCe0g{N(q?L2LP$Gt@KX$$JCH0!6@Chj+5YjLXchxFB#SYN;`jUzzP0VI7YM9p z=QJqBE~)cq1m7_-k6ZD+mN=kfy$sY2Q25k0KXxSN&hu70n)IHxKC}SjF_3(k5)uN2 zi0AR5n(wS2H8qX-+2(B`hZSJP(}~R9PC&)cBb?>gITklF2h@C|%w~8VH-qnWd(E`8 z8P0JsQnnn*V@%LC^lWz7nFWeQ9%FI|eYp^NKcECMeR=j{JV@lpfyG&W6w7mlgNE>c z^(~CzCgDM3eF;S~0<2JxSpY!<`I#?vrsXE{dclHXSpk-ifI&dMfE|`DM^#|3qJaPl zJ3lF;>m6C3P$srmBrDPkvq>q*$l=h%t;(ON5i+<{=v$a$8QA42|hzofXmSg?t>KrpR6tr4NF!R)P9sU&1TSHQzabG zVW>(`zcR%!fILzA{g$9hL!YMIGcuasyBpIO$m3bJ{Y=7;oNqc-OkoUb)>~hUbQSrUg@AQ{E z&F#F|#eg@C&I|tR)Zqj&&3H6$@=%+vRie_I&u(~e-V=nYG0{%nA5(uDF40#rV5UxH zkdnvcNqKN^azJlH1UIkm$KM;nswF7ZN%;C%xJ|6ja~>QpG7Hz4L7kak9hREJKeh`8 zfw6i*ZHM1L6^44c@~H;8liH-Oc;5Ir^jYRrUbuJyF!q{U>?;5UXBE61GWj!<3x`VQ zE#KPP9CK)uK*Ri{ZDX%#4~2@JRq(p~TlnL!FH(p;tTa>L;v$jGXBw3N_^2k<@+C{ zzir`+{K+Y!sE|W0UE7lKz(wIsFJ!2mv z<9zvjQO?)<0p+i%#(Nu8r^c1{YYqBpo@3cH{sRbWOW#FM?1Rw|BqjW1R2 zLm=&k6|DUPdO0BZJyU7XE-ti41qXUn3bPJB<^u69ULXQ5&oetMCFJia`Q;wTziQec z`8=nR7T@_9`yx{HBl6(Z$Y$jhkxc~TCZyB{x53*c@Qb@R)^4?XHfMG*nrliYBGwQz z@P5v7XH_TP^y56oB>X?<20Whab4V#cqsIEtQR^lANlh~eFzxoU&chmxpwPe0js!8G z3oRiOVSv*YAwvflvGMhzYowEgVdzl1i`@-l;!crmD^1UCT5$WJ$2y+G46>@T&5;@I z26L9!G&^bjt_1WYya9xuhU196bVM52>ZuV&NqQU&G^Ja*H6CVZwld7h z<{;)}(#5`8004cMDgK(~n)2O2LA#~YiQeWF&Sf11_7c#0$~?hP%2+Tplzmc~Gy04f4MTe!%G^0~vHouzI7}qUV4V{QOiA?-!+Pm^ zW3w_!iM!>*Ye@~-*@W9$oSFsrU+lXHAsbh3O~@7_Pl9$nUn4ksto?##@wQU!Py=uGgNE@Skrp@(B%WogmqCp>l7wR{U%%MJ70@Qk zn7tz@IB! zN+-~ojJPUY))MJ9aAKsZu{Nd4LSMS6u2ku=P^1gd$hl_M#$vnO1u}tP+t&--%O=ng%CGMDsB z6rM|ZS^a!@ucSNnRFP<9f4!j=6^nG|bq-hBBN9tklOmwgA&%;!FL;8D{k@D4)L+8G>*{8_Lec_NxvI#P|s1n{Z64gT!EtCikumtv3qQjo!p) zGfrO%nmofDArNEtLORBcVJHkkusH@KGH&!ikm-QL^Dqqnbn@DLfj)`zBSYCfAv$M# zP!nZg%DXg_v8@zigv@y5AY({6ACR%_k}BJO!_g?4W$cS6^LEX(mWf%F3v!Jbj2`YOg__I6%a$p(%EsmOb?6PC~N=zL(t^(s{xz8wD7+U z6+Tti>Vq?Fc_6?@I%CohaZC^+cvf0$LzVvt#*lPILofgWG1yAAr~CoQ$h#FuirPq# z^dA)@1R@fM#3kAynVV814WH$vA{kR81d78xhjWW$j2mO}5u1->SCLc{34uCMBD-q> z$+C}R>`z1{Gm3;johT{2Ln&GCkyI7Qf+8VMCnOsNN#rA0Q6#I1gg`_Bn4n;<{(w@# zXEhw(RSVB6h#fdWX>HE}77SoKmLW!ApP*x9%(ygxe)Op;@alLES~++@IXiK|Rq z#vm-GYd7DI%lS*ItM4K*slV;c_PBU{z)6k`*njuuEnT>2S zRD8?Lh+JSIHsUw4rp;`pnjw_ajPWCj3(K!Nl=k-VgNxW##yJzNTdSeFM$+_ z>!YK%S*-se@Hhj2K8-=Z2ACufq|A(=43ZsU+u(A;GDfk1v0HH9&t+^FWnsn$EsU`? z7?Y*BI0f?5(%gQO@*y<2$v%XBc$D|Ke)T3E+dq%`T$+oE%+qpGW5fah@Crj9tD}(O zK;a9ukho+qDNhDGAR(Hx$O-QQ2@fzb)|SPj#BCOn@|G)-00eHVPGU47w>KuFVGT+Fvu#V&k2oxsXpIE5&N86h5DTLWjQvF?Jd-Yc!)kD z9^yCRxeW_qaRpJZItnSQL9Dga!pCq(*4p9%{cZq7##k#1cz3NW>tU@e4nQUiVXZB$ zoK*-|Ypb`zD2N{@Ofz{X%xz-QwYE4Z){o`XWMg zUQ5H6Fk-<8mL#$bTukYPS(yKf!NW8FTE>5zGF|x*Jzc<|%u`G@Kdi@Db6qvpOr`UF z#aw5$n#W!Oh4fbQ2)s8<;Jrq2l3?i?1m23#Myhe(z3Ml#x4?VK#6k@pi63|qh}H^K z4vck63u`9uRyDHmp&xh?C^q@*fVs3#HGwxZ!I7IEcoV1-CH;ny1s{nYcoV1-CDY-U z*$=!4)Co!9`>BoRWPOvvjvsgvh)6(fP_X0oDw0{B9Y63UP$x>NCh(r|k@$f(fjS|X zHi385N8$(G1R|2Wz`JFXZQ4iT2i^oCl00SGHG%h(k7R9E!d(-16Q~nAr5{qkR(vFW z;7y=T>`c8+k(7NTe&9`@0For|USn+&c*`Ey9Ru%iK-*AtLM{-hjzL(ClJzqekGc07 z_8oXrBW*KdaWm`_ro8-2Lf*mdS#)IzOAJrkKfGrcS|#4sb=;R zcn{0d2^*vGlI$_?-i7T+vy`TdPbtx_^}su3ssX|gc*jf$Gumf5&^{A*n-G$*Fro(@ zzSaV7anerU&7m}QU<{nD;yNerCTKYD);rGx-ux0T1L3b?;Qb=$BpbWMrn@Y~zUhvj zOl)|IH#-H*3jjz3&67*>5;QOUdIdpvW$EXqc!1Kcz|C=kk4TQSRLIPyF4*|4TgY5O zWoYHxPwH{jTvyHYenaNv*I%z(^{U9%iaidQ)nnQ~wWpBTLc6i?l6-2Bh6PgR|3VZ z&WRf=B`YR=rM5fKhabNZs1uT96Te125`a^Zb;d{H$FBtH#LmL|l#;5C#E)MI6hM;1uVP{*eqDGOyU0d-fyD7N z+OmP=1RKU0Y6e@HvGJbTOA>17?8XT|&0iXOqlTG$zz8*Qp4!{?0vY5fx4kjBK)jp^ zWM?$Ote6&Fju!aPa}R-R#cjqqaE7Rk#x}H>nN%~~16gDhTE<1DJl8eIDkw&)p0SHe z+5Iyv@-xZPVO7k9S>qy8-pzNB1fu;wL0pe-<=rqYasdF2uJ|sJK%Lydrg4#xkHmM8 z1nPuj!??&fABpcG2^4S#mYo^nB2(VYcaa1llGM0nkyMR~obf5~T_k}zv9oMkWYtIF zyGR0cVyE>!^a?!ww2#Dhkpv1LNnE6um~oLGcnSO2x~zbU^jy{i8}8~NCq4kE`Aak3 zx*r$m`K~dvC&6dRMP501};)DTJ?-w zWXkTJagkXUxoTWw%Dee4l0dW{C`iynUNJ5*qAesDb>Br2sFOSBejPL5~vfB z!n+hn%Dee4l0X4>VAZE#Tx80-`7V+`M3UzsSB#6S`ZDodB!N1yvte9h%Dee4l0co< zx%xhp3Eh^{JHCq~Pyk8dBE`gvi+t+~iK&x|^jy{i8}8~NSJg$X-nAbW>G`fa7g32RadSk;6ZzMmR@X$a9ed>f{c}#zoHhNPHJbpiW38jEhWpH{V4PDBupP z`dl$CGUeTT7fB!@$#apL#zjv1?D#H{K%LkrR8_E3J`&$W5~ve9f|Clm(`n#9C-jp@!06@{kX_+@Me8io{Ma%@9KY(wy+NuNei&e z&V9n2XQIpcSl?;;7*3CXN+kyRgw?;;5la0ga>hQsSmeHTd}BFS@+{o(be zzKbMKCw3-`i>&zU_%4z_o!FT%F0$++@m(Z=0!R`UDJEuI~uG35CPCcWx(5`n&p!2+26pkW&??#{ifmR2% z!%?K~-3UY^DY`9^E#o3ne%22F2^2t*csH?*rM?MtDwl%eE1#32CA?cy#@jfk$Z{TG zoqWVQG*@_5VV$oz>a)G}f4RL|%m`<&SBF^ophR@5coB=V@6924F>0Pe>^E;fnHKip z5KAswBXW_=JeJKHP^o6RJ4CzXRs32By%lA_Zr}N}IH zwew9b(8Q1V3pkx7IKT&*KnsU)N8T_YzH9!vRPDVP?&(ro?kT_kX=F^jk8=P0?w*_a zdfLvrTs-~2)B8(L90T1ZCX%Y-pnNzR zQCeJAvbahy9fTu98O=UyXF=n)wmIRWZ2OIkNh(6)#7#@@i&rZTYyWKPtFpy5ri-;=1DFn)_kF zwUCR;*t-8Fyb)1nU!hLH`il6)z?7N$zM|I`15;-1`-*Na2F9=B!BE^T@_j|O*YR>* zJ@b9VK3_faeMS80S)Q+mUqVm|^h!^gs zT!?S|y)N9Z_l*hyT|vBPKV`+fE9kapKVG1o zvSQyA^twP@t=O{TyMlOudY&sNe?VP<+S~#!udgeRt}?FR4gPA+?oq*kTtUiw59A6` zQNe*+LCSm&5ePl3Q|$Qb#w(OyS$#RAYPoF zvSQyAbX%MrFT_q+vF{3cU5Kq#oZ|}Oh1hwnV9mIKl12r*yuPkLy2`kMR}WKhJ53N$ z=6fJlkTTx`xq_7W9>^7>%=bX9AZ5N4bp;!5-;XOuo3FZp?e4e&u3*D=QP{KOQaI99G;|k&h$9b-x^ng;L zHn+gb>+1@ntBfnS<)&_~AZ5M>as?^#J&-HdLZ3LJ?}1!F%6t#x3R319sVkV7+>a|r zneUvsf`#t50cZuGFa^GjE;7=i0i^ijEd zvZIUy@coZ=PBNtI{y;t?W%md2At}2*kPm55hRG6;1No4Y-H+>|he~dW-zInhj~-HX zKW0`ebjKC&A*()*`@X7V(AD^-iN^^wQA=3|Qv zZQ3lDm1c)NgfAdf&Mc5z7}DuaSMPuH0sb6*=O0@J`3w#gfw9@k%qKQaEg z6oG>|5MtP$E!Llcb;j}o|MG=E*1a5Ust8QIr3L-M3cjaB5q=-`^Q_$XJcJS4dw=X+s3BUYFBreU69<@v#x-@Z$jzuF7) zV-ytlBJ(^quQA~RulOi-3&RILkVbohx>)J1X#*oakeEllbO~!3*u{BI4a{i+6S)oe z7NUwT9!L9hsQqQJL)wiDXf!!~gG#{ zW;k}^zAQ0km=k<|fZxSf?B$Ew0#?3pu2+g7q|bTOv~-3V22UqFg~xk zR5tRdtjf1kIdG@jW~H51F$Af~XOfRE_B8%WS>v_=*60>3Uvg@nz>@cxvJ=@^}2kSL~H#(C32S`D9Ao&*^c@>K#~V+k z*UR{m{^%jLQ@&`LKxBq7ev0Edr!XAXxnb1;YPH{egG;2PV0N(|QaLh2b{B7^Y|dQ1 z;|l}7J+KJ|cau-F0!3kGoD!PNm7?_EP5ewU&nT-NItD`d1R&+bC{{BsMm2Cs3_JX` z5CJkD&uO3xm3bJ{E-BVePN`GRbt+*-Q&M3Mf5x6 zgzZUwWAwZbnR)B%^a*^l1AiVo)E)w>5F2Zg>7>4fv;l&_`8hy}*jUYoEy5`=h}Z~_ z5nF^ZBevUgl!d0vp@d2eLDq3V$%C~A!RvzqdauDs=ZxO-+fn$C)a2-cQ1S;+GveLz zQq%dm(7kf6!F!pSbEwHSBi;?voMYQiK(t%;^zJW7 z7)JLk!$1p-$&AR(hcNw{)A5h5>2Uftvpxo>7_(?>Gz*a#|6eWE{|)Xt^B;IBKwXYD z090tsy_NREYS05j@Pc6B>bIg4-8Q9o;c14(09_p0e7t!4bB|Ywhc8t=1+@G{l{+rt zV-Py2z+{6nn#U)Lhc_mRZ~M$7kd!Nnq!phDJqE1vmo`Zq8k(M5#K%M@N5>(miGElNHQ!F$hp+~F(j|s(S(B$<7Mf-9^^F#ph9zkJoF+j zW|$8suNjqBMC$f0FVdZs7a7iz7c_yx8T1S4fJx-~H+%9zVYEq#9%@l#ri%4nCZ{Gp zfj9$0ANuxkRDLJQj zUO3j43QopBS}$q?awdR5#DLa@`cSR?6#f`mc{4*R81ZPmIYsLVD((EOM3d0SSf-J` zmo&ngj^Y7)+yw_~KxYWz9T4QnpoOx;5sJm^Q3mW1D}x{WYt6mHsxZU(USUxPm}$2x>TNW)lpY1Cn+SpQ=%@_?fc@KOqSIhvIvncifT&I zc>UV6yL7hk0yIB=X%1D}?*i)Vtp;xGSvR0OCGaBAR)k|{)RoUL9O+v@tBXSn0FEt6 zFni{+$L_c^aOv0pM#hIecj~o^eY_bCbctI6U7ny%`FTK|7HYTqa=aYPfDiPJ5$n7iRA9d#y`7juuhk(Ya8QxIUkMuE12uEZ- zA_BKk1{At3_hnMVy_wJF?c5g&Rb?Ddp$%0b#>IPgFRpgG{dXf$w@7Z%I%G7bVd?Oc zDV{$a|E^A=$w|x|G*5A$n2&}P25B_#W%_>5rHUsI{*O>BEl*6W| zHG3C`xc^yTu)YRp65qx<>Gn3YJ%-}@Y>({Q_S)*(C^s4A=-VRD(AB?T6tc26_J;7_ z-LClm6g28E7kB&|?t>u<-$I1Ju@Qq^T(-O*W%nW&!t^deD@U8uRnyM^o&et^l)xh) zT+T7*3Z`QmlqUv{-dKB{I~zgpyfez)$K)K??&Rr&oQ`8sd*_4wKtBgEDPG&mY@iv` zLoj|DpfSsM4xndQYv)C$A4FcWR`lDP1@!FTvC&%Xg9)!ufd5GOPq8@@XkU47*nFGc z#lU~Un_aOq z4LuEL;?6>|^tjBZrfV;E2F&Oc5XJnZ2K3bom^&}cy-jDBiNIZzcYWQTXabp*lK8qF z;t71#G`0b2E75jhZ_~y41q5rbH^iNB<5TZ}f#e`8$%a(METR=MHN}&(zJ~k-#HE9@ znfV*76(_Ls5`-bVn^9a&#^(l^5^#bHjO_vD7JH13-sQzdDRZ9P`L;xkoOi&S=R)RO zr8%EC)c#i>8a2c#xiv$+)BGqP8CJG70Ml+87l zo1yLMUgeh7Z+dN4zYlA&i_Sh7GD7h%zQAIQMcipr!57EPgtLO>4OICg9!As!sLRnR zfS_2ck;KDLa&Jy=^AF>L}!qyT7cU}`KN16BNMpM972)r2aHblIi zgbQi3(m1BMN-}4p@xXFO9(QEqF?PQzkDU460)V>8!|MC4dYolc^5%c0yovHX>$}s5 zm!k+6EbE@)@s3qI)83Z0x4#LvC_34A?u>#AN5>qiEsaU(^RPo733gvHlNG3mrC#L!tf4 z_|q&Sk&=V2v*IR`rCi-DKEyddOn^L~<`RQq{TEr>q_*;Zys=&T=Ws#lq!7K(;LXK_ zO8n$r-4+8)zAM;0c*asMJmZ??Q!pDmW9Ud*_8Oc*``eM^fi``Wtmr+~CoM+GE@mGY z%L;9g%DE7IgRGwjJ@$T2g2p`d?q*ngfXGhMMHiEH(M1Jmn8}}^?a*)Q29EU&=rom@J6{4PcEaJwPeQehdV4IB#4LQq3^yb33-m@GUHeSSGwRhdA>gX z*cFsAJlK@u`Oys?5gzckeguD#X7pB6!5A*#s*YjB`X4+WP!H=9G&E1J%TWVR*wXVT zu))zvRcvIqfP1dKw{RU8>Bt2^dvK4Z-sl474e)G726&#(=+MBYagb*^EGyPu3@+%_ zgw&<8Yt)(fOA{v~V4-)mg6AqA*5S{oQPvt)f7o5vr;th2q=7jFAJGO(C>XEzP@y+v zg|;I;+HsHKBWTa!i-CI^(clFf&Uop^+9Z2$4Ji2A`H;&@ z10|KE^U``YZhCl>_Ya+ZSe6SNYWIVaSp8%GVPok9cx-4#mX7g^yt@sq;yNt73%DcE zC94_f5!y%Du+U|F1@{81bJUzmr1`NxjPrK|tHnMd z*wr1m4YcwaP*B@OvHtT!=*3d3+x`_63ptEnYm2fX181`s#A`B$lLvMPSY@y;+_S9q z&5mc-R#P8)pF7JGQ*YmZh{=I$^10dkrRn!$t;J7Me#^w2*LVvzP2-=&sdZ*vJhj9!u^QY20mBk<%%BGeMK0ZmHW*j3r%*zSh|gG6 z*Z4F!^|*;d?_f2iYjC`xYKr-Z#mic0f`*K)SZ|>?CKQP@(mx4-uysdIjEPs^3?PO4 zG#eG@8S9F}vFmJIBY*kSQ|;fAgp^un*Zv!aKW%>_o?1Cw`;2>}afFTkI(MKvK72{1 z?#OeGV;moineHcexMuFr8K`@4k1pM@*TEJ->>f#fzI$xJyqb2qj?f)sC4plb1#%nZLp$CX6bSh>TJLhbKZCl}8gn2AkI8?dIx zM%bCRIuKang^m0B`1BYP2PU=IJ7xVFC%sZ3_rJwMT@_l4T60^HY14_!(6j%CWZIY* zYyTs_+x6gl{v!T$f}KU+C_+leB-rT1i}inm1<^>b@q$DU z3@=pzs?gjmqYN1$mT?q|aCF^1J2^PVR!~1fw0JY0EVwVpX?BfB30clrG0jFKyT%hx z#o6%h;~*1KmKE#&6)I@cSz9Q|WCJ!)7^D>0fUac9s%`_^?1+a2jK<)7 zBCHHSZlLr5%`d(Mjbnb`d|kz80d`4v1Ldoe9cOM?jS0gFkmT^eciZ;T#ddXuYm(Z(UIjZ+%Qq~i?T7R&u^lSli}CaGniio|R4 z`}@)8JNi4f%KA>L{{ff$k@hVfm(E_%o~t@N7f5ng@X9!9;X^eK*o+cnm&$Qh`T^tg zD~Tr*4q|ESwxC}~x?bP;;E1(&v#d6CUh~a99|$csWg{IuSnYk3{T6A$8$hEt1e`71 zNDiqHZi)YtM~vU&=NegW=l!;UrG+hu*$$pooHHu@7R9+35vcvkX3i@3nI}ZXn;`+}sseGV#T{&X8aaYk^xgmd+IxD#7;SIMlQmXBAELZr+| z$BK-^jK1#?=jX-rMnQV6Y(!M+WfGP4Tvc1i?=iPn93=*r$=GK=ZY2n0tVFUp`FXrx zd(rW&2qln@L?-h7zG=`KznbSp?|q9Q1I6G@J6E~%)A z;;*3y>PMl%ImlxGoH}M2jpGt4-;J5Zxky&YLKDSzwu<-!YYV4jOWC_NBSk2G z_`_Z!u6w@wX#Y=sTc^7pezkiS)Y`%1?n58pVgd4COxR2H7wczn$2H+iT>GZhlL=cV zh}8)8J5{2 z9$^SPZ2ulPdJ_m^BnrY5(jm+XQQm$N2|Fe6rPXB@*Wl5c5~8p?cJk1vke+tZryAnD zkSdr(dyYoLzTZMS-VD&&uT-_9Q)^#=lydR%Ic)}S#-7P=M!6ysdXl}aG2mlpE!IRF zE4cJp$A#_I*92m~MK>b5`#B?@xf}YEiT-Zid-V63Q1rKW4>Zwg_1$kr?3dRbEv|Fi z=kzVRik%vW`)n96MGpMG|P^Owp$BC!>L+F!mQGiTj1OI>HKJuP1m{%N)`hptew4u2b*CUfxHw3=B# zKxoQH)?ZLcnH25W8P59sw<7Q#c}?0=m+a5{))A*>I%q zF*p(2VvGn<6vCPq-1guQA=F*}Wv2e1)K5Gjl^_x*!~p$A`gRrjTDsy*NBaIuZ!xq& z!wTUveAD0%A++KDEmMC`>L(4WD^7iTyoG8z_qZLoOhj0FLdl2Lp71``o}A~g{d#nX zkv{v=MYMuzKLm(1LSqMLL<=BBBM5CZf&dwfAOvJbx=@u@=oC_eLNjuGTnkBBvChA^ z#lwIFWNr9I!rK+Ux&Rmx9aBRZI&W4|*muv)$G5{MNC;3Edh$@1+iXZuKD^d-5?eUK z)Yf$Xso`tn#(?(Iw>2l|Oj0KC6^=ST7Q00;8aMi2)G55#j^+1B3 zi7<4620_{bNeiYEI)*>2Wn3{ip_R;32OFh@z zGBtliYyNK6ns`?cp!j`JYwnyxgP(Xsw+3ysZBy-ysP=v{1 z_{=M|d0>BY0?&oxm5ioZeSw;az6>>W4;Lz!d6CTDpBnm^*^dn`2|M~Z!}REnTK%jR z>vZ4F`;1k#h5guO0EEX^@*)nO96~Rk+dNxZC`gDdZz#(7dKozU{NC_T74^Hny@CgR z^4Kr9L))Cblr~FxDS`d%&_+%~g506)W%Q2N1qWp!LD`|rU2iWA3gJovEOEc76&~Sy zd0fX+Co4-0v>?tF$+O#!eKTSanEMnxEbCm3Mt+Qvj91zVJ{nnK>$$J6k?9Hp18)3% zTmKUm57ma?*_VM9C<{BK7~u5WjhUd3P@sW_L5=l_^`FH>bE5=~5EI3elwC>|01*gH z*-)93B&mE%@FbV-E#1~_Dh09}?;H_&U&m>aqcMVN(wXsiU)60YNfE;72nY1n*YFE- z#rn~OU^ys$(U*i7RgT-A=mh6_I@-Vej`SPmMj zAqCQ%JDe&JTR|1rf3Rh&9soqf)w{kzf)eS(rJ+w^-6Px;U5o@J^iGc^`*m^s!Y0KF zhqWQc^P?>u;jnmIpTM6uIMGQZ9M&pCVAd~C$BXrE8V1zEVuECaH7`en4?;5WC4`}S zCdQGQ`&xUkPInZ8;>p*QTQH1_Wf4)d4#ff$1CdFhd@U)K8LsBe03 zUudqDgpBDP(SY`(zZ`BRbQq6-ru|Qz3;T;Vux_COlWUYM2rS_M?sdcLplemo#Je(J z%UkL}q=cl2aWP1Gp>z}XcN-|&EI+whz5^tCA>W1CEyG44wW=*(;Al^Fj3Y{WIW#Hy^ zZ3n9)s5p?;E9ejY1)25UtzzAF3xBSiK*EbZiVsa;21{k;sQv*aBRG7vOsc?G>CZAE#KTfyxde`Y0j0VLMGmuVJ4GtI)ia?>N# z)gFf!P62m*oMNzCowV<=&EUPAljvYJg!Y)oKfCce~q zT7%Hl=}@zLyxU|SicLvytfYeT**wxzj_r&Cf{fCfJu{f)S{lB!M$BRDU(={?MFnc# z>th8gH%F-m$f8u?o`fjUvF-+}-S2~;G8a2MZ(!_9bZO>kHv_g^Ui3);r# zJc7;vK>oZF*-CkL!p~M7W+MVwj_1><{S3>ppJ7?z6D-%2BvH9o|4GDu4ioqc2*{Kq zb0z+s!-oN4c;HPRyp;ieTLR9TK6o<&{=x*DH+}H64EP@d#1O-qKKN<|{F4bdZ~EYk z4EWC_;JoRBuVlcd6L8-2!Iv}Ow4Pt1z`sPrP~G88A3Vx{|N8`-H+}HA3^>DY zgPk{VPQ}J{GtmAf8a`e^J1){iQgF}w#Rl0!dt-DSC1T_dAB#YVY~r_^ur>GzsoljYZw>jN2r|EG<^qz(&Ix?9`U6T=nv}Ys}hTEMyv8Kq-AhZX&hz64eDu8^pVvzjL$V}`T^(MrJdw59<<+vx0 z&KOBa2Q8hQIfeG-FRh~UNIqQ&AK%Yd?-T2)+szU#DT1NDRE?#QNVvqA>JMqWbYE9} zdlP{D2B2@dz3E%!L)5qN_xK(2G|{)p(^cOZs&7;1yx#PU-5k(2D#_mUjcy~OZ!2h_ z2miJ!`Zn|Q)VJvAs&7|R->&woZ|vrPzEMf`u5Z{WE~{_l5BH>RD*!7r8&6MtYd&4| zZTKTHo;J{Vz4o8lZ{?q$zFm2G>f7$qRp0orKE!VobY5@z z#%>Pi8f3J5`o?Y!=o^(}@A`H$ zq;C_op7d=QV1;Jo>8Wqkr>nj-Ro_<7dA<2Jc5^`As3d#Wx3`A$Z40P+@NW~RsBiL4 zgp)XUn#@mEp04_~tNK<(=k=yv+>9{;<-&`4@xpytKnXpwVJVY7o&c_ zGbvRi>%cCn*p_kh6Li~h3z@7LlX|M!bpQmG>=X9w<$XSGKF-ssoK7PbbzGh|6A;gv z&l44X4iRydklCt|+*{Ix79EHf>}vt^)Lp0F{F|&&!$YvR^?MhG9zhuwF{A9>Uw-m0 z%(Gmyeh#qw>3-=n+Z;n?{N?aJ;&7~ zbsS`!5X010VTu)d^}cqgaY8S}`#w;KZ6(3G&7XGIl`0HttC#M^8{d zkRfTq#A#sG5qkqGHa$Vy4Y-BcL>i42afpooAJN^-f?$1%ZkhA-3CO@oH)sF zm)#Y_yQq=xRx#OFfrPp5_r0q6)$iB!Yqc~QTVd6o8P)Gs_3G8D_g=kv_4jvrj$?x}Re{%!L95&@?-r^8K1cx6>Lq=SBc$zfRwbYzb#H!xx!IG11y=I{!dFj!4TY zCYnYW3;fXc#@{D747dpQ65e1(s~}D6bGf&Km`u6Y0jRU`!iGXHoSGU%Td$1tAq$)V z$;t-jo0=*B1Ez+S3=K1RYKqV_A9`wv0HIS;gaQo=I`opu;(IFV#s#)ijQCVk0GdJt zdK90E?}7m(v{3P&k7E(BJOoumSbqrWtn9Ag%>w6`yY?)hQAwf?)q!Q0I^1UVmg)97 zL_Uc$RO|@^u?6>7Au;tj~!Ry-+UlVzgJq-<9EoPBXW8oXHEkZQVPXV zp??~v_Rrd&^LFKI3D`pCEvkqQDx9{bxX$ssATx;0-A2+%cuP^7Dv|)fpu;KuC>fpB z1RE%Sq6zM_@~1W6e=N%Hn+NJuK;o`_pp~<|Z1o#7L<>1neKX~Mg})WiS5MY4LpKkI z02S5}O`#3Iq!4O+g|{p5$*;v6-UInji?c|PFWE2)YC&Bgv?%Ql_&8<|OG8Q0Lxz&+ z(G@H!c*Fg~L|=D2miSRL5rKVt1If&eNOA;>c~m235jZ2s7Z^zc$lS1SY5>ePy0>^| zN*D$4!$_K9gx0{dD<+vViT&YwQFduA;mJ3W&L%~}n1avO3BU(rV~rtK#5rWilz)qe zAs%L+>tFjLJS6nS+8BG`>4e&99Q0LRfCs9u7}><>aNJvq)8RwU`=`S(F_<*q6&P@9 z+Uyze+E-w{mHyNr6)S#sHPssGDKO#a)czMk4IXpm-~f*r z4yypUxo>L%#0AdU1oV!W>qOqnR`pBiunMCtw|Mpn$MDz1>%W5NkMY6@ z|Gor9a(-r6Lenx~6N%%(JMbr73XD?UPc`HJmjJa}{Qti9p^jzGX?rzv= z7wErf|9^D{{Qs4=L9SQ|{2yLS|KBe(=>OZDOwtPBl$4-gzCo?xJ*aTD-}T3wd;UND zI`$gqSiYJDzrMs5!V*V~*bi>|8hEpjhSZJn)?X923o|NrmM!^BI00h(cJ<>?6ZS5oHxR{^zK{Qtt9|9|kJ zd{dhK#_pK^?|9|m+bcXpwnE&?+4f_9fXZfE04`mxao3i+|H~-HO z&7S{%=sfy~mR0)Z7IzZ2#- zUJCsG4Yt-z{r{m;yT|{Z+w=c-o&TTT0sntU{r_%!{^7;j|Kk@L^#AQizdiq7eL7Cw z)2E}a8*P5u^Z)n!|2AmEp8qc!>%;qhoRNHK{eLAR=KoLZi2rYF={kIc>*D`!jmk9t z-%vRUDz`iTPY&++|6BL}cT|A$D!^^||JnqS{{N1Wx3pFLihJglOLVyd{y+WoZTkN? z80dH@@c#>Nw_^VP58Mc-v%Mt%TRU~RRFQ9T?T-Eb%X|L+Zt(xj_iI+5F8*$;*s-?- zC{b{83|0!bGhV-6!zLWQ5%Q>P86{-a-U#`v@mt7Q!rGg>O{fz(ikSZyXWnaF=-seN zNl-l5Eyd9pfp4{Xj^l>|eO$=E{@N6j_?jFbYQG0OE*BQjWcZ^iXr@vXtyJIygj}{< z1p@xo+%?o33wUABJt% zCFh&l9z>71cfR2^J=nZE_BSnwgMV%No2oi8X~Qz(i?_bv7aH{Z?M+{Mo^P;I=$}Z30Qpe@DryY*oMFp84exU2fm=H|Lw_uScH$ zmd-c7Gvxmd!QI;2|6c&qZt?%Kd;b4!Sl=l6_ZzkF9{+n|Hzm#%rC3v zm5iAGKffdXzpdFxeSkHyZ%2pxaa?G-T&WF0dA-Ox8?t96G;01 zJ4#+p2e4BfBNg&^#8vL1}R<&=Km{ft((sOcm3?{@&7Bw_U8Y0+xg~! z9q|9>4@0hFm##q7UcC8#ztEunZ*Rii^Z#Kz_U8Zh{Qo`w9}NLp2YKIW>>g?Q`wjhn zXCz-*|6j?7`Tq+$;{O|4x(EY)UHt#8QJLod8!88)Zl*c^%nA+n8+ctDM*C4&gm(DcK~ASQV%k`S_J$k4oe@=iVjd-B;%``Kt(K7F{n5dF`}mgFdiMN- z?Z~HXwORRG(aGWUhVmJEZi0?Fw#1htJjyStVWQ*0AYSeGNKQl79vF>e%KUcb zLo@jBW}btB(aWV#B+F1`6hoEOHxQ`~Q}}E?xmm;zi6!7Oj`S19E|rkU%v@u%lm5}Y zR!~#>`Dj6f6k(u}j}DaLt0pPKG7z}=vEVyc3xUkqW5J05*@z6tMmQh?Xc1#Me4s5; z-cO=r)CgZnh#GQ3M|sWpG!CcGh~b=k>Fwm#kPZx{^t*2f5{B1a%VYxUNE3kV_w4&1 zM~cISuoFVqaPh}QED(i`Xa_kuE%AA(Wq&JY0KmQi@*PKgAhwuP79bTQH5SL8aOxXAEm&r`P=UtZe|;BVENb_i)(xPN^*0cZDgVxX zfE?%PY6ZYG2W9ZWzIw_C@s@mLd^?Xb&yfmzDNgkV(lQAOL$cSz)}r5a3Y;Es?>x?=~BNG>n2`t zz?j+0*TpCwVpzKcU)JKzjJhvt5dxVRbm+&G%)xzonS;rHLJ;R1%wT|E)SS92hZdLz zYdq&c|Ih!KP zX_0LTZS|Bli+&WbDhzy-hd8}FBgjL{^?9T`wPD4g*H(0XMW%X6eDZ45LF(oPW4O*>_>ETP?zBO6zUj+iHrUq1Y%HMQkIigmFTNX4b@pNz)HSjfeUCtP z9uI8i)yQ6~sJ-Y%)~(qKnIMVT3!Nz0vlr;tqPDC4NTB&SE3juTc7wgp`98H5eBQ)f zm}Zklv$;ll!A2Xf7i{ZWuovGGvKI?L-N;_F{M^>-vZ@lzpV_6A zT`_;AD?U1Z#%E6h3+R(#^JhjXE6u83%KRBs0lFuuVCT=MJ)(HjH-G*tMgP99PXGER z8tUH!lAG7Rs_NeiGW{daKUp=3^v^CG?F#+V6)n|2J~z@oBb5rI(v-WDqJLC{r2bKR zwxWOUuG7D^$%gt@Kyvf?x5{;-u}j0q^p8OQWK}HEKf5%xEA&rS>{S2w+(`e7RAwNR zYtcWdLQ?;zJ@xwc9!v;Up=s=ZD-zDi=frZD3thzfg`@ZrO$agyJ@E=~Nl&cvrkV0L zv;*p_%oKATkYJZf1E-;o6`2f#KP_3Yf3t)%)U5|Wqob`vQz8iF=%WvW^JC4N$OPh+ zwc9)xnd!gDpE6cJU?Kb?RSfY?WoE0H$N4ncVXwPnVrAtp%5fxO4%w$~9uO(hXd@&p zi~Wh?f4L9*I}1pz{UN%2s{Mth+f6(%_!v1s8^8!si9zPYnFaIW{2n@?rMf@h{1pg)Qow$0r=d^ zcvuTq|*{m@V{VhECZCA2a1IVhuIkVCPYK zt%Zw%JHUWOM#C^^6Qj|goGBiwEF7-qh%XNko+6JDlHxXujhY#xQU8#Sh7F`qqh>n4 z^THOjulCo3hV)7j>RM;Q0?s1|5LB1jv`{olVlhHT4QUe)NtBtgX_kCJ`!;~g4P{m( zVf&TuoDv3YiUE+#Gnh5@3un{q`E-*UMnU{QVTwsZVUmeSOa?8MCQV4M=%$Q zNOaa9XSxhz^K;C6J6^{w4WQ*w1h*n}a9UXvWsM5RD|jB@D4>D@Y_1S=|9yhIG9}O? z$3oZMj`rq?$}dq3q3E1I6H$`!%n$ z=frY9Rc{S@_#?{*wy0?~Oech4x-o>R2F2HT)ekDzT2CqjHND|Zhs9bekcpDO ziHf}ipeBYrAC)l@lteY&@YNUl|~&SMgi> z7ORv~+)8wUZzZJ3+c3s5Cj#}FR%WU~sd%lB)nCT}k_yylaJsVPObF&tj8d&H0pV$CbU=`NHo&~Pk>joVWR|WP1mQT|LK>mUajqu zVx08iEj`v7IiXFZS-T||{cZHe4XxeXEH;e?7&M=_o#R5bCEt#3!QOpjz9!~7rXho`hWU=6cR;>wfYKEWrt@Z7e0XG>pb7F0}R;7 z`Hsc6)pNv`$2|DBH{bCR&Ubk2sLA<`ES*KnccA4p@THyq(5B#dfHyJU(S5HVul&pF zG2bE0)6949xvBXMV^0@gPf^`%%y$?B%iA%?m83;cQ{+aI^Sgh(BdB1p`Hnb5%)^ZP zPnhoj6GQVI@T!vY9SyKLlRQ0+xnW|%%56H5@)~Y~cJ5SBYhE{!(%L3HgVgU(INv^dz)r5ci1QsXCmWpa zh-*`PTG)=ur%FAvUb|tw!!x7J$nIL`elg}d8Y;5aO0UFQDPM!Fba-c5DV-Q^qA;bE z5-K#`(Xetm-Ac9Q*Qu4J&v$6C&CGXb6TI&89a>Ciz9Zh!W4)2nw5d{xwficY?@%r@ zKi|Q{e78D)OQTDCH)|jlFgWz)J2a`Z0=F;PY5DX4fXF#z?GVtR^MiG6rb9AefaIM% z3ZMLQM~|YhN_6t?H8xdNaq3S0Vbg|e;uv(BIC34_(oz0JRG>2P4pa$4I7>>F_7e$M zMnbP19IEJbQ*QeP&IWQWBO4nrBCn4qbodzS**MC48zx7vU*oNS=6qkv+B^Jwh1@ib z2iI@YINn>}5z6EH)*c)`)mv;yo_QPw@=?@s7|bo;`4pZ{;Q4s*&3HTBZepeQQTcnE zshmy28tq{3u2Es$-)xKOfrq=gCCpW{Bc8j z`d+}raYN7rXlWyRT0#1*vZvKOd%6vK+VW4uCRHKLYqqD$o;~GrWKZ+g#hwnSJ)QfX z;`X$SRq{Gk-=204)0DjgM!>hHLZGpyeBQ*K8WHuOn%l6aCif6>Z&|>VMy%}mXD<;e zeS7MuK#Dz$Lo~FfG-&~QN=vvUdzuf~(-jDykv$#w#a(7kH}>r5Htgw$+S4qgx#i|o zOZca4=$Pqu!GM+c9NE*E>tatQ)Sh?tkbmh9;RA$wYYmNv4d6{PPf|FoZ1=Xq|) z-gv*6@&1b1(<-ES&Gt0w*;778_H^mG*wd=Ci*yg~l#rAe*91NA|R(FW%RA)0fvUf4X7((~rdMX`WT` zI#z$YU)>G%R0uTol+T;kQzN1Uh-e%3)Z|{?j({tTSXtQ(_S92>6nh$nXlPGq(gOCB zmT*h<^an%sv;Zw_WKSzd-&OwU{GL7ChCQvSJ*`5T*KAK0J$uUM$es>d7kk=Itk~H) z7q_QN4eaU0Zm_39ps}ZX-o&075%r;(+pwo5_YiV#S-_P>tX$s>_S92>6nh$nXlPGq z(gOCBmN0Hl$Db?cycRyXghfTHEM7R~*-%+WEvRjZgi4O>rm8w-nBi18>hQoB`vN5vhjU1CcAw)72V-( zYT-=|6nhi3@E4rHi0Bl*eBY^lKR|Bnr!7JGH=}$Iglr*#m>dx#z?Q9BB1l)jbGibK z`^vSfD_<*>pGW0&BfYLU+LcEqP^b{OFx~m}|DfxcPz31D_>+YPaR*YiCdU4{$zh;m+4RO9xE!@g5c{;Np)ueBtv(Y9Epl=Yj3q#>!cjd zdVQswQ!*DoW~!9Qb|>YZP$^SfR>}|Y=be8F$os)Md9MTMX7bMc>aLZyERp*1UROrW zfMm#<$&tMI)rNhW&D90{egb?d_4r?wz_PJKY$L}_XiG7 zwTeS<>F}MG+W%NT;<&6Xfk39Y1X*zjvY>%3!C`R;vc)$Eac~D@mKh@NV~?1FJ20ob zEP-l>oXKl%w7}MgqiP}Gn2@86&+tH)j}khcRpUzL3G?$GduS%a?;irm9v1L>sTqFv z{Mv5jx6DHM{9aam=Rh_1&Ey9BjsvIjn>{AO|ke#e2+`Ar@q`Az0Ieh2+STw>=bI0hZ1JgAELkMQ_n5ipTG*>&TK zVGJN>IozT~>f{I{!COf`>sCoY|KME{ctS?~OtEWGREEQ0W(VzZI`45mDjZYyE?A5+pssKg? zBw60?%Wg@VM~TmNdgoSJcmSLpB176a87ScOc%M`6EN=sB|DU zpaU`KNfPCRyKqCfFbWwu-;8S|a+4FRu`p^Vc0WO=Kf$V@$1e8Yeg8|uuHu8fe~vwOcErAbYy3hVV`iqqMPS*;0) zarPoaKW3E@^e;#BV>)6U>GpmGJ0Ai1`~Fvvw|3Z76=y%hls-~(w#4*=viFJDlA%cZve4VgpfDk@Oi>K*X~5IJf$E)ojz?^=P>kg8?Do!F%5K1(XfHm-h_f6 zoWm%XVV0rR2Z7vb-~PsZka|htG5I;wZtHQ+E4n{^53+Vw+m?Fw>BX;Eu;TFT3XaDt zSaKNkDkC?4hEr`0^`SQ4W~~`BG<5_iv?WtF-Sf`2bnMLFVLwCE_|-G43pGWp8rv~m zS5`uHXNx>MhGSXfn7L>${geo>L}=n>N39C1nrW%iooDm}1dS04+t`z|V;_TkA7;N> zV0WG;SMnEzkzkR@ahTF7=rIOtsQ43!{%MF@&R;HN&x#T;$Ml34GjS8ZU__i=VFy2U zDF+}7FPKb5wV|k>!AE?3&0H~Jtbw(2OpP_^6JtG$yMg#~^JB2ra$ytfb%EsJxLjVy zJR{)+oO^L~Et{m$9EgFf)&cOf()ox z4+3e}LjVdIZUt?|cE5#Xt`MKhXNS!<%MtU<2mFf>!HM_)5kPwsDOlMn_cELL;31*$ zPd|7_fVw+|34zQEI?BC+2r}iL2b`H*h`2!=^SO})Xn+t_P`cG7W*R=|1842}!}rB+ zo@F^}NBole5tEyq6`Jc$?w##r`o8hAy>~OLeKY>Tjt~w0#i}rXh(HxkgTqJ>UNW2E zC86<8c}ajeUJ?SC$xDcVZ_pxAgGh3=quhJ1Mv^plrz>CD9iRy0jKI6E9iEZ8K=BC* zWKz(qAoISKIBS!`C`brU7<$rBFxh8zAKt?}A}zurW-&Y>H2x`%2vEl(LZAi=z>Yf* zWXjI~&NfEQAJKcVUfGnqUdRIE5W5}I#BsHvv4%jF2NvX;G5M;1f+x;bb68y+7`&)! z_k8BT&MNXelzH<~<|A_Pc)7O+5zv!f1pN6t=tf+a2ZR3xo-ce=Tc2;N$2* z6qS}04=F9vskEe#L%1#$>9)L&6$(vxaO!khdk>@9GvRJU#F~t)1{@K z;;X%!=ZrwPdreRmDG9wNx@Ig74#KM$;PAE&iZTIAJ@^kb8T}U;j$}0~nD@MS&wWVa zvqKv8oA;hpyq`y{pr80qxpwuo!`JF*? zAF4~gPTD1lFt&-%z}6x**&h0kF00_p zOxQ!x5%J-p@5qIN$+tltK=b{89ub1S%g;AVD^dbg^;YCKPPpUH`iXtDUq?EIE~o0w zt@Go&%#1QdAdX?YvYs6{Dv#F_@~z$z?V3P142GHRYy{4)Lzz#2&=GipI9~5=6hDes z#a*9gm<=G9XP9kA*gAbWPcDyL8ih*n1KiQ~blEo;ecSjpz$EpEO*EiKQ?1n=K+_R+ zSKbhmL#DMmrdppsDo`C2eUtk?hcds7cW4^H&_ZVs@E7r4Z`=qKrqCU&%RMbEC*L}L z-=83CDfWYOB11W_9Zw;So-|l7_{%SR$*Q#KE1+7|ZuG};ez9qFG~ZfoJ$DyMlUs~k z72k-mssAV&GYN|Tz*81eqlfEjNV6*$v2;LNiQB0$H^sl44-w{%UEF}h!1cx7gwGe( zUFW%gY~ryyfBil0g+-|#n&xm(Lj5QPF%ta3e~&+rv0@au?P|=Td@n3YG~XE669Gnb zIO6C*M(H;u5C%j;c4J_O8H(LvPnL~6v9;K4a42o*`v90(uAF3yRe?i>!81GfA3bNbvHzX#?gPPj6} z2y?js(z5dt_?86HJ%7T^PgDQ|TcOhtGb-k)h*@qh2Sb{ij-dI-9Y-MvWUGp-ZX%kX zWVfBn#%s#l21#^t8}HD$4c5)fZDek33C-9181Pw(2xkfW82F7Q_%Q<@SA`axo|!|O z+3R=>{4mI>itHNrK@yYvVBI`^j6FAj>O1Ed{xT*&F$;R($75VUWT|VxaRqMY)dS$# zan2{hbGlr@bw6%4hx4jvBc9tC_PmVxHMu(ig5h?=4%t3YOx!&)BD*8Ra|1naksg6@ zD;}eU;q#ZL2k<=;dO08x;;G{(s+z807DwSW!7&dUwWm3gKPMfEscZrA?jatoFs0qF z1ZFi^S%KVAWiw?8vXW&@;cnDRAaq+(vl7TW@&!?LX&#XjGUZP(x`IFAQ0KR|*3dO5D>Rinse3{_r zPjg8lsUG;i78#X7Kq|k40Qs=PKcOib7TbQ`bs;)-WhJgb~>1GwOuJhVs3O}P%Y)#>7oB?6Q5OCi9gtVsN;%$+UM1vnjI}qCf zF?VvuWUT}6wg!d^-P|2qh^VyGWFbpC(5ly)#6ga`g@+hFXaF5Q{`&7^ zv`>pEqkT3_*-Z=@6;-X!3{$`lyM}4I zrNlfsM_}=J^m)YOre}rp`pJZ|y-eqn>sV<{mFOTj|EVZb`Ckz^<$AJa&ZCf_~eLwgKJBuS~r1Ji+o0Y;z9EuTswZu8wk zCi#_`!+W?=gcPLncrcYBw5t>W>MBJDREmM<$uXxVdDMqv4WlPr*|?r~qYWLS2dJX- z;T&(?ql=yhWHv=lI13QhlM-U6CnP9++<6p(o5OdP3Yr zPY8jm3}ouMga^Iq(p&tUKM0oL6TYy9+i>#Ss{5S!c{5F-iS-Dz=fFH`nBo46^x+lm z51kA?JNM^FA8x8NZ#!G>(+B`HO&@AmK*y;*5e;H1K#iTBmo6~hZK9nN&;d+`+>O#8D37f0X< zGAF;klcg?TI$%J;Sy?RPbX`B*FN`2VR0yM>N_p_ljMw21{9$M05D?&UX%Xq7h5|o5 zA&cx#Ll~kGpRF1`avWJJl`MeKM+z`FKk8fLK440bIFwp3-PZQSi~D4I525j|g||+M zYaRRyC!xS11|4=eavZrT3kTzr#F|47z*8tey{r}H7OttAWe1mkirO)u2&<3 z;U9W=zQKBu8bp3IEk^o!)&}y!Jks?_RFpL|JR&syDUS$H$0I_39Sl0ky*DDr=+}I8 zfE;N%2VXrvAZ{{|2B@GiW6`7;9TTuL9V7atXyI0mDo6X zR~PC!`Db@tZvS*UzI^=1=W&BJDsTnpr1+T0UP3G_=nBG+b~ByY{S?F6dw^gwZn7L* zuj3PcKFgNz9%k8Rks>T(Hp4PPJC+fkj%9=}EJGvU8yW$LMkE;sK0c$7tbuC;d_f~H zfGc=IZ%%lx5fBC%%mB!wpjkl{YXl~Fg-~@Uh#x3SF=;56?DMFK_b`uGnDB^M437xy zctn6Y9uWdHU{H44 zeU=WidX>e5Bd`c!*Z!%Gr$q9h##MwNHD-D=7WRFICA-;d^gw>aP| zf?V|rAyB-otB4_M&mj=GFq@GJp`Ba^P$w5cn6gbpGv&WQoX2z94(o=2QtzyjU_b%X@YB1OcWyWpz$iN{e55C0km>c7+;aeUl z$()%F3?}KD=rB$Olj5pOcYfpZGMMD(PX?1&K*@5ix86JU+!Bc9aIz|)syyf!ILK(M z-ue=m2TVXB)ct(q@6Lh9@Udl?78b~?o!LsbKP*9}h4}z_V+z4`94H>*JByTLqaidg zh>JsjI)xwvGBc3A=%}0S8-gy-c$n!vJJ75kiq0R`aeDA9g8=GafGRRYcC`-X{sP*I!lUo-}w+%L>-z0iU(7k0kkw-W;23kWko6J6cM0K_JlxY1|s|8PWFY4 zvW%q?_I(wQlzyz!t0FQ$RnwiG*!Os+m$2_FA#UFn5kvozq}M6W_*q@yLr7(D%Q;oP z;J@FBs5AlM!IdYGA}p=q!LXFjj->>sV<{mFOTj|E!Tlk%hdiN!~>FISv7fJx`BtDFt_LlaT`4$ zgkPx%yoW1ANI}ZdOr;3zDn)?0N)ZC|GY~!TeF7aj7(FQ*f>_`dtO7FO7M$rVAu>Rf z>+d)77eC-2v(|||;(G=!byW7;pRrs@LS$a83M%XOrU z2rp&C&=1}xZokNlT`c@0>Oo$)<4aWPew*C=H+uC20Adv9CNHsU5J6lx=6;h<&;sxT z1>;ZVQ2b$JfA69996I`*_r4n&Z$Zeip@oxoKS4~B7wzJvqAXx@6Dn1~;5j$_7B1%H zrtV{z@QaR2u3!C(Eqh4Iz5>8x(u5{$1d`gY-5lt<|M&V_Sxp)UB#mlPK9IDiNuzUy0djRul(nlu+kDrr(BkW|s6D}kit+oY_GK++7jMLk`VIizP% z6^}a}`$l+r9>N7&vK3_CC`tK1lDSJ@G>~MkoCqYD8-yx>By-=z6(nKgWp1Ofm(F

nN$Tu}UFkuTbn?zy*}am~t>)Mj@aDMRMDeIwJ{ZE-a(cV3{Za^}lpRs9xImBh zbekCLrPE&yZs=qs*h=`#(DhT_wpPv9?o^$znP`$3TFx#)_YSI!?Pe|gnX&!LsZD8; znRYTm%l&|$+3wfbpBa=>?l@-lOEMEQA4=QUZrR(P8QUKnGy5l*p$UmMce)qXwz1un z?ha0w0yftiGi6C;DpHuS-Ix}inVC5t$&A^qP#XrcjqTo*{h5KZw`~qgGD9<0SkP>@ zukX)HQqT@cGSij9j2#ZzGd43u&Da<@GrUP!M?`hoT#dyGwN+x^`4`ib@5HDibJ*VdPdQ3Vo#e2pfK-_y zj$*ebLB~L}Vk*JXh2)EIb5j1rw6$g`en1>R&Qva^Q4wAjpVY6{bU2kLOvh_%`RLth z_K3~#+H*xd%xl_@!!s-f`La9k)FJr0W&;wh{2Ko)r(*^Du`@iP-y-@Y{_;jsx7bdm zZsbKd-9k8}Ft+!4!+*awB!**mT$q#pKqu4|L1O0}pcB7E_ zgYpk>CbnCnpjbC?9~3Q)O)a?HVsuj(T&kG?;!zRHfKpCdOzY)Km!GB1uBzf5PM9^p*a&i!EMPZcy*m(yI0#T`bUMOQj*Vw?goPWO@7 z{#24*_bJL<{Ry)nxR%E2sj(9yBAu@O6f^U+Hw!$oWHjU)pg6sAfa1_|fT%eKpcbTa zfHHFq5Up7kC!L)+D+ZxUIc`$Lb!4R#ywe8?uq<(nUfZaY6L4E|6L9Dm`q5V=;1n_w z@Cxtx=os99*9N6@17557T~J8Zyy(IS{Vw_?{t@kUwkTc=ZMFfb^Xv9O1Lu#3gOS!t zSWGeB(s&oxB8j3%u{MEy5eIMQWF-S}yJD$|HBKTmu_nG9@Bp~nu;lAk>9iYjjz^le zPiCQmbs%4tEbSnRDq@xxm6T7#q@jR%4Kd)i$S^kDUq~xKqpGxn{3uP(zk2pevlIR{ zYq?tQTJB?6%hAk2YrR@lV-#@2cr7=1*3O9?l4C$CN78MB3;&|a>8J~>O=C@JjhiQS z4ANM+A`0=Ut@{%{IG-zO0rv6NE@$U*&?3vivr8P(l&b4`^ zx%L>ZB}cru#yNy!wyD=N^adV4CJ9v(GKB9@_a(v|Z*lxO)p$Z5&B{rpgE-FNGUNL@6ACTKe z?H1p*#g0kpOoroP0QOkvsH4C+)B8?I>S(WsJSs|49eL}h+c`;H1cM^fm1m`Hmn3!e zl&6-}*~MnbFgOtyJMSuV*CciJG{@e~)^AhTPGy^7Op?0cl)7`4*c;h0R9Bp&&K?4- z&L(!tDN>2?E}gv6qAAP2CziE^O-#DGEuD;XmM{~N%$SuD{B{kX=(?Q$Heu<0x0GgV zraESJOEN=)Uf6-4O=P+QE~Ocp!H${Tlg!Y{Ak2(S@Rp3t?2%-qm&`*;^8{2`R z{h3KhfzfJ4W;~sBbg_KaKh4^WrYpNxrspNvp^KJlylh)g*h+t6ZA8C|uEIZ}u+A36 zD`|w}{bw}4Zskz0Orv{Tm|9Wo z&o}F;eiqX$Xuifx%pG9vdq&341k5XGv!B}7eV!*#7$P+rd5sYVgz0BUT_GLG<=0)0et(3!s!qPN;{0I+1;%{$W#FozoEwPKMzIN!)o2%$@ z0M>Ujc{=N@U4sP399AK&hbbI^F+l<}??Aw=AiWlfg9K;>fj|K-OuPbPg9KffxB*vS)tR3WC89QrN@Dalh za|duue`CBWR-WABS-=U`cHj4KJyAe&Vi*2x2o4t&WfCq=&+~_C`|o?W3P)17itukp zxTq+TaCycZf4D|}-@`>GLyeovz`r5kqM}T~LST41-f=qT;<1=7VyEnO_Y`yU)QOnAmb6Z}NP4nVV(UbId+UVDi|N;in7x*?PPDrxOU1TM z^tZQ8#cC;yIuWzilGdr)S}L-2qQAX$DpE@|CL>a|6ES-&ndYm-HFkPz)!EAiJ;p}8 z5Lr5pwg}j*(G92Qg}Qz>;|+BGkT|K7b@oTnYct1!t{>Gh~a#+=2vIDP!Av$%# zYTGLvN>vAKxMD7zO84ftuCN25I1lQ?5B=xV;ePWX>fn77^@GaEjfNW`^^Z`u8qooB zZ!LTlg##R&^9yN2_3G>eg$|m!=x4r>2;1zNtrMxVuNv`fok+Ji9wUHSW1ET74jL~a zqw0)hysn7MC_PHAQMw-#6p-HhI=bQxXXuYSAG7BsA!e~+aGOkGQ%kx$?@%H|YR;#D zT(KUd&>#+DL_gnxUR#S%= z(Ovmdk(5#8-i&I6ePmP{e&|1^_4~`H@~kq7`qMX+QKZ{s6amR7GXqgZ5z)3EHH4v? zhM?U&3Q}d1VfN*NZ9n=!K9F`~6zK}gs2~mAj0)30TxfC13n!fnGv^G5))g{dL$Bl_ zfK+lZnOq?i2G|Tg=!BU+aC9>CXquywVNRJ#WINU96r{nMOJN#pE>U7Qxn!8_A(!To8I~xQ z2q2eSOeTd$g#lEKrl+5fT%w{5vj%hJQb|%S+4VQ)5|)Taq&Ot$zw`&C;;a&hD)UVx z66sDFlu9I#s1(tocA-JZ&`l!QE}CjkGR!FxiL|F0l!7#P6DdrCO(e<+Cy@;EH#{gI zBgxF%9&7~pCFTut=*bY!DP$h{6QI+1Y&Qz9l*>hGVJX)JYN@%9=v~eAfQxR=slzY7 z@2!9Yu%Q}meisxD!*3#*yq+U^46WD=ZHpIc5D(R`#w6>!cFAi|j^1J%HYI~uI0!bnWE=i<37AJ<_WAQ#HGD%MW zTxbTK9VG9Gj)<8iaTP^r4E==5NPF0eGAXE}%9s5l0j?1GOt zbiv1|g>loDY_#O0MIko>D%JyxOf!v5FwIPZo~+Vr8Ekx0X-2wDnh~Hn(imDRIYva= zkV-dg@g^5gNOcQAf1o|MS*;RZV%R36A0!58M|P2}fb0s^U=xIXQfM&6oElD28FQRA zAX-<*xLNQKfcGvYlPi>o2G|E}|) z?I;CcO@=HwyiUIMY__9R_2BI&#mYBixwfNJ>dK`m%CTtDU}d+XWW<$AhB;*}k@oPB z%DUmx;LW8l4K|l3F`Qg7%ylMpom?^_6D{~$BNP6jyf7(5Dhx2=60?Qmk{Op|!6%vH zY($0P+lY3+0CK4lKlESvxs-Av8k$Q~pESm$ta6ETCyh%LA>)#vn_RNJl+q_`Tr$kQ zOdx*bCjF!sRj63^y*>@zTq5s-MmC#Els!%^8Rq}=xa4d^C6{~~(Kh&qTx!P;{g-|& zrQC>y<`RV@ja;IW(~vt1sCCj_N||R%cq2-dUE`A38Jb+Oy_EEZC?kbG0QM7op4 zrIL_w$Yc#$FY50zhum3Ik z(SP5%&uk^>VipZ(u63WGn_Nm`-Dj9n=8{>6g`S+P-H%eg@vhOF6ItAK|NS^8s|XpF z3_aa($uOtPrLa{SP1bAoqp45#aR*vfxkUZfo1eX){CnoOfTh&h{pi2(oa}qLAN}{O zmr6p$B|}elTr$jSG%f{c_>Qlag7%{Ycx!3UezXwu#-4z2D7TvZ89OCq!Gfm!a?i}_GZSE75l)K=1sG_??=F_jEGvuWZeC&@2jMzh; zTqr_UAco+Nq*j|(@LX%fQz~e`Drm?cH&R|@C2s9gENkkIRB*C_ zzG_v2KW#cpt(gFVd`ipxAl_6A2vcqB%*|z_%REiMpVz9eLlw1r!<;z2)j^6p#5xdZ zPim4wUo2S#YS8_U$+w!8oxTs?*cQ?rrsJ1ToST${;=4(S zhQ^Sa0oqU$K7^$_6edwh(_hoCGlZpjbp?qa+9Z+k9$jJxzOjU&Y$j>~GL}`yL`_6w z3YnplHFTsbzn%yMq*r$j^hchL**7W?ixq?0q#X^t06(7GB`!7R(?G6Rk5Xt5hbv`t zvtneCG#k39i1mnkFwb8RKqWkkI?~NIz9P4X3j+lSoAHj++uL!eNQb<3{6TZ1Gb0Mc zGb8eBh>WTSKmF&l{{1HS;Te^3QY5zmGAj68PN)y@YdVG8^G1^*)jN1nbbUFT;;fTm zt!*L_`i*#83aj_9Rz15<%TmIN^bb3!zyG$H8f<9 z6)C4f@+KfFzJ+ndOipwmlS1dp5JN|Xq&3bM<`hZN|2Pw*!8E@12=Xszq#`b)Lak&A z*MyQovW2TqvwtH4B)8E84wEXiCUYpgP<=D1@M!K$8y#>+)=rk~Br~UY2pnKZ4}AjY zG>H-yHUZuAGiS+gP=jtX>O?V3q7k~ki2g{LKM(vLm_Ji&bgAP9pO{dKterni>aLwX z&2Z4qa?F`OgWkTscK-bDpFjV7V&sO}A zbbfYF^886N$A8KBS;%!O=lslE3H5y#eeL-f7J0e&|9|)SS;%PT@{2s-wcoqv^I&*yF_wn1eY8LYghC9H7 zEqM6%C{R&nLcq5{{Oaf=1-q|`G}Teybbi4%m;CCwSY4deW%$L1q9k?pHGJ)9dguM( z!wyO6>}&a#rK!#vyB(9%+1Kw!kYwq6%fsv4PD$!2ICfcm%VYWT5XP69o%Y}lNYH6; z*!%WvCeg<}(n%rbBL!oV%+zAtu?TvkGt#l5?*kI_5s;K-Y-%}X#wD3)Co|DtGdA<+ z4bfy~7`Re>WW+HuKFLfknJEZ1V>6OI8j`X$N#8=4kYtAL)eCDIo2m3Mk(6fOB=tw9 zHoGO6iKeKH&ES5&A(B*^-IL7FF-}-*Y-acS4UweUY^!Emqx{l1joEdxngrV=0zc% zW1ZVM5GhSB(40U%(hC_&@RGhBCmH4bfAk|*3pHKs}JTa{G^4xtW^@=15}q$kPtn3!z24r zVtm;GWw63!=9{SW9@B|N8>lgaHHx~s!&(v;R{FAA4>ch^e*}S`u+j(Gocjmp`)o8G z(guh(IQ%&WT!7P1(>zEoH>9PFxj4daCZXn{KLd`tYYJ<<0dU_I5VJSOZvlDlTUX=L z3eOvWe=Z#0)O2?8mk<7#+@$7eSa>`jPr3eV!q_s&cUh0i=tJiLLO9P;ipt^|H0}eTLcjv4+|7 z1-Tmjf*i#K^*8IW7``AE$KPh;E$!F_(Dwy7dY_VMm^q94Tq)wt4(AoM+_uP(HqMb{ zfjKhg3Cak3^vv`>_jqxrCIxi~8ZXSnSvy`3## zk`waW#hz;cD1_{U#-XHT)$@&;kRW}MgFelHhMS-RkWZ|bOoob~Q}ggtexSD>g%3}r zTg+Kf0)e1@6r=O^(ql@GE}e4pF-M^rtwzwO8}*sr1?E*fiNjqHn{PgwyO{b5GY($uDV{jEqrmO4)^SSB}ahks4t4W~aF zR+fJKQvL({_%kkFkQ(~)P5k0d`k`zhMb=54@Xa?6t78oho2wJ>sRJDJpY+nnO%E4# z;%~oC+)>G=YVnDB0WCu&H~iB&LxQkQKjh34{3rc{J5xo@w15T9wBm>U6K7&g*9BgJ zeN6*I1C|L8IYWVpHN0k>X~SP|hJMHyx{QE7=_lNoN^&L+7C2LnANtR6hQ9#mjf{+j z6q!oL8LU}QVm+b37^dlmJaJudnv8*>d@_cNXsltXGj7mB>0~!%f6ev>e;3i;G>-J( z*N!6vfTf9n_*X|GSN$RfITZ*SM|w%NX?3oYSPiaYPdL7GYU%N%C-C(u z@B6)ftlgu7XBoPe$&4N5yvXX-~iDne6 z8C%}3xh5`k>e;*ze8Fmgx+gVdh0_=KCFkD0K#7FTW$#s?F@5|{dh)ic(R{h3VM*E8 zC3#Pm<#pn-O5L-@DJRV-L7(r6L!G(4M}Wpt$9(8*6m?)T*L6t--|kuuGPHY(tk@hM z?xNC2O}_heY$&FIb_muG{I0u>5(=HG*5w^tzBtetx`0&0us#g>@)cE$Zq%c!#6~f% zaTo<-!?H$vfPHb<*u{Cza}>H}{Zv@NAl$T~+~4bC^@_dRHY;OTje9;aYhsU{P)XnE znwGQtC3pu3bBm-~vMQj-;Hl}vkPmIqE$uF!iuX3`A`Wwdk9|A4m_=9 z8vZh0l_w5V99P-t#tU=GIt}XzDzC!o4X<=}eVdD@e(p+elkQ}0GsJS_d94&&ooVI* zf2IH1ZJVP;)6)2GTg^rt)j#kiK@?Z|>tXofPSkZ`{({c@2MCqmUW54{Lt^+~uS7BO zjfx^QxKzZ?ku z>b2v?+VLav@uU1ZI(~F!IDT~S_|XQjEH?%7r<_+N*~GgE+W0>Gjl({w{U2kip_54lAn6D{}dL7|CB6%K#=TQP>0A-HI>_X4EQmo;h;yy8#fVqUg zM1YCB@|d%@0H*??!E5hxJFUQRI+wy7;iz~n*pu6M?h_R_aA>Cc+2RNYRS4g_!6!b* zO7)_vHAqx#5aY|D;Nojq<@t4O7(8fx!SyUGp}?^;UR(;91ols^6V7VH%j5~vV~N#l zFqUIgr5#Q)Q1eEmLbO<`3|KFak!b15)%_rYj zd@$;LlksUjEWP-&aC~aPo}4ww@$sC;#hNZM8xfiTrCl56F$td%3vJ$5>gJ_0wkS@* z`)l5EG;bxlUj+7K_vb!=Gq&mO^$|K)H8n0ygmF=a@`5HGS}KV#O22aNh%H$@chby} zXf(bOS8lGv?LT+YX`tovC8TncMpl>)#$#TIAujzq{qClk`=HCPsA7~xB9F^p5w-qTsq1kjD++bIX zw9=upUPk9@IDZFYP@Iw0KK~Pc@+?Tm{Wj8p#GoZjCH2Y1IFsCqX>uV$?<?i5jFNO}(zZmQpxBs#f_E)EAzm@Ix zWMeu^z8Ss{XVhpq_e%*FU9=RLiU{w>#^UFru|CjK>S<5j{57-hZ?U#dw?Tw{>$Au zy#7_kr&s@OwqFi*6aP%=UrY8w>OcI1^z28W1ND!9J>&ZKrm)|Yru}xd-=2;A3f%V= zR{z?{^y* jJdL*fXwwR|@+@C#Gk=ne7h)d&c%lMug|zvNY|}ePyVBC)?+nf6^U) z+EUoB#~M0#U*}zaRGWczWjXB_{kk>T}^ot&QiV(38qi@~0;{bghy{s+y!tJAdK%JzH2 zKGGrW`8T$0xc#>2>D7N2bfEstU^n&8#C}H#`xU38XFtyNqhQb2e$lA#`sY@qXTOi_ z_hnKUXN957w%8(Y3aqI06GwlO0WmJkzzgC zmBN0}jP&d`v;ARU&)9y+_Tl-sEKU0n=s^8D*?wmIp^fZ^D9lQ?N@_62!K&cBWn z_AAax&wiZkN5P)4{h}Si>!17c^z8Ss{l0AMw~+mi{@0PF{YvOS{9D1k#`96`PVi@7 zL>82u^+{DtdhzIHf6Bpb`ojQKr$-cJa5eCJqL%E3tdEAzO3!{2IuMTt*fY+D-W2w` z(zM^s_S>_uU$Halzm5jX6aU(?)2n|0bfEr~U^nr1!r6cPyU2cSu>GQQ(zD;p_J@Jp z*k4!G^>4pq7uX-90-pLWOVfS?I#B;kvG1gF|MuI+enGJP`r7pBU(NQb!EWlG$$U6` z*KqqK=cZ@BhwT@DJ!AXzWZy{`PyBn+v|kP#h<`WR&ul%iIz|0kevw}NJK265>>1a; zY7FWhlK-*u(z9O-9jJdX*fY-mWn@1j|5m4Izm@Ixi2Y3RFIF6GzioDU^&bWusDCrq zGmd`;*$>ITiu2R6A7}efu)}_4`ByYHy#Bctq-Vd6?f0?$%+|v#DeQNoX}=OW5dT)N zXB_|BapCc=x-h-^ceDL+uxA|q+7$MO$J4VPg$~p|0``pU_mcf+Byj!HW$hQB*xuFA zX)mMUt`530p`9(agE2VruKT@$*FP2G!|m73;rfT$FMu>^UJ1r5?RSy=kp5S6QF`%j zW-o?;J>&S7ObEBXEKU0n=s*-Yv$0=I_9?&t*GKE;rdR(qwqFhQHC~So-!0sqlDhQ# z>0y5gz#g0xDb9!LQ`qlK(|$R0pgp>?vA>$^hvZ|+#p%_*lkLaBo^d`_?H(Tg*d^)N zFNO}(zZmQp$A4K0`>WHm-^%uTvauiABfS1?m!?<$VbFp4H-kOn`gf51b%Oh2MSXhq z<7__)c4Oa3r~dZ?MJ2F5FxY->Lwff6*nXeb&tyN`LiR)ULmg?_uY?Z7zZLAJ{!VrJ zuYc~Iun zko5ptZfDD-iG5X(jeP&>fxTeAK*c=qkIzr9{sqv1`d5KH&QfpBP^M=;i6z z?_v8zU^n(Nsee7$52=4&n)b_~1My!b_A}X!t|t2-`PbTtKDHkLd&c!| zA^Rcq?@H5tC3GPE?QB1@^N8GNxc%Cz(yM7FU)ZBLjU(K(A)RI z{Hel3la4?3Esy13Ut@oUV{i>?ui;onq~lLHbRa$vu)F;U=s5kyhu$g{wtwhK)1OZE zr#;D^q>V)X{;W7_d)OOZLuYfCmg9n4$2off79bp;v37XcpAZX$Df`@E9b|}@O&a3nZt8) zi>`$lJ2RsJ={dS88eI5g7RC8>*mB^71#$dK@3SaQZ0+)!_~&+-Cvl6UThjA&z8B8Q z6Rz~o9x{a9HPEnl?%O$d@Xfrp1GK!xyj9QVSt4GFK<;s7biy07oIZZ%aeyBD!_&NA zq2{RN!2KA{&Uyn^PLG&I&GV&6_5T%BY!j*;xkvTSrOp~!|80`$kEeZ6uWzFMlCF_d-;s^|UdV>cfAl7?bn0*4zEB1B)b)3bpN)fPK)`p`8ZH_(1n;6SFAy}J zVM9l5n6oix)DO(N7r1(>*9%aO7cT@q&zqPKDVCTbv+4a8WY9brn=tSF89)zhHZ~JA zsFJ^dGf20=rGV;%+21Y6ez)4MULT%V`$X;6K6Ql(2xVY@_ayuBe&FIn!BEFO zQTwwm-@wa-T(ApeQ0RTXhM6C)a}t|Jzj!4rl6ZItnjiOcdCjd)vk_{)8giJ)sI{D# zY_BBl2x{+bP4`nmrOqj7-VBarBJAV-Ei8yqWu7Ozh&}7)DzkG@@I_7!L=1%K#j!*(+LLs5X zdJ_=KKB=WEwe&5%=h$8D1ez^2EKrA~F1TH-Qh)d7J50}2JrGsFUOUD9PyFe`a zq?WGK(i6eNr56LS>~DZrb|i*RYZr)1&jjMqx3zQ-<}qs*h)Zt*vUas}rIwzEZforV zS-U{iE(RxS7s%QLvUas}P`;Fo1+sR5tX(br2&llc<%Vcx=Boi>zJ);610aM3dGMz4 zO+(W&-z7lIcM}lvJqyJ8rfej9X9F?c6+q1QFc9;V{XqDp12NwmAm;lu5c7Qjw7v0V zn~ljM`hN^)v_U@sDl%vx5c7Qjw4Ta(Y-)y<6|JU@e~GoMnBv}&~ZSlrCL#|mi`ThwbYCdiA#Z4 z;xa||D*6bBCB_zr#Nd&F4&PSLNkFXSXNoRU^p^5{sIh7??uH-sc^{xLrnDT0GxhmY5D?H3M1A z2$aOFOZOiqvOfl5 z*$aSJ_F*8lcH4NYYmB~kfY{m>KrB%(K_qqoVr!EWouZ`=0I}?IKrH)-mX6y^tc}=R ztQ`r&5~l&NL^BZkcRdhWyIaw#TDm>%$Y9xhfmn7L5X;^S#C5y8M64|VVu?3^Sb`dt zC58gAwXGE$q@@diSoU5ZmVHS}H^S9%w)Qm;M{?ZWB5^1XOVCwhwst-cTWeDEpq36s z)3db^KrCAZ#IhFwv9+04jI*_yfmq@pAeQI>Vu=rd*xJ7ojowE}&jw=I%Yj(-el7hN zh^_s3U$M4PR3vr+Vu^Afwsss4TRUCR)mr*K5L^2ih-C{)MfM~hws!b_Vr@1MOWXv+ zHtqpp8;>gL)zUq{&Jt7c!xGm5vF6iYl+ipOj^D#TEb%rFOMC=0Fhc+TN>LHmx%3<$ zmbgx7uLH5>yABleG!V$pvd%#wF&qdgL7N1``VIkNYd=v`r=>3fv9;wuEW1HWWG4f$ z-Q5osYi9wmL?aMOv;(oVM}XMc9~7<9(gP0>*%N?RwhoA8mjJP~dk+suPDLO?<9{^(6=YUxD6D=M0L$NmEFtK(d5KEi}#1iyEO7`!1Ahve5qF1$a z`@=P$w3MC=#IlzIvF!a?`Y{k&`|~kkZKDd2*a?Uw%7NJ0aX@VCbVXNd z>H9!z?Q0;GE&Q>_o&?0!4*!W*y9kISeg(u5oj`2u4?t|~Ek)}dE2T#RvFuDBmR+Ew zF95N%$EJ$4kAYZX$Z;Z348+zZ0kO3oDmq6?mjbcupMlugz~e=BKOnX?<^-{J5)ezA z2gDMsKy2-9Ah!01qQ7eC?v)~YI1tO$0I}?SKy2;C6UEvKKx}OV5K9a@NhG!gVr#o7 zIz~%x17g|7fLQiDE#3B~Vl97~SlbVXC5{DR33^co`_}|CFhc*oUeQxpy6MRxI|iuC z@J#_?*(-s#ZWm4$Yxe=MwP%1>q7R5A{slD9Xc>Bnpgn-N^b#PJy%C6IpU~2Es>Isg zfetWQw*8q%>cl|ZcTbRf2NzM|W;^fMr~mVc_qP5@%rvw*m6C!8kMt^{I#^J@g%1;lpW1Y)~6vqWM8AlA2y zqL`Lm4a5?+YUu-t-c!C2XN$ED6b(5?>`nw?Ehhrmm;%|DDr(cxRX{AURjo+;1jxqp zTtSBb*_Z;c#7#gpra(5Pis<=7F5Tl7A~6++Y1aa=jnmE(G!KYvJPgD(-UecckAT?5 zSBi>eOX)d4EODLEUI$_ucbzZjX&|=oB@j!Dyg;<<3dA<{QBCf{q2^ z`pySpEx!R`iARCh?jIGc*3yIKio_Hk=9{i)0T63>4v6huS10HpAhx>#X!{7s7hWt{ zCIDdw1g#2)wcG$S#?ab=gMPkqSA~6kUM^mE#O_2zOcSuzNVNeG;ABeSF0>n|f1BfN=)zaT7 zdPva{MavZZNzt~KiI(|_UIF5IOubx6Zv$f5%x0k-cSR(Ei36TJ1a!JV%YfL^w}IHx zfme#edO%z{Owp!_wpKJ=(VmLV2jcpErYQPL@oFg$mkwJXwAX-`_S998$V}tkb_>PR z{eak)LxI@SGk{p4MoWL8D6Z&IMb|63MbTe@Sj#R~i;anj{sP3Mo3u#jQXr;ne~oxQ zP0{5*T)OMEkq9PK_}vJ^5pM(Hdi(~6>+up0OT4b7J&N8}^r4~wzY;BZihc;hS{_uC zbDj8iDG--Fue58g7h3%dk;s|G)Axbc(*ZY%jrD=p(=kA-Wj8I|TT!W^n4;qqO;gkc z#9B6L6*LuyGxi;&?R=BaJ_TZlcW;hF&N7~s-XfkJ55zG&1&BSJ2gDN1TDnltb&76L zlu-1Tq5-#xmeUnI2*jRF`adbX6o_f%w+U^Z+anPyVc^+yKpfKq5PP}=h&}xa5PP~p zOIIpdrRXa~8@Gv;EfgIO#9F!(ZFGlt)dIw&?}eE;wH&CWlNBAQ=*Nm?Dw?Gz0mNEH-7V;JAdcTZls4%ep$)!Q_&x!`LJcuIvRyo# z3B)n21!7Ns1;jRP($d=%-L2?;MNcd0RHa|M%VZ$-bS4l>%+k{H6wOgoujmFvw<_udVl5{=CFm|7j_KGg zp`8cBw6fm|ZQRq5$a%)oW+0B~-9YT=13>KQYd||1zCUZ}UlgrS^s%DcXGF^eijD-@ z(P(K=+U<(UpOw-$5PLB84??>FXeT54oTAUQ^qN0LBC}2DXF#VL^n>R_%T_>Kk9~pI zg9EhmP(?>5s!()>q8ddVK&)lcZb4fCvCmV1*wb^A)~0-epBIVsfow(qvBW7#`<3#= zUJ!}D0-bN_R`#OQ@xv3r6R++B;?hr)_Q>l(yX%ce$ z2M|j6^&4|o1(oHT?oXnTCQmP z`{HQ=h)W0jRcOxwF>O(AByy4Qbog@dbT=UOWgj5+^h6+*I7LfOSM+m5zfjbyXrZFl zfmq8?e-m^)5XW@X3Zb12#I)T%5L)4fxVzkVdJz!E^hO}|v<-+oeFlhaJg=p%Dtb%N zdx}0+6!}Q>l>xDqc}lxR(SCoI(zAfrgHe4#n+wF=FIMz{mNu@8MCwdEdVx+iXwb)^ zWdk6t$5 zi1n=my4ZMs*k@9Y(|}lC4G`DkY9N-lUQ2ILbcdpLMN1Vut7zCN(Q<~O8X&fIKM;HR zoYEqzMdElx(|}mwRv?ymOlkj6zSe(=?7p8zBA1w2UI)ajl>lNbOMtkRe*xlJuF%qz zidHH5O3}t&h?XrB9S_7>x)g2nrFhx`#HH^j?XG_b?V7J5kxPxItANp-l#2+9vA=ZNPw>NWJm&L?HI` z0w9j*r9kZIoj`1(T}vNO^su7G6}_hD&x%G36fHjkVjH!JMh=qFBY?Pcoxwso35dOK zRrHLOp1N*Mq`}nVDIl)LdqAw^Lm;lluw2o%DG--#rD$74J1E*$(E*AYfH)d|RrH~j z?vf{-#(>zyxj-!Os-m~FbnEp*q6CN~W&p9o=0gN^0L?SC++=;J}g~Z@pKdrd%6P8SVs0@hpy$jT2>Ji;s>Tw(pYncwj5pMuuiOaS0Dn-9ibhDxkMUN_4XA99%sc1S7 zTe}U2JzcD{kCm@{OOZVqh$R*RvBYnb_7~;5ax0M-yLC?FGE>XTfVj2p0%9%q192^1 z1>#!1rKRsGTCS*1(O`T&o3*U3s2qs3EK)RZgm^j+h)Z8m+VurOYaE#qx!icV9Ed#~ zysg;C2Vzgh0kM`6E!{^^nW94#ov7#(MRx(Qmcmhj&H&<=exkH}3Wc`rcEa~5P_yy$ zsO`nmY9Nlrxj^jcbwF(6W-V<~bdRFnDSAfH^NKbZEn1ERVjHI_`azMD?hnMJpD1n0 z4&wcliXH)Cucq#pW1e&wh=+)z9HPwCkQydKnN~ODL^kuN-=d3#5Jr#Ibq-h_$={#IgDch;0nqTT0hc zG)&QEigr;nUeP%~tmS1zZ)oY36UD#Xfo#-(SmH@V&uHn8Ng}a55K9~bWIf$S&@Dh$ znOa8nm0E5M#1h*BaV-x9V%fvB^hb)0RdkY~T16KqS^~scc8&@<8;E27rP2;866TJH#vJr#&$ zuTt8RKy2+LMfV;l68T4o#9lz`^J_rYm{{#TMPhXn5NnwV#Id>%h$Sx8(ndvBC~8r3 zkD~h(tp;K(NB>CBR3Nr?9T3;(L8bjo`C>ydYyXxRve>#-XUd$6~bmMS_((P4_FDLPfrJwU8w z`0;{H0%HGql{W4Kp?v|w63Z)dBG(yD4?0miod(49JspTWZ3beAg<5)@qMH@nq3AJ1 zPb$hgNwoZ2(IY_Y>Ha^J(k39L9X(BGWhduEt~Z|E48$>g42V7L0%A`i(?w!aATHfn zQK_OvMZW_2nbEuyi0ys^bc3ON0Z0>pOT1mYUy%o5ET0I}w66vedk0Yyu-^fg5T&K52E0I>(_ zog?}t0PSpiISh#H{v3#F9#_6*MT@kws8;w&fY`r770uGpb|ALfcCPTf0K|5e0qtTu z*y$J2L#F|;zFI|dfZ7b-{XlmZv>b@z_a)GshPLZ@BD)U|j|@ivadb}xDl&X`0c~&4 zY9O|jJ6k0717e>~2I6Qu1N3Vn@g@*UYFfi0I|diKrHbt5KC-%fk+$% z#I)Z4-D9-$C~fkEIgxt}?I|Fx<>x>waa=qn(r!xU12Jti&~FUw=W|5j6(IKSpFsDS z(jzYt+AWF(&lTE4pj!>!8A_{H+I>JgQa`J-uas|ioyhJ7#C%hgc8StjmG&GEM=kGS z@n94Xd++Ivd-N@>LlMD`FMmYuD%g-YvE+B-_y_$raz6^Lakl{O2A>vkp3K+`L3(bAIRXW1jO;1sk8>AJ*2dkmA3AUBD)n3XV{)VT;D^q^mHJO#ylX- zuxGS%t5(r+x}sZv*q4`;_IIUiev`D(cp&CG8Hi(Wq0;V9zQ>jJq0-j9S!8zy;2^?jtYf?Gv)FCebb&w$vgTeP$T zh~xYQ5XUs~e-iNxf!KpxmA0?a>J_bBZxAa2Xeel1$Y0QmyEBirxd_4BM_<_>KkQ7&IyEcBQ?hw0|gVyWfcHzCbK{ zrqUXf_K4D6Ra)MCB0CC*Wq+i!Q-QeVmjZEpTeS2cAdbc|AkMJOe=GV`@?Y%Vk=+&I2N`6%c!H zpwcRpb|nzY{#t2&Qrc>zZTq0;n+U}E&H!TBdZj%G#9pn`(!m`P=N*7JriTFyG=2I6 zAl5QlX-!IdLD6Oj;hU(tT>A5lI?*3~SNSyMeeTcLQ-uKLr|SGHmb?(J~5%X%m!o zuA)a34R~B6#sP7L{ak5x0I@G`DD6|FjebI8OM#g0=Spi*+G9$4O=&}(6xr>7SoRpD zovE~2l-2>nHGdh1>-N5u<}HA)97VjCd#Af~jFl(qnfW$#g1kJ3I@ zTH#BgZyzAmcRCPza61rdS*)dfTDs%QQo1h?$NnTBj`O8J15Nx|l<#*+TdK4nuZWg% zMOP_$0*JG2(5u3?H<0yIX_qQ3p|ls3HfWj1jsRlWBb0WU(ymw9gGyVjv;nV)>~26D zmqUQK=BEI0eQUM!0Yxur>3d3Bp|sBx-Tu0?$2~x-?-50(z9FS&0&!_v(JpUF=>#Ay z-Ct3kmabCT;J1YDw?LeUiO3w#k&GQxQ`DZDO0&(f#ioVp+2|aS2{hFff z-6y<*?Idl*Z>pKjHWlsTm z(Da^WrCp=wJ}rGiX&(S_PUd|iS~gL%D-i2DMrl<*EOD{Yu26I*5KFwMv^N!fs-@ff zU961(Vttd9R-x!jAl7%S(r#1qn3nb`?VmubZ__@pv8|%Lfmq)(rOg6ji7S*)tEEpX?OmmPrnLNz#oD$&Y;A9)O;g$| zAX_7)-Js|}Ag;FSEZw0 zTLIblDJ`b76O~q@v?irJptL25-q6yIl{Vy4v9>Lcji1tvRN84uyGUujQrdk=dq!#R zDD4ZSZSyDDO#zexvRz6NFcUWqO>EFHce^gE3H{+cPQ-zrM(Npxfc1S*jQiDc0kse z(vDEtFO+tPq8qjJ0i`{sw0D&Dh0-?oTCv^RjbMyr)J z@C&iAH4xhi%OH5W;g`zWoSfWm8*DGzQ(q08(EuScD&{sm61jMsn z84&m672ONO63djf z9Ec?bN7k`EZ=`4^AeNY-w3C5Y;v%J8rs#GcmgrX6+dwSwmD1MB5o@D>SYoo$jss$e zUnuPoMK=PmM3>TD17eBKl(x<~Vq;4nmMBx&kAPU>ETvtb=xQLA=v3PCKrHc*(mq%8 zg8?Ej5r|v=hd?ZGs?yF=T8q+dRrClD+kIbYtAJR`#sfw3c0f$qPicoKIt7TeG%M{U zAeMMkY0oL`1EsB2wBaDpvKJ87=ujZmQl+$Wm9|i6H!FGwh<$laX`ceImW>9B=21XQ ziz;ohqLYDG%VkQt5r`!oR@xtw_BW+{rYL`1(NY4$H98oGwM)g^o2+axPT`zXcsvB_y6?pst@kg{G7 zCr?+lT-gC-r=>>_&m5sFZamAj!bS*~(TaJEH8o^bF!|Kb0j(U|BARyZI?=rmUZ`smfL>JD}{kvNy`2CA2+K zL)@dVvKq?TDI2P6p0ZG7Vao0)i&PdTk?oNM;`5eL)=*hjW#g1BQMOCjd1cR({Zy7D zvF(uya_>)BGiCjhO;xs9*#TwOmAz3GEs5=s8glPXSq)|FlnqrjPuV(UN0nVu_EuTS zq_#&+i0`nf%9<$auWW*{5M{fRT~YQ#nMX3)BLT!c@7Or5O=E#akq{TcUz%#yCLp-Kzbk* zNNd%K5Kq+x;;A-4hn)M1md>h`rGe7l5YHC@@l{BY-p-c;;`wSoJYOe>ry2}#-$fAj z{jIEQ2CMdxwnNc1Z`=YE^CaZRqHbUI(ImF#! zWVYQ>L)@(l#N7fR?$#CJZc`!d_Mfu+S*+Sd3W2!WLx{V1_}XqsAnsNa;%*Hf?$!?C zZsQ>C_EK4ntX6F%Erqz-Er`2)gS?&B+$WpumJi}?H6iZS0^)ANA@25AS*Gk(Z79u$ zxLY{H-9AFxEk+L8Ejz^BDnr~Y0OD>7rCq9?RQ5z!gtA;YZMO;#=T((DOAoZ}tumin zQ9KSiw^0sqpI6!jao%Z&pOlZPrpX<}<+|#N|v>#t?MdnlRir&i`lwm(!UV@31x!fQQUv3RT1L8&6UkiwqDs?Ws%CV zmayLPP>Azh>!WO%vct-5D~nyydecMvCt?*LKIKeRuS+RQSw~ALM2b<`s-+>GrH8Uf z%JwU}t}I3w>&*bMx4yD&%9bnpTiI)6(aKtHQHXb11LCuFg7}&bR`m+R_s|>2+qu6M zIr#yx@r9>s0(g5O=lgfhptojaO zZ>q}H+Xv$5r$OwkU&XQs5T7?hs#Ddb41;)r>ky~Bhd8BGHOqcTld4?z;kF zZ{4Prje~fC1ya61o6;QOlwA;~T!J{Id^5}LN}ZZp?|g{8?;!TZY+=0@A!bop+6mH0 z=OEs}SBO*U1X)LCh$pzBtUxQ9vKC_RHHf{rT3c^rh`pPY`Lwa#F%WyVLhQY$YJ#>_ zEd#OI3*!BqSM{5!x!PHE0>sHXA@=T9^_X-<>fPQtmP70~0abFohUM>IPt*|N6Rm`J zmTl5r>9EwXqfMR%aq>QhlV^6a$vYuV_Uvqv(@SR{KGA20Q+}!H)x|nuOAR1)jDpxP z0m|(>(H)5YMxRet>rDpnr^x)uN+@ffthurQ%0?<%q->S4gUU`S`$ySJWl_7?S>ixE zi?6c0%Bm@=r>v{8VGy5pfwC1^w@=w|W%rdmSLV^(&JqjaSu!chrL3~D+R8d9>#c06 zvZWBuw@2AIWzUp-QAy=^yN zhsH~UP zO;I*i*=A*Xl!Yt1qbx$%FJ;O4+gY+f+_!=ycMkHDyhe^;I@b z*>YuDm7P^~S6QU8=!0zE^bq&WugqUrGiCjiO;8rBY`e1a%I+)sqAccM+czV`eG4k9 zsjP*vfyyQ+Tcs=%;%jtL*(GH!m3>qeXNc{a1Y&PqWyO@$Qx>SKpR(b~7Agx?wqMx^ zWe=3SQ06(*&f*R6ESZ(%R#rt>9c7)B^-(rW*?eVNmHn;ksp;ovpI|E@vH;ZfY*%a{m-IX@ZQfO0r`3GqGj zpOj#PWi=qK+X#g?9ha5)jI_yXq%g?OSr>hj^`?NhM|!EeG*s)pL;MYrgrlva1a#V& zS6$gqi0ckR-0c;_pY?K#vEG6ZZ@h}K&Qc#$Cn#G0@&0xwJD_zJA>OIaSlcZS; zcvriW9gzN!GLN%$;~@48R+Ll>NmYpTYXX&p7Ckq~>sls$&n`$^TDv#hrb z#HZ{Jv3II;K-Cnpt(pbH}o3flpKFqb+WV+Vs(e~MAhz#Y)XHK>-It1 z&1qbGFoGHoIoBSb8Ijt> zWv8;Q5ci$E(R#xnW~oB$IpS@yEF;8yi%A_-4O4az;;a8nS@O-++YI6jjaD{KS}Waz zc$ObqOpCUfHbFegap{w)rMKB0`yfua0I}nVlxVwEn?pQRza5qx*crv+vUB%MciAi0 z3*wYr5GS8j_CWI4ZPhLiJNiPrm*vV1Nm2IL39>=#C@IyHhC$pnc(1A4-=_Kydpk*g zsrm%sQwHs`jy@1OCP{y*8g;*Qq&i?7tq(@=!2jmv|6PadUXnqak`?0j?y3;qja8bR)}Npn!4lZHD;Y z$lD3=PBVttsrE`yPTIUn5TB^kDbqTLy9J!KEL=)>#;RMTD-cih9pb4voV8PhNEacV z?;6DOr95XH6CmE&3F#fgjtGbymCswpPKc*J3$Zs`ij<07uxfeeobz7m18s6NQ`HL) z=RHyOO7gjAQ|duncSqSzsoW*Ip-vD_HA0#zoq#yy^<`TZ0r7mHSL}Q*AWn&O)l^vW zmx82z(q)KyJXiKsN^s5QHH5hCffS+Y4=LSs>u3S-T@nnj_w)^`hC_Ugm^Y)i|4w02 zh|l2*-Er=IG{oM8sxFs~OEGTQx|z}%i1Ri{;ZpqDcE0)$_vnAeIz~V|-)@Ni&f^JH zuR(X6^Ch@zy;&jdmPe{5&DXkg_pGBZ#Ew!@khC1){XK?wzE}^eBO%1|RfBlG0989c z_nh-RhPpZWqiW2D_9rSIh@Y7*5I>i3|FPZjNJFJ1&;w^)7{t%VIfzrTKC&tEAf9<6 z#HZXQU6ryvwrX7{movG$vW3caDf4jA0 zx&7A56o^lG9^!Af#CTy-vO(U?nM*_b?VXko|Gc_D>o!5WgB{X!DfPcr%>waNEd=3z zqlK=?P&Y@RT6Y8D6UBdNXUPC@kE+sEi0gb_nJPoPgKZEy_CehD4D{I9t?X;tcL2nW zX%IW+NV}xWZ){x&i0jHpt))?K?Q8bm5GO}K?Dz%oEOFjN@xcEC40&sacknO7j>7Nl zl}_-V^_GPAynP_9o22RtX`2-LgZ)-SV~DSGD8$}l5KnbldL>o*XuY!__CABy`vYRH z=O+J{e%x56>K7`o&Op5Whm86gErKOj!2>Sa@A zLp;kRh*O?HobpP^hb~?60JiT`etLB7QZ3nSB z77B4Xb}PHA>^sDL6UVf5l_B;9DVqW1bf#QW7Cn}&OAm36>JaC(RW=7=?*)jHU#ObE z+d6VWoYGKP4`rd!1Bg?;D$5w#rj&v>r8~rR6P4|Oc$S~a^2D)qRU!8FhWH#)mF-q` zS=k?m^NPo{DfJ;v83u960%b>)-Bp&{$2zJ*Jab!R(;-e-r|gc_MJUS@&(6{j;t3Wg z3x_!QwW>+t+vL0uJDMo#qin167~+(l%6t>plyZ=Je-PJARkk1EU3nz5b$KCXogj9M zQFRlk_B5-ZBv9HC8qOV((Filkch; zEtPeogLvj@%GxMfAzgtu<&CnWsclL=h*MfaTsKtNMu=zmtt?*}TUQ-oZ(oSdFC+jGrb`%=j-!8X^|8=y{$_P zaa}cOtExwpMM~*1M0J09Ed{Z+y|OXN4oX=vT1Q!kPuUsbNryq4GDcb{eUwsXvMCv% z+|IL2fcWRZNQm<$Wj4)#IJtZlyYWR3vu)5JXG#RbpU1QMTD1(s>R5=?J*r+*HE~v} zR)ILV1;pMERd1^LPSrx$thX-2-XReGrf8wEW6B;XidE8{)cw(jw`B)=e*B>()!>q-Rp} zqP8xBR8blM@rKqZi-35R*Hq~ z8>E8}dyh+xr3{s=w=Kl;6|Z6%Q`IyJ;*@`seOC5U%2>_T)sePC{QN$HxJUQurm+ww zPnJTZ*ASmAkH2;FtP$1Yi1XRs3vu#wh*SPRe7C2rX<226SsP{3ls$lWs;0F}Po!^< zJ6Xz5+p-{t=UWAF%Bec0_H|7|Ax;@Bg-A~zPVud09lIeuf5^65O>?%z_g;F z?Qs_3y-aIl*&c{l%mC{cE5&VWStf`l%`W*%lOdk;0>l&iYGNH(o7x@&Ayy-#VS$#- zfw;$C(thbX#63zkvyN`ftz!Yi*YXm?J)WuhT8i7kI_g4P_g<>h()L&nv3fhmI-<6+ zJz_!p9^V1t_xSS=JMKYzj`vco*7jYu5yYRWdMaC_>>0!<4cnN0N!8lg`Bp+)*Qi}o zk5|t7z752E2Se`nc!;}&s(M`2x2hIvZ}ZkkbvjtK1mfLCDqGz#s>f?*-{(*_M{gkB zONmZ)FLNNCbPdGwZIUiZ**e?0k`UKbklIMcAwE&GF4o}>@l!DXVs)LWyCKd?(bcMf z5KnbTIt_8%6DfT+>!=H{qb0<3W2G~y=IL%7Jt1~nmF`3A{VEmiVIA!ucJzg~Znktu z>#jq*=afC|p4UU~oL6BB#A@_jR_z6G@>qzIr%0jFPbpe&`$@k7#NNgbds|9lB+ov! z?xxhduVp}@#L?&>JS$ zy5PJIE<4?>UdReLOe^=mA0-o#K|+1m0o4f zTL8sRmjjd|~aot$yIm9!+f%xQA z*4ndehWH*j0I~OpRAQZduNVy-a$c=aXp^IVl_gwnSy_lv8bRzBscJaH?>)X7tYZMg zYyot^nfy%Ed>d_EZ7B%i2|^*B{tm?dK0~Zft7d{YuLs1l{H5v+Rnu>>j+zipKTg>J zh^|e%Zv-Ng{xNaE4DPyFSQl2eQJ^naP*%@L-Plz4qx7u^$f%to%gCU+i1mctb z*19;`Y~2uuQ^FuluCd)Z20`3+7sTp*h#j}3OgpSP3F7^og1B!4#Ex7$EgJxF-XCQ} zc3D;lVn+=rNZJQ+ao5_fpiqZC-PTy^|sKZim==MC(pV_oO2GthWus-YF1!|AKht zU}={WZ@={>fpRvO=?<%jssb%!fEF2y`(>uO4~rJGXfL$hIoPr5YM*(;*Eb%R_e4> zM@c88*k`O-N17+yk)CB8?S7YvYpDpl|5GWM_K%9wnsy0k@Qf?eBIV{k~T}9q+&O0 zU3ZAD(ISXn2~Vi{K-HW#t-}vu$82S5A--ChA>P4#RXuLmUDbqmf1M$&8=`EXvh~VN zD!ZvHLRqxiHZLQ@c?FdXlnzQ!?pQ|^X{r=1CB19w0;O3HpZp-iyLzFj=RK>|g7}mj zmF-e?7UErnLp-y`ee1{s@qN`(*))jjmMPn>?7Xs<%DyX0_P~0xK|Gb8vPQ}lOaDk& z9$H6dX^Rvg75~T94VQL8ysLj8-j(+wTbCJPwU@Ff%5Eup3-PWZAl^Zy$2O%h#JifK zY%9cdN0mKL_Fh?>C$=sP#Cs{B%wJhYWrLI*lA=Df-m20x>57!>nXPLk1xq&}-bU2t zc2~I}o~jDO>Re@;mHki_|ApOEGKhCj8RC>q5btWYva4G6RGH_$)|(JwZ(e2Plr>k@ zUD*_6OO!p5d|%qUF49&hQY!Jv){T%(NZ%pehVN^;t2z)*+8JVXkFs!OY2Vnof)L+V zB_Q5aCsn6HysO*FK5Jc!w>Bj+#NNWn>M3iZY^1VT$~G%Itjz13O|B+Qm##|5-`l$8 z(n{%tl;%IXjcO1-gMA?0)ihP_D2q^5{)4S+1o5t#Lww$;s&0XJS3i}d_-N~LK-{g0 zvc}5#DI2eBm9m}6!j(N%_D5O#Pqs%xX_2%C;+an?d#3EOvXT)tWuz1)#f!AxO3VX= zI6u=gg?Oi9AwK0YRbMNM_Srh>L0s1X;+-ys_%ltEFQ%o^YluIql=*7aMBhwHqzI|Z zckAf>!=8Kq#Gh`)|37nnx~cfne!6K7@fF+w@qAyU(!VSlEuE5b{I+Tk#Cw^oEL7P8 zWnY!$_+!15AoliGHdEO#W%rc%;5Fq*vqA3bp{xhQ+no*Z*&eEz+0%MENt>llQllug zZYsn*4k^2(%sZ;B%M5W{BV|36tyFeE**j%1y{xwc#Aj=uY`n6?5TET3#AkZ~c{%UN z2(3#H&9aP;`>vBhr4Lg2=w9yMm$ZV|u>j%|ol*8gS&|sGE-%D&t&|N>wn^D3W#5z~ zifO%m5ch4VY?iV$5TE=E#3z3T@yY*aUFukt<%T$~x3ow4DdqIGC+`ZeVR~9e6^=60I8>p6VlxsddzV_~g@+ z9fx?TNM-SoSymq6Zh^|CDO;=Twz5ykvLv_OvJm&^rEH3_gUYTzeDX+$Po6S`J$X)u zXQ`^JiLzzV6DeCt>j;4Ov5X^}9Uov#wa zJz6N6sceI?d&)j5%a-1HD?ohSzRIR6JEH84vN##6Hv_~w$O`3lo_q+zziqq$@ityT z{OKz}M(a%mv10(lja7yxmPdk}w4d=K%Yky7FumW_tEZlyc2e1Y5MRsBs+Oo^XITqzx8D$-&CAcGREK!xOAyz6gWUJC)|IVn)lQK6 zeuj9KQxK;Vt77W{pb+O?MnHV>8LG~gc1mBhu5(rE=m+ssJ0QMa{!{f?HCtD#y2&46 zM?GnPv>W29dPrFrf9pLaU4}R%P7T{P7sRYB#3ve6({u&mC+{1?Jv?h!#~_GzKLX;d zJ%@N}4Qktz&Jg$LCC!swN*^Kamb;F9cc~7sH&EFeh$mgI>Q?ERl)SF3TMBVXi1Zrb z-6yDL)kY8}*R5}A39)y(vg67=NQE2Ny6)0;>7MjkiqX)Xw-?0QJq&Gf-eI{KnW{mo zPJ?)s`4I2wGQ=}KQZ-tDt*Zrb-g1cR+BLR!`z45z(=@SllclwgTWxCdd?D^T6JqrN z#6A22O&uXtLm{4d7sTH85YOV#%&M8CZV=}kg1ByMb35}ph?7gTuyyODlMt(wT3W|u zh}lnwlRE{O#zU;`g!tb=x(o5lPa&SUWGnlLaUH~t-4NHkgmOEtTb0)K3D^bk^yeV1 z3zt4gE!)_--VoOflom+Oq}Xk}+~0r62eG4(vWXC%ay7)0-ho1$^JQyiKf~37xGq5l zQyz%($|@TG@j0fcI!D?iW$b9{3PPMRUwWbHJ7rNid3mI8epV?3agVtWPx=Ys&!V24 z?KzS_oSYBh&!T%FK1bp%){zn7ljnojQ3Ya0FNhr*y4t?mAg&98c&hgh*S+m-)!z`a zR6Q*7lNv#+#_DCN2=Un(K-^=vl(e^1b3v>YkQzt}wa%}PtqYPyODm*9(rxL7l%lUq z=>YL7;Rc8&z0=RC%LaORq;y`Rn1k(!e4yOUyQwq8ulHvmP6>zj950}i&bnwrEK3D3 zE32%bvO&sbDBGdzjIy`Nq7JornIZ05LRp})UdpyZe2w-(d=Bqn_8fg7zW;_ooEHJ{ zJKcAP9gT)t$9{;n<~PFB7-DZrX{2-j;$3}%*jr$fbySgpq#@FLX`^&Px+|p|ZS$5w z{2I0j;^||IvC|)h_-yweo;mth%Q8XCYARa+@yxqbJs>@h29C3J(;-foEA5lsO3B9C zzSSUh)Pv4B@1Yh@I_I6Z6YAz<;ac|t;&T+7VEa~sIHk7KOWG?Pg}BFMD7~|X??l_9 z4aDai1aaO7DOmEEWb4vFT-R6GWMwm@-O>xG>SUYJ1mcwO(m^TR6now#5YN{cV#i!5 zQq|~Fty)m(ES-mVe|e_ayuuLYRfG67ag3^7)9rJV1L6ttL+tQ_*fBy?j~Uic0%C_h z#EyQ_4OL$#OFYxo`Ab_Mp7|xjdEZsdJj*)zLr0wV%N=E2v#mD+#1r^K{BuA%D8%WQ z332i&ty?dhmXgo0DHR}2sS5G)wg}?a$xjgHMW1UOaiu&`ONhPGrGwHNDb+k%S6vz; zt&?s_(dS!7VQC=5I~WD=tHlIWPpNuY)f=j&T42vM2I9Ymu?^zChj9(!^Zo;Ik57=d zbGvC5db$4&M?Q$XEg<%eg4jC^V($uwz2_kQ`yclq_Qw9pdaFXLHicN7sA`z1=OEs5 zv_)R-zyHw@;;Z!<;tAp`wx78wLCoqvJXJ3!v-5T1u&O>wY+WyClk>a-AntYx;vRuZ zZQW4lu(NKbvLg`pcm#2eyvwX(xbz6(9xov7QFXcPaSq~{-$2|W^9sxILENJ*#66a) z`cA4FYEazc&}=ib=DgU z@j2E)+#}|C+hYpE=Lm(k$5UnhLEIz32HT@0#E#8Uf{nIEGKhN&g!mjkAawLDDQbU}aSt=%t*E)}_wl1Ai4dPey zu@LvY1@Y@?u5G4~(lsgDcB>ARE=!qrSTzU4=NJ$1IX*zVmjOFXM=d! zo4O`bx8Und@54m@*YJ`;X zrmag4xpxn_cMrLDf6H_fa_|1O?fX&6eaEuW(niR=d&s@}yQV{sd-wM&3%YMwA-#g! zyMJia*^qnpkbC$4SXK^l?_Symxpxn_cmK%dEs#PW_wJ=5(l^Mx`^UDfp)>???;djR zUeyRG!%PPMzjh8M%T$k~URR==6gOLzVn)a<_z7Vs)(h7+8cNyYq6z84I8zxPL z*gIQVBRzz;?+axm-&;p3hx+`EU| zyZ>bhhTOaVZM$8O;{LHLjWh*v?;djR-Xof;WsrOKo|cu1Vj3x(h1|RMvTAS0y?e;L z`)HP>hupiD7D4XaL+;&2w|N7kv54?@9&1CDwLA?7ZP;TeX8qXo_5s=x|oq%|%Vp&Xwq;QD)-jcpag?;TgdO)1g zE~|CyhIoPl5KoXao1I_+#K{p5dpl+~y^}n1*t(cfcBvi2&%$zuQ|9Hgj^_|h@CM=u zD(A8j?16ZK%(<<1p_Dd{W%(hlD<(CO=0QBcC5R^o%WEA;^VtbfLp(u$h_Av6h?5)S zx8BoItpb*{g1D}uG)W4Bc!EC=PY_wqIw}{k6V!lsf)x-?5TmfIYX-5mhqC_C0?DI@ zts4OGRACVB`5eSO(igQoCO}+w58@v0m3@|y6|;3CA+GzP%&)lZ;Sagb0lCjn!g`xQ z?sF*XFD;NfO4_;skoz2v`y3GW$Xd$wmK;`yR<^J0bD>SnR~pYMrg{+f*b7~7sy`u~Ia*cQqb$UAbs$#zN(UiM z{-Sj;tJ%5&(rJi$l&EeUYo)Ic_bBOa>sCt7A@2Jg;**!FVIAurPQC&0cM_7-wD-n1 zh?BF_G7XT9LVPXf*S0;5KztQ)*0GMiCI7mX?USn2vur-Zd13W!-bILKj@7`P+!x{# z6;;+i*&ZotLt9rD;;B|Zd?MdQR;?=4g}7S)l-qe#m#Ml|)r0|dYhxk4f*+&`jct#0 z5O4Pl#4|@i+#_!jTUQQZwXL)X;^Y@v_f^%5O|4@u#65BZTE_zEUx?3}vze`%E!}{) z??Z^E%GcaF7DAkS65@L!Mhkmybb>fJLMq+TvVjm^%h*A-M`4Js!W@Xb30j%PK|ISF zDQRo#Xa=$4Eaa|}A|ams2b9}+-2&R!N&kk}TdA#SnsgfCNdw#2ED% zCS~br>!wP(A$I(Pc+$?@v`(4_@uZ6(p7aaElQ!vYy-%gwJuK@8@lJn0JZYAmw(l5- z`+D{=^@F(Xo)o>eb<}{^u@7?BNzWjj^fknjR_S9WT@SIhP+!w9X)nZ+`uDTR{UF}Z zRfxTH`r%QzM8SOCWZ554377sW8NomV|iH1rYD_8^qqRgG?8sn1k)4iy@vM z4C20-hFC|ilw_!_8!D}V*zpG9ZcT@2oiq;ONvA+O>0O8?EjiqJYe76q1F4U61>zL1 z5!TTLVm43NIc4DxcS|+Wb{himq|+hpHdopq`HZs3)ubU1d$%du196Yoqiv5a5Z4Wd zxW`y&mGm3p>5Gpsb%EF$tZXgBJ$^vEwZO5qt~X_-XvEEb|P@azN}I z53%<(#Ao{raZ0qACSPfXbWVCDdCszq)KVd-zBE8OBAtQwlnrLvl;IGcW3jTWb1b_6 z@uXjr{et*x)#uu?g+rWj6JoWF{5Or}qoC-f>dQm6ny2hC#f)Myu@fJt6LP5aM%ufDSvmB@VGHJ;Zh8 zA^u*$cxb1yZYRW3y@GhEBCD+<2;x8KAERs&#P{D0h_ApZugxGr= zVsHGl*4qi)cAIrX zKzx@Z*lt-#h&Q<$V#g*`uSo@VShXC)6LgctNY5b7i?Y+!<$}0x0f=|H0OFly-er?F zOGhE@aY}kFW!Y^{*%9KIr|vN&+-u4LaY|09x-<#mU7d$GCC@(7GO5FUTNe&-UE~2% z$U)OVh`$H=kFq?6talp3-du-Geh@o4D*FKOR5gy+x}HZ(b&uH-^^^ud{P|!6#M_N> z+&Yp#yo1zIX=#+!B|BjqIUsiAm+DEgAfE3U#3x!4X3BliR1V^lDpE&j8N?~qAx`Oj z%5)ZDZ@BaY;{T`G`?OUPNrfQJ8xQgC{vSi`tEF{6r8H-3N-K!#zDV9@t(rh8AoYT{ z+fInRlg^paoi`PL_#DNhrqW*!r`&@$W!nW)m5ZjP5T~?~MoWhvPWcLP%9=~2D3`4_ zmXsIbO;%9mFLi}D?-0bBjDXrZKQm+qw`w_v)xHov$othXujz*#p?*;I)0W=m(J4_epkq3y8;;%jtEO7)N3X*-D3;ShHVR<;Y`Zu_N| zQi4a;Tlulw&_Iau#zXAgBzZouYEOuFuu$20h#k+A<$Y==oer^MH^e7*7AcMFC%um5|~2Z-}h z{AXEqi1YGF{?cTK^DaP~xAKE2%}1N(2eH}^;=Djf8+{4&JeuMm4K0|+AWp9P)7CA9n0-=~>X&7?Af8~F^f$z(e57@|e%r0RfjI9w#NG^lOr50O5MT4D z5a;cMcxE4u=x%Q^i0kr0T-OBR9-XyrvUCpOEBFjz$8RXq`Klbp(Y8O@i zgLu#0v7&o~ICqc%Vn=g`)jkk=$3wiKGTv5g46(Nd#NGkY4y}6!af)Ybo6-nk$3lp^ z?SeWvUulxXv5rO%@1QT_?VNNq#Et`6cO9zaR6XNbH95q610YW62XV?8tvjf7Hy}=l z;$u@%K%7zlVzq^;{Z!ovagR$7_wa~kQ<6b^wgC|5?S|NK4Pr;M_|}mc;<~~R_id%> zKvid{dQjDCswPTcd*p|>M{9`pHwfY$vmx$rNY(4AzEd@2LhH>Bv9~A0=a>R3b7+eQtQYCah)H;eS4`oQPoorr#yo=C22C7k{jZbt`OHvfVgh2)?I>l zzWm9pV<^NMny>5_6ym(^UqGA}33)l$FDXF^%UVM2s}Hev8N}XDt=lP`mXfBl-dqrS z`#|hXo653^5VOw^cgvC5vSJX=*G!rV@m~Jcy0fZ&RW*GYo01FSl$sF#4sR&r-Vnrj zyC9zQ4#ZRCNNc@KAZ9_z#zUO93*x*>5a&fe?1+}mreu_=Lfowz#C2!VTkkuF*>8xa z_sU>OFSV7XK-_mH#P7uaLOfNJj8@GGadJ_JlS@lYq@~gch?74-oEIyToxTplc{`>3 z5GOx^_;;#anQdKCh}Ei66KN~NJLSGNjrX)Jadz8n zloSqeU5Xr5EeP>8T0orF3*z|(Nb{w)&|c^MGUl|CmV?;g5AnYrF;SUEF54}y)Iypj zU4r&GlfOaSBWG^gqZ-6L>OkCMnzAzxZ}+Q|HIHSTAWja3_>_ksZ)dk~XuqSBd99-m z#CvH5v7;-*6ZDp*OL_9yy4nyYPl9-=y-+1*j~B{H<+qOJ5IYt?>^KRrBT`xB0+#iF zc$Se6JC-Wjtn8e!d&<5k^Dbz;Ss~6VrfeF-C*KV5eE+JNtdO0hGQ<<~Qx>A^8^kFo z3)_?i5IfdGte#cXtB6%IKs;3eWfLHFtb=%}$Ep@BYSo4it1}?(77FoG8?~6duWCY^ zS0CbT)1+W2YjImwRT?Nwh1fe=+9XveVZAjWuA2_=bACnHbE!;8>*x-#W0bT_)fA=d z*|I|Xb8>r#Pc#DJ-vyn8xLc&E^-9~iOAxEymHCyiuQUrG&g);+){Ta`J3lKQfVw&F z>`TxxM=!N5aXITv3Gq4dOZB9#(qf3atyFdq;&()k^7gzbA)dJ$0OFJYi2E*s_?6}c#EuBa+qswMmFz3c zDu~rn5UV-->=RWN;#tN*T(=0~9xJ3{l5b_J7KM1K2FjX4?w_n7KG9BylPgxStP8|! z6vW+*OShydRc(*95Z85sxW@#Ddz^qc?^8AFh+o}0Qb6qJ1aaLM$lJNAf0ZrukM42I z$xcChw(Ahz?Rje0Zp|Q0X#??810eo$l0~YX?Eco@ENebxTw|qiTez-&OUkYg5`l z?Cqp%q_jXfAYGAuL+p)R&*mkQ@=7(N_R?&Ky~~xYmG(f0+=3F7m1fY`eb;?H%* zm0f~(SN}o$Dv+{)O{oa+v$_`Iy7v%2gXtRDvky{ z8DQ_flMvr^SsUBFeh{ByImCBoq9%5NS`eSD2gJ#vAfDhA#M2*XY8{^-b|en84qu2J z9U%Vv_XXm*1kG&SScutIX<&29K1kVG*pu&o_}Tgf@!49nv?rPYao&80ceMfHC+dQ# z{z10xG{oEZq|B?8^;U#<`X0(QLEK|XYwKME@e_3o;wS0_#81>Ott-&RddovRUu%f( zk{J+RkN9nET~dhaa!d83o>HB5)-kue=|BgY_XXmae?y#?qocidJ438~fOvv3oh)kz zv16{X1D$PMtS+`LJ;Ze_m5uId>#jiD;|=8Pyt93}Sw~)ouj)#O>vDEC9fEkO&&rzg zu<8RTS5JGjhC`gPvX`lRZ&LuolQx&eNM|6v<{y-$>|-4*AWnWE{Zch*Ut5<&Y724R zT8O>p`k6xd+xL`v5UXVem`+Fu2ilaj5Z6r|WWC`Kvs)0K&2zB5zO^7$2S9wUtyGp~ zh}~{ch@XW`5Idei{0iqi)Up&1_b35zURQ{((Fv`426;QLwC^zMEdjB&oD?W6*SfaD zt>dMXVTAQIh4_9M2JxhWM_P3q#DD60RM}66uR_jIc2Ylx&)Xd0skTaC(#X+v2Qwh9 zTLtmS!<6+LV`rHK@yX+lwXBcyQ%XM0=CzTAOPR*onMXllon;D^3e2{wH^ir$E6ti?&$|m^^?;On zZgh`c&QC@|Af9PT``E0%RuaC zA%#Kg_yk>WKJn3)*t*&fck8C?Cd7`sORc&O;vOZJS#}s=7Hhe^U+zMjH*H09kKWF! z6%cISb^Ag*-$;l*tuKPuu@U0=4rtvwh-WFY(s~1=`4Fo+AokvXIPWLK^F>)@y#=Ih z5IZJ@m^MP}jevO49II{0Gl(5A*VwxL5ck**@oU)gwf1bO)>$P0b;83=B1`g8Dl9 z-iNw5@1ca7Y)U4GQ|e12pngtA2*i$~s-A`T>feI+sV%VC&Nmw3`C@D_U63+vwR@fk zaox>r(LMS*yZyD@b_<306W$q!=eq)N-+v(Pn{kKjy9wgF?K@3BA-<}Ec1QOZ;OtRx zkL}S2;vRh&IF^E&@Lag>tb)l-;RK2Qd zBs9#KoaApieO`$3DoM?yzS0(mPwunNPFfIR)(hfUV(m9&gLvi^5ceGgu_GAbz6YcS z(pRa}0qa-=@rKSROL)+B%X!GwZILcP+~buL=dfkIQfr8(Uk>qniH?{GLYz`d>M2c@ zPC}gGanyRdKs@tIWfvi?Yj(`ml{jvC39%acgxz0Zi1*hJ;`!P_JXL3@uQXViC{33Z zNh_qg5GQ91voqI#m`#Rws=$+`!4O~HeGq@EE)3#HpF*RY_gacm(LF{xY6^KfUl~S0 zn;Zp0+~X<4-ro?PE#7HcmlR?(K-n;e>o!Zx&)8>c9mMK=XpD1$(PyK(zooYi;+eld zoLumn?N$b2Hdxs>Wk;2rR~GZU^(KPYn*z%1JY{EyyRB9A5XAS!D~PudscM`HR?P?T zt3^qOlk2J4U)52nE>!gd#L1Uby$^7d?8NG4e{0*LG0}a@pl^+L41yz5IbH& ze2!$7tRo}DYH^6wcB=MNb%LrpR6V5XbyYnt+vGS9CntpX96=CI)j`#X5T9cK#OF8) z@g}o{+Y>c}I4=-lM-OQU#P@iZ)}2%Jp%mwett$+1UO9;K>PsWFZnf5hLHq<<)4Ds- zQ|XoTRr)P?U$x!hOa2fiZ-DqJTv8VInthU2OD7;c?`w$95&ODL$prC<3Q9dyy##TO z2xSFs*d9k9o+bHBQ(B1ge5C-0Uun83^Sx!6AH?$wfq1^f5GMyioVQ*&D8;;O>#9NA zBhekpT0=bjM2OX$5a->4_~$o|yOyPexULn%Q%!+*s*Mm&wGHBwzoiRO@_V+f3B-As z?pxLy;;9xwte${4?+wIL#eZN~9*FCDK|Iww$h|AA+alf5I-iHOEEUbKeK%sLOlK75MQlF%CbDSb%|csx-QaSh^HDUO_pX#%cKx#tF&8s z1MxO8{cF3umzKS>>JfiQE#Iux=hC%E&qIFN-Sx3kBrooVVyV5jijgQNmVQ9ox7dHS z$8w0T`6*?wKiIl&5TCN{M^g~QQ?-|RO8up=(qw7Av_!fB@l>%s*&f%Wu@P2X1MxL~ z0rC4~yhwZX3qbroNDhVge>T~NAg$}Abz30*bDhjY!}b!`-94)D2>FiL%|wo+LQ3|xh#Exc$S1wZMX6e zpLdLO7UH}|5a+#tIPW8r+qr`}UiRb@AXfKCHzDr!3F5P*i)On8O1&XgmnnM;@dV!> zp1>=*?NLZ-0CA6=kb8d+pXip9I)=^52XS6Wh-WSj@rlMOn-6i`9f=ooC&J9chHLS$Yrgvy~%(oxmURcHRd;5I@tCAf8|i#Lw0i zh+l2@srnIepEsfDBIG`ABAb#fv8qz4B)0A)1aXi5Af75)YRjfUoP133P7}lZlfyiSy)Pl2Dr;I(X^3~) z6XKKs&?;x&ndxk|We}^orNa5KmP%qfKrG@itmR{G6YG_!;!hWYr1~PY^Dp&1}0B&0_0%KwOvB*VF~#sfuT{ zbxk0Cw;BNPq+t-(7071l1@Y_E3h9#cTPmB~-jjnNo?tQL?YuX(LVQo&hWNanA>QO4 zi0?`799FFWxz8)5&1t79n#V??bAL8U~sy;lh`sAoeWa>S6{{A3c&c6ydzYztQdQ5YR?P!(aubNX zdmz5%;Sk^Be;|I!e5%D2V%RfjH$5#3>IU-ndU~ zo00-zZ(WET9U*qimX2uMDP=Dq?iQi!2XxT6@y2!R#ydjXV}_KtuI*M>Y6@{(Ux<@; zs(KURjYqF%r%wQ}BPYa;DiCkHjjF>`4TX4rdsK~4-_DW(;**zvc;hP}b{vNIZ#Sf9 zVEYz?xNmJ`%cQ-kK8JX_kr4Mt+R$E)su1_65AlhnKLK?CbmaK zi05mg>^#Jdo63GcJVDl`RxJSWq>Uj~N2@wb)g7uHS9TxbzOe)C%qbyGDGBjY(GB9O zFc9KyTOqy*ryzEGkWw|Xb=e_S{UPqwL|G7Y*m)HWLVOioshYUCJ!LwG`}#qg*F)98 zs;*G=tg6>l{h?~E7B+7v#OIg}aq zq=(p%72>>lsf|gjn?f_c0!7u87FY=J{iO*rKE~bn6vKzi08WrEps{^Lp;Gt=%lmGCreECwaf|e zt4eu@>$*bRV+zE-@tUt{u=Ek)t2)!y)-8khj(88T8VPY;(yXQ~5UVdB?i(XpOpjB} z`HDfO9nFHgo#)sOaq=rE5@K)O>^83)#NAp!td4}vIP;dMdP~(G5Z`O%bJ!j&AWrF_ zY^M~i>SJYpl*P|!QvxCWU1S%CXX!7Uf_MkRa#?jb#C^9yeBMhCzy3u)?1+-v*7-`k zr7;kDmq}iEV!Hp2mIe^#4S+cBF2wVB=d~<3#Iux^T0vYlAL1Ut5Pt{lnX0k!S#NxZ zf1Vx#ao=MQ_dTt3&!v3%ty&b~34)-r&MP=Z)y@B7?>)e)sqit3?v$ME$L3F!=I9h_bb3mNiiy)5n3W%fa1#z@`U8LTHAlB=uG*jIAoI_abc=m2I6zSueymKc6GgK+d%9uEK;fzDoyJx z+B^`~>QU7;sMl)fz^Lds?*6#UPG$scNyRjR0}9M0KC& zD|_KZ5YLHUfq3nvWk0EW1&Fz+sx44$iE1CH_Ni+1`%7+95LbMpYGYNqU$sY6+XLb; zxnH$rF```xV!e)_cHXP*WYw+(aW(E%S_g{u;>tmsZ|XK2thpeY z3$o*?yA4#;>-iIPtHjG{v;xtM1TlAb|V*eswDi zm)xcxJ718UuevkUU9RpXb@!=z+6XxkYl2v%u~ICEV@%e#Yt_A5X`RM>rg7!!R=Hd% zhk#gb1c=Xo$snF-Zq~RtO7|%(P+G3EN@=Z9iPAqotUP9(h6u8@q2K-N|52N3(a zF=N3qoxwQgO%LZ|lvr|MnqI6!W z^wL^s0*Dz;f>>qec%cz#LgPW4N2by>N(D;yDJ@W1ss{H%2z5-xy>U(x?AZr5XY^SFJokbIHLzt+YMse_Q?|0WQtG- zh+TD3icspSG+b$nQmRsh(xV`b_5p~iv1F>y@M+>s0db$d0OI?wk3rn~m8Z+~jaU$` zN2G#yWoHtIarc5|c%QL%fNt`r*)?+gB@V=QZWBSwSfR8P#F@XZasN=a>a|k27l@VT zfogc~pf-Y7`6Cc3UvQmJXAmp*2QhA#x;g4j2k|}T&FVg)?&In{ukKsw?gO#%L3L|g zU(Mc=5CUQcks!w12;yBnFMzmb-UV?+Ux9ds!C5zmTNlJCAxgJ^SZ^+fGk+Sydm-M| zxKB0i;u~e$F(8gR4a9Np1aaJAbytHp?%PVO3uN4I5XT)3;_dy)@ClJSNaFb-T0WmHO#Bqy2th@ol`MwR}xIe1< z3y9-3m?@MEV!dlX9CrqYcV;dHWqL>AOCWwP{v(LbqaHU)Mvl_GAYL(i2E=p3Cm@c| zbe2#L5Zw&bZc*)d)!tO?H`StVk>_dxh|kptAa-A*^fHLO`~$?%>f9KvhFAdV3Mvg-%p7|WHu0kK}-cBxkr#Cml=oYCc~rGi-RaS)HD>+X=V=tCf$MYn=j z~#x0sF^ficaFWw_@ zAAtBw`3c0XqVJWsXOs?sxK_dYga#|!ptKRh=hjgWJGgM3JhwtXd~S^faYj=>d~V$c z;@NhNx|>0EzV{0)0@?XKAXUBv+4;^F`Wj^C`=G>q0OEZA3F3TvFOayUN}qu^-*X=l z>Z>$aX*G!RJp^J0=PZ=@wghp$@gUAO6J*a}AkKG&x-WuQrT@c1^FW-(szp*|9f(!l zQQ8A~&3nFmt=e}W-c2?5Z}L6PT_BE80%BK3RJ*E3D&M2@A&8$GQXY}q7eVamC#AC< zl{Jk3vA=#G-UFHVn2eSMqI-+d-5_@Vuxg7z?7q@s={^Ev_cVxA-d4JBiF7&!#QyR@ z+|v(&m{9~`S51~m<+dQMMi_|C^9MnE4t}WaIm@JjN0bhNINBL2q)IOkJ3Xj$+2ayd z{RxR{4dOA`55!LAfmpBdN})&)kI5XRzbWldI{QgECI^7n!9-9E@7O2+@t9lz;(WJ) zxGrykcuan%?m4TZ$|Fh#L3X~yQl%Hj&R6NOrzNiXGZNPt#QDwwvC2~*&iqH!x;!iM z9j|o1(iWxDpOg7Ug4n@W5a&A;#Q7G1IN$Xk&UY(_^WCX#_2;F^e5KDo?DV(QQsvAS zq)IcTcA(e1b-qls-XI>ue*^Km)DjTq8(1Twg@M@L1l8UIvD2zA3dMr>Y` zB_OWfhah&fU*o<7v8#*?Qh6$ft8qPu&&GFE`v$}+;TxrLB4~@Z_On3DtzIHpM-a6+ zAolXCy6>v{4aipBByou#TNz|4Zx*d1$W{i~%IfX{adi)=TlZyojqeHK`6U{}Dybl5 zOa}28KU=knUJ-Y&(mbW-Kzz4(2(;K+jYeCfs|XP9N}L3uyGY&bAkMPVR&m>dIHPzF zb7!c#O5G1Y%&qfx$?XYZ?o}Y>&Q*7Vx_i{EvrThB%uNNc@+0c*Q1?f5L$^!r)ga~; zfH>~c>h4vy`m0ho62#TW0x|bNb$6=!o4PGtliVZ_bMFE%ceT2Q)NS;-xG^BkXc>t6 zd=rRA-M1hFQk5MNR|7=1Er_FaQ!Nt2 zyD%4lc$QiP;u!BLCB7-6%}`niV%$~`D<1)|(@v$5aV3cNDBTXCdk=`Y>p`}A)tc{= z+|D4nqd>eP>Rk}4dr_jiMsu?WPBjUav#dc3cM?uV82V(AT8dtSU#%QiIQ>p4Fa@6$%akMB9`%6)JNvZokTUvY zzMq14ZLZn(QY8|^+@Y%7r}VVCn^pT*wQ|)u{vcQL;y_%X>p>j%Zy=6Q3}W|hf_U72 z58~V^|0r=Slya43f|$EVsp?O9UnGe2rh-^+H;5h7I3iko5PRvNlmuej{UDC<2*}Z>kZ;)gFqZ@ zJcy$$0&#AOK^$$f(wTwk)~ySo+a1Kw64ado;@n;YakN)J9BnU%qt&Y<^)3XlURR}= z>dsN^SrA8iPu)tDWwa0wN4pHf(MEtc+8rRy?H&+ED^@zHZlx;XwgGXpK_KQ%195K4 zKpgEE5J!6*#L=o$m5k~jj@D9XlDgAWdj!PMUQzd35JzitnvB*O#L@bII9e)*{cTh2 zfNGbVUfq61{2j#HerHI=5)id2)r7uQs#aaPuLojWm}-}S_}uTSZX$?XU9Z|U5c>MrqvW}9*Ar8sA?Nk+XmujZ>xI%#L;S;DOE;*INC{Y3Co*aqoK)gzl1fqMFy2a{#qHeA8B{u|Qb3ry&-C}h=QMXoo z$!!O+xgeXX?vv{70#)^TKBR8l2C^DmKy-(Ic>N_$-TCT1t?t|E9#OZ>1(MqV#C0A8 zV&zHd-mC5!b$6KM=XQ^6k;U2#tOITKdfo%#v*%L~`#TDH)N^aKmnzLctkMa@xL6S55;bm`x_7Dj zsJd&_EmikZb$SUE%8r`27j?q(3z>a=jlXaM3odV)BQhe2G8bs(<6K@i7i z*ikaNgQC3kYt~7$2oSY25bLFN7WZZl-IXA^E4zrh4MevbWY@H-xMy|~HylJa{4#L| zftWiPMECaYGLI)goJSdm^EeFR%unwjxsf2|u2)*yQ{vtOag4`%iMAC)?I4J`Uq^_0 zMx?lxg6OvGEp9Z3xtSokGy6!-OF-;-FNi(zZ?LCmcaEm}JewGklJ8_`$XNg%op zg6KZjPu%B0boYSh?&&Y?&mg)@V#IASK-_L1x-ADv_c0)LUjSnF_ky_MD?rTn6vWXI zV}-67ByrP0j2jduS~`f@To7~b8Z7SPAi8gX=)M^*?tT#6cZW!)KY`e3`=Qe57!W(1 z0%FF{VWMS$sNE0Z821ks_Zbk~4?%Q493k$HAi9k%7q`(!al3)&))^&Q8xXajAl6G8 zE#1!nvHJ}muJZv9yRSY*au0#1oppt19YL(u@k()rfH>N85Z&oli8~KOcRh&i`m4qL z07SPqLA324YO52a=UpK7Tq{X>?gnCimxGuQHdeGa5Va{Fjyq+Xxc7kQrYDOw3qGTy4SNAs%J8hFKxqU&*y*Nj-o*-(e zAl6HrB<@Whx}$SNn+&4%Fo+os=ZX6wh;CuNXe&U}CQX)3?**~bQV=`c2jZIk2x3O) z6dB`5rN^gA+*T0d7EBXuHHg|?5c}IbUEH5QbYHthv`<0QR$eRp?Eu-81lg6mPBQv} zn2`nI7J6gZ1fn+jM$smNs67m_D_J1!iy*p%GelbfqP7#nj4y7Io~zH4 zo_m7W^8^sr^cE0vSAm%O?9Jl738K4nmS~$n)E>M=wC6$8_JCMr&#mJA45C{)TeL4h z)SkXgI(-{t&jNF#({3Pp7638h1`x-XdAqoO1JRvwhiLbJsHNX2+AI*YCqb<89WacdNc8wR4=f39>t0mSYXfw<0ZfY|+)AXct(kK|r_ued!ybnD$GS}2HG zwRxg715t|wv4hzA#Z3dzEd;=P#yJZ_YY(D! zIf!Fi{*bu2Ai4`cbQdfXcQuIaoezt)0z_>mh#5NaDsfXlj2rNnXsIA--4=^>If&W~AlAEfiMaQH=&l3NeQT+7 z`aOu9hAfj#`+)3`4Px$G5OdF3E>vTM#I*o1?xKODmq+UV8_0GA;%H&3M2iDan*w5|Q=Ss{9uVENAi8Ud#eEM%_sOS4+YX{u^o(dP zf~d`SRyutQ#7_5uxTnv0PBL19m{I3>(b|EijR3Ki5v#?W1fu&Oi0*?gi2FQqWj)j>G=rA?(>(V=RP32x*%rE1+m^)>x62om$()n#vN7dybYp# z1+x2mqiF3xtXI86+>1f1@+*j+ABkH(sNG`d(H$g<2De-eHz4Z zH-91SUJ%{W_ltY_m*QRwqB{V@+yP&Sn+l?P7l`g%UyJ)Vi0+JUL|Xu&cIyG@eg%jt zz8A#qYaEn}79eKCfjGvnZ^g|9(R~0!_knV8p9Rs~4WhgIkhnjA=DZUNcRK+Ns&n^3pk zCGK(%<8A;k?pj=TXO#y)bSG94?N$)Ar$EeDSy|jIAi4)YbgNXYVPBb=gKW z_aNrJ0%C5&X+k|tm$=a&#?1gR?uIkOT>zq+RZX-xAZpKmnDJC~akqi!K3_vReILY5 zYuA)cn}XPBClE6xfmrW=(pP6n-05dYTze4XE~zDMKM<>AgXm_QE$(a(-79O0HW@_i zVGuK(ts_0Z3$i_*qdkMzb5{^^^FholS2|Ev;;Nr3aiJi_wXY}c01&I>g6L+SC+_Va zx(VltHVs5ArM`4}D~O$L0P#3D0AiP5XXqVK-?q{>)i&Td)tNLE&bzXt2Pq1YGZL5g6Kwrn0rM~=5alU^H>GqJobP%k6%E{ZPr9`J6NKw&JFO=-vgQdsjPg9|zH$(O$F# zAZnXI%-D6Qbbl1Y?%Rh*_g8_~{d5p>9|1A6KxZS+Ls_^eAz+V)567V z2cp}qqqs33=1v09ozzL(IUu@IJ4^Qug4q2I5ZC@!5W8>CMKU5mtT(i)xLF|9i|!^` z5{TMuAZFZlnYc?pbl(8ceWSa$UxMhC^bqX>5Vfs6rRV)1_8jabJ$C@H=e{6jJPqO) z%Ob>m8ASJM5Z(Qe;#Tb~Zd(xD)_uf{1~E4iM0Y}zxHCa?p8(O_6fHgP1KFPYYR@3s zGsyM~V(zkj;=T;BJ%i}(?=NoE7;$%jSnqq)E*c;i4F-xE24cN2AlA!?l}_&gvC~oz zkBEIBR{j~p+~h%$J0?!tDImIwK&<@GU~yjn(ft@i_oH}mkAUa~hlty7sJNX#bej&7 zPNPBWv;f3T?**~br66Y187}qC7$I&`5Z!?w_R{}yag#xGyNncVIEdPHAZCmlC2kJL z)*CJTWrEmW5s3Xg3u1p;LCgppBlTKdA#NWK-H9NMmUgAMH-qS|1kqh_mAG3#bRWE0 zv}Zunu1S#o7J}@a2H8CgVt+q^n30qyV~k7^HxER&-&oPcfvDXMVpp@riMtp?_jM56 z?aAW)14Oq*inzg4>9jYQ)f%E7%5Ne;tZ<-EY-Bs_ywyWZYIDu0{tCyNXvgN!<)}Z&P=H zx=YmEtnOjk2AaK30igQ)dPN z7b&e(Is{^;mpvvKKQ5Nam6k~5z98CqUFb+%4K?AZmm6i8cmA?c4*RH3m`Z3R>))9hV&x_Zbk~h2M&{3`Ffc5Lf); za-kZBWDTMZOGZ4188?DB#+%=Z`yq&K8K|AtLBAj5jD8b{?t>tXwgJR--T~sCc~|MM zQtKZjw=alsc_7~Hb%VMOsk=?xx796Ix8YBc+X}?4qCu>jtM2vcE>QRH>b|A!x9VPW zL@Kugv2qlMl_#ltow^UIyH(wt>K;`0!k?vb3lJ;!0kLw9y4R{ZU)?S0ma2O|-3yLN z<>nw(?hRt)Y;~_u_W^ZZQTI)CzfrfrKc#Xr5GzN5SUF4G>FVCE?#t@#Q1@$f>;EE^ zn}S$50>sLh>P}O4p1PaWeM8-^)II-KseCbrm3x6$IYZs4>fWdBCUsv|_e*up`%Nl0 z0kLvV5GzkqcZ$0As#~J&YwGS-x8CnkIS69q9w1hppzdUK?@@Q7y05DHg}UbkYT9R6 zV-PEM2eERxy7}tPRre)zUsLxp5TE-sD%G^l{R=>>*F>q8(lr|QI*7T4RI5~3a;qst zgZLfaSk>+Y@k}$Oip(PiWP7P9v#bZ=EH4D{95xZeccn$@J_lm%2Ow7d62y6Yt5owe z(RzSbIZs$2kK+(6YPfmm-ni1qd=1pnKdlQIf z(K|ux?_LmRz8l1u*RCdRGZ4p!0db7cAoh}=bgj~pAXY9_`U1o%XI7Ug7lByiVx^u+ zIUrV=b~U74oKm4uF^Dt&4#b)N3S#9dHD%@lK%DuFAiFLgjh4kZdv&|kmC8{dRvrN2b9E7jN9_CRehuQdb60)(FIm zOF>V1?;X3TJ6zoqb#v66qwYiME>(9Wh%0my#1-mXU&b8>VlO!$c3%KuFSjZ!QQ8gS z%zsd=aRb>iZ9sIRK&+Cb?hWeB199B7AddSgh#5PTzEx^|fmH4eV(ttOpFMY|` z)jh24&mgYGfD2_cZUwRDhd``c0%BLCAa?b>(ho}QFOuB;AjXXbaStY|I|0OTw}H49 zYBZGGAc*6307ZFwe;sJEM|(hgJ^vKMF^+b?kKmHi;j;|F!mX)KkSg6Osfas9$UoaG!4XZd$^cY|0t5R}!e4PvL~D|J+w2x8?~ zsyzkbK3}WuJL>+XZuKUT+Z4nx27#D6O5I#_7pl8V-A(F#t?rNN*1lLOcLT9APX zsC%2b_o=%|-CgSLSNC@i*D9*1R2~ds>-Q zx@GEqt#0M!lG_i&`3?oKa=N;!)O}IiQg!RKklY}Mxh+6Ea;AcK4)( zE6!>u$52BM_it0BUP?1H?rRXMoY6|Ev<2~b-W|j$y_K$3dH}@d;0_QombI4dH-K3A zR}kI$ZE6N;c%AkJQHuw0jLVgBl^y_bHJ(##J&4x?x2gM?x(C($S>5w4kue&BnA--# z%Kg-hSN95ar>Q$b-8q3$#4u2=U%b@!=zP~DnsrK|2BcGVBWuC4}g{farN}S}2HnrnAyer3D~XUIJp}bs$#$ z4aCX~E|to$AZm#qR!&u#p|l;u%I|w+oRf{Xy*cTGj3bvGP2nVx=P>R<05% zl`jCX@|7U=HxMKapL@$1T-rz0 z;Av1B@0|D+i1kj360HG<^%^U6Q@XIP#B~KRH$$}o5OZ%)dPHe_f61K%V(uE%UI#Jv zEu{lW&km5>ogn5`9Vl9T5OW(TbyfO3R^l2ClH7Pu8*dGgLCl??G)rmVV2MiyaomNf z6@!?&T4|@!tRb@Y8$mq&B8N)7u^`r)r`ie->pi9Ps?rm~C3icBxxc7ZdxYekrxdF6 z)8&#|Z=~e*2XPIq1aaK4O4lp(87*;1AdY*VYRf^)U8S^L>Fz5e_el_Q%T)Uw#N4Aw z^{y0c_f?YnGl;ouuNEx=#N570NlNt+W$g!pxc1vXobTr#)@zU?T00Qybx?{^x?r5d zbpbJVqG~sSm^(|UNGUZ%a&HDP_XX8n12K1}(l<&^jhEavK+FxKiFPiCxfdvPRQfz! z)}ZkOS%Z0?Hr{dnEQs~?srD0y^?p^VKT))&GbHy-5OaT5t!}2oHBbsyT9GBad<^3L z7?mycrhr&)g=*_T?B!*py-JTylH6?|<{nY)%v{MmN2#4sNuK2H1u?fyzG%%s9QP8X zzDfrt%RCxSk$KDqwei+;HHh`TRP9#~>s6U5)JW;8X_8xQy5x2Nv0f~QxkHsQl>*mF zTq6+29imzah`AG$Zc%D~z04y4#QpIuh~pjxv0l>~MC%MPY9fg51qwl|R|I0cWlCF={t04ky_pi%3&c-K13+|> z)xAsI2i1L6-975=SGV%bQn@XNl{9E)=2^q4WTVRn~x5Vf%RsEW9>jfg=3UZX5XAn9RBLy)xNDXA7E1S%Kpf+K z5XaaG;uvSomD~^zD~|;+<7N;umV%h^Er@GX`yR>Y4Pr(zh#7Z*nDH`*8SjC(R(q6w zQ|fiE#BEdRb)Qt80%Dcuc|vF1U(^2HnHUf|n69)4#9nrQ*h`fMB=UP~1Taq?g-3jC)aOFNnRI{*d%C7{nQ6f@*lj?lKT_w}Y7R6Nuf1 zEEG3YsYq!vh#3b#ycX2*VabgLMR{XP265b3Ag;mfO3Rc!0CC(ys)a6+|i5^=aMf#tX%yu z$;}6G4d#KEyA;ISk3q~mW3lA6265c0L5y1jV%%1Z+plrmmdF?pAZ83#%2T>s>2alf zAXcuqR61w}vK@eI2O!&l#_iX*bCyY51ErxLW?Tc}x-0~-a+Bqv^#M^U0WsqrAg)!_ z72>u4@p$P8VplhSm{9~`#%2)r>LJi#?^)64aTzTF#NTo_2}F01x+Ur!Qn%3)nhUbI zAe*ahiMof>ZM0HzK{gj;bJZ~(9bxYJeq;8{CnhUbIAe*ahiMof> zZS<7pf^06x=Bitw?jdy>6>BcY=7Malx+Ur!Qn%65lG_7hb3ry&-4b;VsoUro%>~(9 zkj+(hy}F0gZS<_>f^06x=Bm41-9zd&dQNg9KsFa-bJZNZ-VxgeVhvbpM(sC!7=MlVWk z50K3T*<5wkt9wY@Mr$<}WOG3_SKaNPE#5P}(o5pD2l4C^4`S{Nbyulds&1uqnhRp? zAdt;fca^%O>Q-8>xgeVhvbpN6QnysyN*gp6WP1kLTy?Q&63*_#N4YubmywOLESy- z)_GZTLCj4A*<5uus9UCPomVs$WOG3_SKSTjmZ@83i{^rCF39GpyFuMO>ekt+xgeVh zvbpMRP`6CoI)B$(kj(|zTy;07Tc&QEZJG;W?o}Y0tL_GM%hav2U2{QpT#(IGcZ0fn z)UETX=7Q|FAe*c18W3N*KUBBQYm(aqM0X6x=Bm2^#L9crt@FC%_5|5+K{i+24eEXg z;t|{A4atoIF*gUq+(*>ip>DalO?GH5h`E^{o2%{)b<5Rl@}}m3?6@GCtL_eU%hhdC zs<|MW3$nTD?ohW}-6lIV7i4omHdoyp>K;(H$y=HWvhxMmTy?jBxCg&dx5?X@3$o*a zY_7UH)IFeXlXo;1WOG3_SKS@zmaE(3UCjmAT#(IGcZa&=>Na^#b3t~#Ae*c14t2}b zZSub4_6Kn_CV*_Nx;sFud_dhMyCgRbWP1kLTy=M-dqCYLA80Pf=7Malx;xY@SGUQB znhUb?1=(D6cc}X_h|iIpU)gT}F?WT!pQu}FkGNey ztUL*1-xa94UEQM~es;cOuY8*54XWzBXBY_Lr_amP%~W@ax--;$NZrNiKBewC8V zkGhA{J?&%Zr7?)Tv;wi04(j$(cO)p?>p5NB>7dG0%y00%9n{KmUjlKyUu)b&W%6k; z3B)lnK>Tb~0AdG)Adc}8$X3y~i$2jRAX^1wtAK135UXqgE%v%P2;yEn>mPD7MS|#N zf#}|;?k05)s(aQx$qfNdphPt5Ogve*=p4da3uRxbYym86djz)Gbx_TXiq^ zOx9%}h-0LH*h?0O>oQ&4d(~a2?lN^>Quj3wS8bQN->Lgg5G$Ylxr`eGaopA*x|e}? z983Ul4?YB9r_Y0!u>r)4?dt9av4bB}JNpaCs1IUB8xY4B0b=Ds5Lfak5La?9h#h>U zai{N>dS`)HZ=q@zeJPde8uyvD=u_`1n=39e6q)m)h-6^GodU^Pb&~ zsm8~9?RIQ+KE^Ll@_rJ{=@~zt+HG1*KE|Qv{iL4B?N@f2brv7*x7&lBJ+iUFhH@m&59`)1D~@CTerLDI zofubaw`ra2W4qmNx2^h9zuIo^v)gy-v=;c z4n1n-U?2sRS}B<&qT59&Ldi5lH(qI!Qle6tQjXFzr2?hdN`*@Em5P*>D-|oPQ7Tc| zrc|o5OQ}q0zf!r<5hW~_on!<|`E`Emta5TBB5=v`wj0X_r!&(tf3Kr6WoK z?_Hhu{8g&0WL_QZ^H-^rQixI)B^=oJDev{Kx4{Vd=h|(!-P*hfN9^N(Uyryu;+GYN z-WoJEp7m!xYyD<+`yjU^H`&Lw9`XX-&oO<8e%Qur0W`QKYvl6RL4L`!V(*TNxKYIAQ3IVfX)P0HUJD zc&~tiVur^XeXwhS`}d3Ke~jdNIC5~T@3B0H&dtrv?H0UZL{@rUdS zh>Gx}+?3$p_~9{wV#Y*AwGH-<>DNDqsMx_H+XrK^^750i#-(%%HqQ*4sD-erf_-8K z_U%1*#PEbcBVvaq^mQsbjijDrBVp}BLPAXIox%;BLKhq5Ec%!>Pt-(5uZftr(1iHtp)rG_PCWX_?kioVQ0%Ca+gY7#17Uwv1D$OH34Mn~ z4@nplIqE-Kf-ao7IbcIWI(0h9Rp{bxA|~!XYa-Oy5hvL}SFZy+X+sjCVlIz~!twYY z_8`xh(6BBi*F$KC)5D12qZ9g!?i2eTK6%YTgqocZ(!OiguK)fC5sEV?PG8D}LU|HJ525yWIPuYte3EBzZy%T=;orW1gz~(|k^icrfTu;ThrjG7 z;F;0u;V(N1cydG!VgKu+fM-OG{8t?XdQQZP)Boaq#0ky?VR}vsOZcmff-pTNcK9D3 z1z~z7#K?cqQ4pqQ!VZ7kQK09<4u9QIpy$N!gg%kO`b0+k!AtYWzE$jhW~X(p`H)J|FWa7gPspN{*RA>4tf^E$bZpM&_U0K z9sjbUpo5+dJN|V?fu0XL{jZM#Jqu#wzv?K^GhwH{?kLc6VyD0EDBw9UzHiij>9c!y zNB!K~k@)XlmOAjHSkc7)$DiGCJu!B$cfD|oy}%R~li*!;8qu3VY-HR}@0!!_QRd6+ zK*i%Y?>giO-ercHv+CeM@zHU^B8SHe{)4LzC;P%arvKnrTsG`IqHjV>T-#vusNvB= z<050tOMOfn`QNW=I8L{?5P{F)|HWr`NT-t+7)SQd=)MU9VuqvF&=Y^`{nHo3PV(|Y zI1cHG!NdN?gX2&hHY_3fKivKQ>V2I#9_4y>!q8~%8fjd#{c6OR_`&t=1ha)5XST=K z75Q-^y-Vp)Cdm1beAqtg1jl_x9QT;o+pFnjdlDDZJK`P3u;GzIhmVL)7#SHe z{KQA($(|YhzqEP%pDKr2RAi% zKlawYfW1Y}yCcFF_LjeZ`1TgP!0Aj2)UsQNt7acd-RkzSy%kXFi*9cL3`kw6C;is8 z`E~5}9J{S+w~~LZeO%9O&$HX}?Y6$%+FSMl_Ex=sy+tozZ_NwXTkrz*mb*Y>n-{d( zCU$$V-8Qw`W_H`$Zd=%`eHr!c@ibCvtJ_=fygSN_WN*Rqevg59Y;U;>v^Nj%b1650 z5W5Yv+c3Mex7G#1?PGh(obD%k%Urn5cw-W*gh*a_@7D@6DYt z>`&i>;oc1sBL*dS?=MFT?(Ka(8W`J0LVVlk*gm6;&?jLughv}b)_YUVj5$_%Le-tmrra83C|IM*${%_7%=KtngWBzZB zMf3lnTkOsUyFd2ORO$Fvd%Q1;$;z3W9~_sFJ#J!f9A3$@vofZ8uhdi1^T(y(m2zrY zN>*^_u;D#I+nbl*n7Colv3L_3%umlu2{uP6UjN6tan74!^Nz-UpO0zg_&#t=$h>u^ zxPitSNN=gY%beVOlN!vzjW&2i&qmjHna?+A85!AA(Lt_hB-q>w!Am>AB#xcV&h4Av zn7B)EmxAwA{{%hZ8qo=Q(z`7FlAiF%+VnIoDPx>@kA*qoZFMj;H)Yb~l&o>ndtl0g z(ynQbAheeG{Vc*d@a{GSj>xv1Hfnn78d*IMah~VDtXUX$psq z_g34y5$twMWRKu+Z{#iww(?)7Xv5tlYmGN(@fj&edDzp*6U-Y)Z>P(>S(sq?cZSFgu~*KxHs&7IF@gy6OV+C z-T$SD`3F1x%xP}+a6jNyEM)s&9G?EcsY&TvIqx%PUi$bfEZbOq49!ZJmXD83Ny(Q^ z%}vM0#B7`6Mny(N4eilGeqdW7($-G|7r+%Z}^)1@Duc|1_lCn-_hGFao<0BLuc3DTX$SQ zac+?9z;6tEH>aj0nGe@^laP~}JswM&X%@d?W$kOq)U@<*X=aCIPw_4qr1)NYyw@H7 z3OPrz?MF5tn1JDb(>`C*kLh2(b#XpL|K}6%j<)8wg6LezagR#roZHZo>|e6N{$zZI zKN%nXC*wQ*$@orxG9LTYeqNCkIPvyG$EP_R`o8q|ukBoSf4ckmgeMDg$B*xsi~~O} zKRYLfuV;ED9GYU@XTdaY;nH)>`P#hQPIumvpXf5-iBH4kW*=wJFjM!xI_U8yVsmd# z{4ieQ#7lDel$4-*c{P3*&Xsx=)7M?RpEEi7O3y@dAHDbKBReYv0Tr44r`3tq8~fe9 zN7NU*_+e3lgfyFd(1dd`}o4j$Sqm^?XudcwHr<1)-YG4L*Zrf1=wDx@cyF!`()aRc9|*_<42_V^so zs(Es2)4O@q8k>?o)tuMO{rl!i5A#Y#^sP`J&3^p6-u5B2<=Tst_1cjEf?WM(H%&cNsUOtbeV=b0yN z5{}Wdq$%myxp-3xKPAKakYK-pFyCA7ZO7E~j12FP&dE&~m!6k`OB{^O!zB;Cy*5SCaA!b} zZ`fV6#&LPa$CykU-u|>eYI>%bZUF1;%`-nQD_wdywLP^0r&i$93Y=PjQ!8+41^#cY z0Nwuxoc0&>eLFHT7gq5aB2lkt-v3zfV~OMTxS>=IW#UNu3tC(J`dL$%=H%B zZjqOsEMMA~OSO@~k>*Q7@2bbiXZ8Mnl@%Erif<{+1b(+af3DZw%n$zSD@z&KNy+A_ zQhHW;emX8FB`4)4wQrBNMskzjAFtpk=hOe^=Dmmg-n0+? zfo2STLt9L2bV6L@An%_GrDW#hPj3>a_)4cqUWj*_eXv{fAhR@)aS7388ynNt`@Us3 zYM93feawFYf${j~FJ_yPlN~hM%oKjZl${&zJ?@6Dd5u0aI@s21ZTUd+v4KB&hhyH# z$orb~Yj}^>xa`cF417uDUHdV0yd`xOe}nXhHq=i}d#*#L7(c$G?R@Hd^3?d`z4NxZ$e z5@BO1dodCCXUcN(uu%em_PG^7=HD<^sgyR>Gra#8g+Ep8wo)Kye@}$`>wROemi*p< z3dOtBVlx@z-OTG#X5mpe{$Ek~K0GSH6e^U*pcJ4$h4Ks(Gn)!!6BP5SDJqmwqu3vg zepnu2OQjLU$vMreO^&mY6#DRTdRl&zU-Bxys9?j!${Z)T!mhd}8Y0pR!lfF@H}+ez+Pn zu?g08V=jPF8^5rjBCE9tJ;znLKq>br{h*ZL0IA3tVzTTH@tWfl^H*Nvhxi^gIrt}v ztb_N;_!m49A$zdwxGeK`UgU>p{$`8(P#I)zL;gl#e4!Kr=?rx z5R`&eu2Km{Wln2XsRJdkjjJ>y8z5<{g*yK9o{l%s!KKshjn!iSa4_G%+~iR8&3#&!keHQWr`*P7D<>jiJa7d+vXn zl6Rc)G?Wtj=7)+p>!F0;cVAQ}+o2TUmzPv1??S1KUt3b4d;+Bazqq7AIRM4{-IogG zXDEriUF9^K4~n8(r4E#`zOK>`N<2<@6?IxeDZy{$s8BjW35j!+NGL_|t}+Np?P0Dm z8cM+kS4n|VKGIbtK}j6rD%V3Pz0y@~gA$S8D)XQeC%MWaP=d*>@+6ePR9ATsiunVE z74zBxB?tdLqe9sUr7Y7`_CN{dxXPDM5_4VU2Ph?YhF8?7gtKfA|9GQ9ISWeSbXREr zrRZ8$X%3|f|3s=HD-=rY0$1q)CFdqriGfmjv#Sh;B0oGPRlJ_lT*d1-$5p(Zr@4yP zbAhXPJEQJ|-&MSxi(JL)dAX~2Jr}!**Yg@z@p>+C6|d)QuHyAv>MCB( zyIjTVxy(_*y`J~Giq~_wt9U&haTTv;9L%~0!@ZuXxr*0wZCCMnuJ0;d&p}u5dT!+^ zUe6(p($O2Mi>r8JMYxJLR)1IV#)@|pZ>&*{(uv29t9X^ozj2gfwUbvl$5p(_=Dn20 zc$EuO!O{gD_dVl`QUWDpd?hSn5SdxyJ9#smtyx*)J9~SlP!*H)ny(IGywzClizz=g z#@mDQH3nJUOp8=8mFrf+8R;77$0&`U$dBo;v$q<>ngzvMjWw#6=uXHgxYn%`>8oR6 z5`7Blcxzaqb)a}_xXo3(^(l3fF5dd=QpHrB>#J;()yFAcAE(s9`{F>0)9t=6S#6DC zf6RWxH?GGilaEv88)cPS=Y`{9-aAe)@4?HTakCm5ufnLW;c|QiIttXe{N!KaFy?l%W8qo1Vz4>Fes%3ZdOky^246TnwXp1m`o^TGhJnx zFDq+&Sco|k%6xnIj$>oI7qI;rgDmd_tlX!Vr@;}Q;yn$}n(2YpxQ&=c?fAqL^8jr(8Ad&?x39 zSKFtUCr^D<@E}kVb>cskIz~AUiu{<_1_EJ5`NWOs0i}HRY4%7kW0|L1P%A_6UYJ|? z6cZDo3LXTmK%KI4+@o$fl+v26@&J^Gdam+3l#sJs^`Oy#{MD@hdeQZ~ zm9bC?uXB}56LW*BOoJjn9INO!<(cD@506uRgpxSJty~G8unT6o%9&6Cce%>>P)ZlM z%EeHEMXu5gO7SDE(#6E!<7UM>*gjC?hqFk7691CKn0;Z6%>KGBjAD+KcvVb(91(f(}+^pxIlwap6 z8=-{U;3}^|DZ5D&tWQVt;+>=GV-)kuou-QE<6UGG+$&i|DT5Mtz*WA660yKlel#)m zhqI_x8^`lPH^y953jWPiE`d_`h^ush5?Jgikx+7$x=JjRvgNKa5=#6Nt}+%%$&;>< z2_^AaSD9v%jjl4&D6hH7T}HvBk&5H+L8IW(NQJT(N<_Jw6XEYudG zoa-t(p_J8gl|4`j&UckBp#&Sa$`4RVE^w7fbFz4Cp_IqE z%Dqs^u5y({P(sGJ$_gk2X|D1dl+sLB*$5?w3r-cQ@hX&@X|D1vl#=URr3^}-z*WA1 zQa-~~jzB4!=_*yv!RWJGr8bm^TV3TMD8;i~r4^Lm99Id4l6Z%!L_jIL(^X=j#NXvA zqfFM_u96HTkes}w;gTjDA! zp_DIml{HWjSGvk8P;#Dgl~O1rn_cB2C`H>`Wj~bCQdjvNiu~{#)vPYoXQvwz0!4lp zGx|8?1}MP<_g&M&P|8NS$~q{eon7TaD23fc!K!u&!$7lrtNJry$_KhJ=ACDuFQ)#v z(w}(;hC1E{!uc9w6!U6cH*E^C>qSS7$zyh?m?ti=hNxag|~ygoQlVaz2ih?yhn+lro=kA(TQ~x~^E&mQX@^x=IHq zr9P#XiRtCW41`h^;VL7cBu2W*I4I>lB^ydkZ#U*zD1kn%ax0VqpK`B>iE?B92Bpxa zJOL#b?Z&(SrO2ne3?-zm8}lZV5}&dgN_;;z<_jogs$`At5Ms^}<+^u_(gDg5pJI-L z04`BL#Ky#Q0##GR*oRSBe$27aA*3@N*47v(-gT1tKE<3@gQ}SPUyrR5YC1IES?cKC zRfsM=r6XcOR55ifsc-(vACrmS{(YR1aGY{Il+wQPEHGKOK}n2pm3bz{r#u3sIM$7M z5=zJ*S9#II_>?UsCeDr7X<~fJ9uqUzjrr2V_>>=@)Q)#!DmB27=~K>vQtDG0KnWS* zW;KUW=u<+Wl>3w(P~wNWSus$GeaZ+ZwTHPeNlU+F3zKnY23mCvBWk9CzpP)dBtuTY9I z-I(h5C5na9T&14*wTg#aB^*lP53Vu{O6g2jNiZ?5yUGnviuSq6!%zaVU1fz)cDc$n zD8>6-DD3qLYTxAuMGM};*N=ZF8W-FA4^IYXE6XR3%nwaz5 zn6FHXPx;Zr)OTYlH$;q2sRbq2z>T>8N`X&l0j12Rgh7e8z|HCjrO2lYfD*XSjkz33 zqE8tMrNpOXK?z>uW?ciNz^B{-rOcdGPx(8Pkj8Gz zE|X<{xZi&@O3;m|C4a;R24gxvDfh)hK`CqEW{rSSdaOW9IioQYe3@2pJlBO%e5tE6h9W=gFcwOD zs2ej1N_huYNrqAy?kYJ@O1itsbw=sqDzl*^4s@0Kpwz~fdlelPK`9#TDl4IsC%VcS zC?RRC@(Pr)ELSOoQj9MND=L3vVy<m^qi4kcuht0Y3n+2$%4P>OcA$}}j2_^Pv_ z!<(U$?sb(yD8bKNWg(Q31Fo_hO8gJ5@*I?iUtOidC{=4XW4#WgyoRgnGD;m+`4mb~ zeOD=mQW$iVU!WAUa+PXLus$KKaxRqO&aM)K66obBZH>~`Rl1n0SXYUHk~qv&;-M6b zc9knlR)VXfnXFV-$v0UUu2NuPa$V&PD8V0GWj>Vnsx_T8Tnwe;3|A?J5>nGu)nhuzl%4M?@0hF$U8M|4(M7KE4V0Y5u5tuQJicVEIDV>LY@Y9~QX5K1M_0KBN<>#z zX$7TrA6E&7QZ&R>BA|pMx=JjRzywzr1*Ig*Rgz826j#ZC5^=q&TxVi#a+TRoLT0IFyLRu99f7 zmbglWiCO9@(@e}VSGgHVu}>+462IJySqP=}3RhVUrPQZ9XR;o5V@gbnPkG(MJmJRd zGBG~oQxmh&jVU)VKIIn^^Q0S7tr=o`%DGVDSGh4k6XR3bni$;LP;vZpF)=OpH&-H!;t+F$E^Zr`%y;o^@m9n;4(6*u*^N#uS?vpR&%xJnzPA zGci8p9TT(KjVUuRKIIz|^MV_5#KicNs?8Cz#*L{BrPQZfWMW=)V_KOQpAv3j*19nf zP|AEtER;mtcv7*yMwu9&l5AquxiL8=#;06oV%EDcvrUXoxzEIGaAS&0j89oGiyPD5#Q2oqCT6P}lW1amN`{HSZ9x_LYnqAiDL0##ZEj4ViSa25P0V&T zX1R&+DbJahSKXKr6XR1}H!-idF}qBRPx;iuyza)7LkYa$D!)J}@F~?=B4&phb1swu zpAs}NZ@MvUO^i?JVq!|&m?#tDQ{qj`PB-RC6XR3TOw3zuOumWnDFr45w`f)DojXj7 zPnmCG-f?3Vn;4%`Y+~MZW7e4%pR&!wyywQeV`6+tnTdJdjrqpJ_>?0iW|tdNwH0D~ zN^K~C58RlGOpH%yWnw;bW5P|0PlfHLQOaE9Iiq~yDkV^Y|8SMpO^i?3Wn%WZF`q&y@G0d`0-w4u zznB=GQmr*&K67Kvg%ba{s|2A$eBml>p_Hi71&{LaM=JBoU&_hOO2CbM2?^<$ISJ_# z(-Wp-WRFisnUa!~pMbxlm|^~?%&{*1tL;55vO!4?FrFcJe>$eC!b8Q}PqM>EX90VsWzCb! zzZ1ZQruE%uJ)xYCAgoWyC!|?APj_LA*i_7&)NW~w|baFd7d~)k%>*V2YEhgmU z;4kQ;PPa!$GX8b19FJigyH;>#r`yh9j^4rHieotp|E}QJ8F_!VE5Rzd9>@c6#LPx+t9e*?I*U;?h5Vt!v#?W?XJ+SKU@%H z(C#YQ%`eezeu;L6+uE(}a6>z`quu-x?G87zqfE4${qg_UAMN^`?1|9~T(~*s0FB}E zrVb?kPV!jW-MgL0{qwo<+uq&$_RXZpf&NassF|k){6nX1t-GynMP?qdIUOB9&iuh>*B{ePeQE4OASyim@_7I6uD`A?@9tk1ktfj75iQja6<7Q?# z4+{C0$=zT2$B*j;)O;`!$Hwl3wRqMs?v2sPF5*W>Sew7s6%jGNJ!8l7>OI~It})EK=Qt<`AF zb?C&sAE@{|u7CXQ@06RA7;)m?g&Z4|=&M9u{WE|T^wnP#{!>HS{ZId( zLe!5-k+>9pA-FZ*Zvu~-(HUrKf1!6Q5S?*hJ?@QxgNXIFY{vr88Wb!9Ec9zm(c$cn zZRf~DA^6AqC4+$35jfWM6^OQNu^Z|b;uzZ4qAO6wo?x|;K^H?7uVNx0ilK>DF?LYI z5XAma?bt-LmLP`{xNr!Uk@JZF?tgJ^SEzj^OJ z&;CzQGogJ`iL*jWdebBP;^AeGu9hpmEFb;~>Ni>MIYdip$6?7HQYXXm`uX-ZQ=Clc z;-lDRzPR;8)yws8?fq-pR80joS!k1vw_;ITz{XZM7x*%%H8fqHXoQ z=hH9$zI5wtqM(FmN>CjID|rPXs6Yi3$e;q}EpXn#bNauOv_2Gwl7i@75Z(*odqIFN zi0}n)C~)=yXDHQwTxNQf`a6#i0S6%{_4e0G*vfIB5j zUd57fh3u`+y%k1QVPq8xxK%N1Fo+7BTOo8SlxT&qRv2rAu~rysg|SxX(F((@h-wv4 zts<&bk7~~mxF53E{c-DNyX~PA?(0C)!fkouiwdLRCycKEr3sEAz^VYN0;~$KD!{4$ ztAYewa)3dgR|Ki3l)$riGTNL~{AO8fhZq-RnSwM^;KTwa7C5oMiN!(4n>Y^7=esn% zgZgb;(`fgp_J_l6yC3A)^J&~2CiCev&g*ZtMb5ZIJgMa~^mLkmJ(C^JHA4r{wL&>EKJ{k7eXKm`F61XK`EK|loo6$Dfe zXk9`06NEnjK?SrEc(p?JDke)YS&H01F>wm@sK{3p^P#>nn1J*g0*1TNf;pzfdvs*5jhmmLJ=Vp z5u(FCt}3{y;HrYF3a%=+ zs^F@Es|v0vxT@f)f~yLyD!8iPs)DNut}3|dIy-CtTvc#Y!BquU6 zaMi$716K`PHE`9yRRdQIOfzuRz&8Wm3|uvE)xcE)R}Fq@;HrVE2H!XMzCkG(eB8hR z17i(J(ZE|n0&ZZhA!9Ib)xcE)R}EY>aMi$716K`PHE`9yRrANc8cz(oGVsd4D+8|# zyfX00z$*i<47@V%%D^iFuME8Eybn{Mbv>QOzIW(X$9{ox2F@8cXW*QHa|X^CI5+%b SS{t+BztY;afq%n)g#8B#+r{Pp literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..2f9f25d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c @@ -0,0 +1,680 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" +#endif +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" +#endif +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_244(char*, char *); +extern void execute_245(char*, char *); +extern void execute_246(char*, char *); +extern void execute_855(char*, char *); +extern void execute_856(char*, char *); +extern void execute_857(char*, char *); +extern void execute_849(char*, char *); +extern void execute_850(char*, char *); +extern void execute_851(char*, char *); +extern void execute_852(char*, char *); +extern void execute_853(char*, char *); +extern void execute_854(char*, char *); +extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +extern void execute_332(char*, char *); +extern void execute_335(char*, char *); +extern void execute_6(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +extern void execute_10(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void execute_18(char*, char *); +extern void execute_19(char*, char *); +extern void execute_20(char*, char *); +extern void execute_21(char*, char *); +extern void execute_22(char*, char *); +extern void execute_23(char*, char *); +extern void execute_24(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void execute_32(char*, char *); +extern void execute_33(char*, char *); +extern void execute_34(char*, char *); +extern void execute_35(char*, char *); +extern void execute_36(char*, char *); +extern void execute_37(char*, char *); +extern void execute_38(char*, char *); +extern void execute_39(char*, char *); +extern void execute_40(char*, char *); +extern void execute_41(char*, char *); +extern void execute_42(char*, char *); +extern void execute_43(char*, char *); +extern void execute_44(char*, char *); +extern void execute_45(char*, char *); +extern void execute_46(char*, char *); +extern void execute_47(char*, char *); +extern void execute_48(char*, char *); +extern void execute_49(char*, char *); +extern void execute_50(char*, char *); +extern void execute_51(char*, char *); +extern void execute_52(char*, char *); +extern void execute_53(char*, char *); +extern void execute_54(char*, char *); +extern void execute_55(char*, char *); +extern void execute_56(char*, char *); +extern void execute_57(char*, char *); +extern void execute_58(char*, char *); +extern void execute_59(char*, char *); +extern void execute_60(char*, char *); +extern void execute_61(char*, char *); +extern void execute_62(char*, char *); +extern void execute_63(char*, char *); +extern void execute_64(char*, char *); +extern void execute_65(char*, char *); +extern void execute_66(char*, char *); +extern void execute_67(char*, char *); +extern void execute_68(char*, char *); +extern void execute_69(char*, char *); +extern void execute_70(char*, char *); +extern void execute_71(char*, char *); +extern void execute_72(char*, char *); +extern void execute_73(char*, char *); +extern void execute_74(char*, char *); +extern void execute_75(char*, char *); +extern void execute_76(char*, char *); +extern void execute_77(char*, char *); +extern void execute_78(char*, char *); +extern void execute_79(char*, char *); +extern void execute_80(char*, char *); +extern void execute_81(char*, char *); +extern void execute_82(char*, char *); +extern void execute_83(char*, char *); +extern void execute_84(char*, char *); +extern void execute_85(char*, char *); +extern void execute_86(char*, char *); +extern void execute_87(char*, char *); +extern void execute_88(char*, char *); +extern void execute_89(char*, char *); +extern void execute_90(char*, char *); +extern void execute_91(char*, char *); +extern void execute_92(char*, char *); +extern void execute_93(char*, char *); +extern void execute_94(char*, char *); +extern void execute_95(char*, char *); +extern void execute_96(char*, char *); +extern void execute_97(char*, char *); +extern void execute_98(char*, char *); +extern void execute_99(char*, char *); +extern void execute_100(char*, char *); +extern void execute_101(char*, char *); +extern void execute_102(char*, char *); +extern void execute_103(char*, char *); +extern void execute_104(char*, char *); +extern void execute_105(char*, char *); +extern void execute_106(char*, char *); +extern void execute_107(char*, char *); +extern void execute_108(char*, char *); +extern void execute_109(char*, char *); +extern void execute_110(char*, char *); +extern void execute_111(char*, char *); +extern void execute_112(char*, char *); +extern void execute_113(char*, char *); +extern void execute_114(char*, char *); +extern void execute_115(char*, char *); +extern void execute_116(char*, char *); +extern void execute_117(char*, char *); +extern void execute_118(char*, char *); +extern void execute_119(char*, char *); +extern void execute_135(char*, char *); +extern void execute_254(char*, char *); +extern void execute_255(char*, char *); +extern void execute_258(char*, char *); +extern void execute_259(char*, char *); +extern void execute_271(char*, char *); +extern void execute_272(char*, char *); +extern void execute_273(char*, char *); +extern void execute_274(char*, char *); +extern void execute_275(char*, char *); +extern void execute_276(char*, char *); +extern void execute_277(char*, char *); +extern void execute_278(char*, char *); +extern void execute_279(char*, char *); +extern void execute_280(char*, char *); +extern void execute_281(char*, char *); +extern void execute_282(char*, char *); +extern void execute_283(char*, char *); +extern void execute_284(char*, char *); +extern void execute_285(char*, char *); +extern void execute_286(char*, char *); +extern void execute_287(char*, char *); +extern void execute_288(char*, char *); +extern void execute_289(char*, char *); +extern void execute_290(char*, char *); +extern void execute_291(char*, char *); +extern void execute_292(char*, char *); +extern void execute_293(char*, char *); +extern void execute_294(char*, char *); +extern void execute_295(char*, char *); +extern void execute_296(char*, char *); +extern void execute_297(char*, char *); +extern void execute_298(char*, char *); +extern void execute_299(char*, char *); +extern void execute_300(char*, char *); +extern void execute_301(char*, char *); +extern void execute_302(char*, char *); +extern void execute_303(char*, char *); +extern void execute_304(char*, char *); +extern void execute_305(char*, char *); +extern void execute_306(char*, char *); +extern void execute_307(char*, char *); +extern void execute_308(char*, char *); +extern void execute_309(char*, char *); +extern void execute_310(char*, char *); +extern void execute_311(char*, char *); +extern void execute_312(char*, char *); +extern void execute_313(char*, char *); +extern void execute_314(char*, char *); +extern void execute_315(char*, char *); +extern void execute_316(char*, char *); +extern void execute_317(char*, char *); +extern void execute_318(char*, char *); +extern void execute_319(char*, char *); +extern void execute_320(char*, char *); +extern void execute_321(char*, char *); +extern void execute_322(char*, char *); +extern void execute_323(char*, char *); +extern void execute_324(char*, char *); +extern void execute_325(char*, char *); +extern void execute_326(char*, char *); +extern void execute_327(char*, char *); +extern void execute_328(char*, char *); +extern void execute_468(char*, char *); +extern void execute_474(char*, char *); +extern void execute_475(char*, char *); +extern void execute_484(char*, char *); +extern void execute_485(char*, char *); +extern void execute_486(char*, char *); +extern void execute_487(char*, char *); +extern void execute_488(char*, char *); +extern void execute_490(char*, char *); +extern void execute_495(char*, char *); +extern void execute_496(char*, char *); +extern void execute_497(char*, char *); +extern void execute_498(char*, char *); +extern void execute_499(char*, char *); +extern void execute_140(char*, char *); +extern void execute_168(char*, char *); +extern void execute_458(char*, char *); +extern void execute_459(char*, char *); +extern void execute_460(char*, char *); +extern void execute_461(char*, char *); +extern void execute_462(char*, char *); +extern void execute_463(char*, char *); +extern void execute_464(char*, char *); +extern void execute_149(char*, char *); +extern void execute_150(char*, char *); +extern void execute_151(char*, char *); +extern void execute_165(char*, char *); +extern void execute_166(char*, char *); +extern void execute_167(char*, char *); +extern void execute_390(char*, char *); +extern void execute_391(char*, char *); +extern void execute_392(char*, char *); +extern void execute_393(char*, char *); +extern void execute_394(char*, char *); +extern void execute_395(char*, char *); +extern void execute_396(char*, char *); +extern void execute_398(char*, char *); +extern void execute_399(char*, char *); +extern void execute_400(char*, char *); +extern void execute_401(char*, char *); +extern void execute_405(char*, char *); +extern void execute_409(char*, char *); +extern void execute_410(char*, char *); +extern void execute_411(char*, char *); +extern void execute_412(char*, char *); +extern void execute_413(char*, char *); +extern void execute_414(char*, char *); +extern void execute_417(char*, char *); +extern void execute_419(char*, char *); +extern void execute_420(char*, char *); +extern void execute_421(char*, char *); +extern void execute_422(char*, char *); +extern void execute_423(char*, char *); +extern void execute_424(char*, char *); +extern void execute_425(char*, char *); +extern void execute_426(char*, char *); +extern void execute_427(char*, char *); +extern void execute_428(char*, char *); +extern void execute_429(char*, char *); +extern void execute_430(char*, char *); +extern void execute_431(char*, char *); +extern void execute_432(char*, char *); +extern void execute_153(char*, char *); +extern void execute_154(char*, char *); +extern void execute_155(char*, char *); +extern void execute_156(char*, char *); +extern void execute_402(char*, char *); +extern void execute_403(char*, char *); +extern void execute_404(char*, char *); +extern void execute_163(char*, char *); +extern void execute_164(char*, char *); +extern void execute_633(char*, char *); +extern void execute_634(char*, char *); +extern void execute_635(char*, char *); +extern void execute_644(char*, char *); +extern void execute_645(char*, char *); +extern void execute_646(char*, char *); +extern void execute_647(char*, char *); +extern void execute_648(char*, char *); +extern void execute_650(char*, char *); +extern void execute_651(char*, char *); +extern void execute_655(char*, char *); +extern void execute_656(char*, char *); +extern void execute_657(char*, char *); +extern void execute_658(char*, char *); +extern void execute_659(char*, char *); +extern void execute_171(char*, char *); +extern void execute_199(char*, char *); +extern void execute_620(char*, char *); +extern void execute_621(char*, char *); +extern void execute_622(char*, char *); +extern void execute_623(char*, char *); +extern void execute_624(char*, char *); +extern void execute_625(char*, char *); +extern void execute_626(char*, char *); +extern void execute_180(char*, char *); +extern void execute_181(char*, char *); +extern void execute_182(char*, char *); +extern void execute_196(char*, char *); +extern void execute_197(char*, char *); +extern void execute_198(char*, char *); +extern void execute_552(char*, char *); +extern void execute_553(char*, char *); +extern void execute_554(char*, char *); +extern void execute_555(char*, char *); +extern void execute_556(char*, char *); +extern void execute_557(char*, char *); +extern void execute_558(char*, char *); +extern void execute_560(char*, char *); +extern void execute_561(char*, char *); +extern void execute_562(char*, char *); +extern void execute_563(char*, char *); +extern void execute_567(char*, char *); +extern void execute_571(char*, char *); +extern void execute_572(char*, char *); +extern void execute_573(char*, char *); +extern void execute_574(char*, char *); +extern void execute_575(char*, char *); +extern void execute_576(char*, char *); +extern void execute_579(char*, char *); +extern void execute_581(char*, char *); +extern void execute_582(char*, char *); +extern void execute_583(char*, char *); +extern void execute_584(char*, char *); +extern void execute_585(char*, char *); +extern void execute_586(char*, char *); +extern void execute_587(char*, char *); +extern void execute_588(char*, char *); +extern void execute_589(char*, char *); +extern void execute_590(char*, char *); +extern void execute_591(char*, char *); +extern void execute_592(char*, char *); +extern void execute_593(char*, char *); +extern void execute_594(char*, char *); +extern void execute_217(char*, char *); +extern void execute_223(char*, char *); +extern void execute_664(char*, char *); +extern void vlog_simple_process_execute_0_fast_for_reg(char*, char*, char*); +extern void execute_666(char*, char *); +extern void execute_667(char*, char *); +extern void execute_670(char*, char *); +extern void execute_671(char*, char *); +extern void execute_672(char*, char *); +extern void execute_673(char*, char *); +extern void execute_720(char*, char *); +extern void execute_721(char*, char *); +extern void execute_723(char*, char *); +extern void execute_724(char*, char *); +extern void execute_729(char*, char *); +extern void execute_742(char*, char *); +extern void execute_743(char*, char *); +extern void execute_744(char*, char *); +extern void execute_745(char*, char *); +extern void execute_746(char*, char *); +extern void execute_747(char*, char *); +extern void execute_748(char*, char *); +extern void execute_749(char*, char *); +extern void execute_750(char*, char *); +extern void execute_751(char*, char *); +extern void execute_752(char*, char *); +extern void execute_753(char*, char *); +extern void execute_754(char*, char *); +extern void execute_755(char*, char *); +extern void execute_756(char*, char *); +extern void execute_757(char*, char *); +extern void execute_758(char*, char *); +extern void execute_759(char*, char *); +extern void execute_760(char*, char *); +extern void execute_761(char*, char *); +extern void execute_762(char*, char *); +extern void execute_763(char*, char *); +extern void execute_764(char*, char *); +extern void execute_765(char*, char *); +extern void execute_766(char*, char *); +extern void execute_767(char*, char *); +extern void execute_768(char*, char *); +extern void execute_769(char*, char *); +extern void execute_770(char*, char *); +extern void execute_771(char*, char *); +extern void execute_772(char*, char *); +extern void execute_773(char*, char *); +extern void execute_774(char*, char *); +extern void execute_775(char*, char *); +extern void execute_776(char*, char *); +extern void execute_777(char*, char *); +extern void execute_778(char*, char *); +extern void execute_779(char*, char *); +extern void execute_780(char*, char *); +extern void execute_781(char*, char *); +extern void execute_782(char*, char *); +extern void execute_783(char*, char *); +extern void execute_784(char*, char *); +extern void execute_785(char*, char *); +extern void execute_786(char*, char *); +extern void execute_787(char*, char *); +extern void execute_788(char*, char *); +extern void execute_789(char*, char *); +extern void execute_790(char*, char *); +extern void execute_791(char*, char *); +extern void execute_792(char*, char *); +extern void execute_793(char*, char *); +extern void execute_794(char*, char *); +extern void execute_795(char*, char *); +extern void execute_796(char*, char *); +extern void execute_797(char*, char *); +extern void execute_798(char*, char *); +extern void execute_799(char*, char *); +extern void execute_800(char*, char *); +extern void execute_801(char*, char *); +extern void execute_802(char*, char *); +extern void execute_803(char*, char *); +extern void execute_804(char*, char *); +extern void execute_805(char*, char *); +extern void execute_806(char*, char *); +extern void execute_807(char*, char *); +extern void execute_808(char*, char *); +extern void execute_809(char*, char *); +extern void execute_810(char*, char *); +extern void execute_811(char*, char *); +extern void execute_812(char*, char *); +extern void execute_813(char*, char *); +extern void execute_814(char*, char *); +extern void execute_815(char*, char *); +extern void execute_816(char*, char *); +extern void execute_817(char*, char *); +extern void execute_818(char*, char *); +extern void execute_819(char*, char *); +extern void execute_820(char*, char *); +extern void execute_821(char*, char *); +extern void execute_822(char*, char *); +extern void execute_823(char*, char *); +extern void execute_824(char*, char *); +extern void execute_825(char*, char *); +extern void execute_826(char*, char *); +extern void execute_827(char*, char *); +extern void execute_828(char*, char *); +extern void execute_829(char*, char *); +extern void execute_830(char*, char *); +extern void execute_831(char*, char *); +extern void execute_832(char*, char *); +extern void execute_833(char*, char *); +extern void execute_834(char*, char *); +extern void execute_835(char*, char *); +extern void execute_836(char*, char *); +extern void execute_837(char*, char *); +extern void execute_838(char*, char *); +extern void execute_839(char*, char *); +extern void execute_840(char*, char *); +extern void execute_841(char*, char *); +extern void execute_842(char*, char *); +extern void execute_843(char*, char *); +extern void execute_844(char*, char *); +extern void execute_845(char*, char *); +extern void execute_846(char*, char *); +extern void execute_847(char*, char *); +extern void execute_848(char*, char *); +extern void execute_202(char*, char *); +extern void execute_204(char*, char *); +extern void execute_669(char*, char *); +extern void execute_207(char*, char *); +extern void execute_209(char*, char *); +extern void execute_674(char*, char *); +extern void execute_675(char*, char *); +extern void execute_676(char*, char *); +extern void execute_677(char*, char *); +extern void execute_678(char*, char *); +extern void execute_679(char*, char *); +extern void execute_680(char*, char *); +extern void execute_681(char*, char *); +extern void execute_682(char*, char *); +extern void execute_683(char*, char *); +extern void execute_684(char*, char *); +extern void execute_685(char*, char *); +extern void execute_686(char*, char *); +extern void execute_687(char*, char *); +extern void execute_688(char*, char *); +extern void execute_689(char*, char *); +extern void execute_690(char*, char *); +extern void execute_691(char*, char *); +extern void execute_692(char*, char *); +extern void execute_693(char*, char *); +extern void execute_694(char*, char *); +extern void execute_695(char*, char *); +extern void execute_696(char*, char *); +extern void execute_697(char*, char *); +extern void execute_698(char*, char *); +extern void execute_699(char*, char *); +extern void execute_700(char*, char *); +extern void execute_701(char*, char *); +extern void execute_702(char*, char *); +extern void execute_703(char*, char *); +extern void execute_704(char*, char *); +extern void execute_705(char*, char *); +extern void execute_706(char*, char *); +extern void execute_707(char*, char *); +extern void execute_708(char*, char *); +extern void execute_709(char*, char *); +extern void execute_710(char*, char *); +extern void execute_711(char*, char *); +extern void execute_712(char*, char *); +extern void execute_713(char*, char *); +extern void execute_714(char*, char *); +extern void execute_715(char*, char *); +extern void execute_716(char*, char *); +extern void execute_717(char*, char *); +extern void execute_718(char*, char *); +extern void execute_719(char*, char *); +extern void execute_211(char*, char *); +extern void execute_212(char*, char *); +extern void execute_213(char*, char *); +extern void execute_225(char*, char *); +extern void execute_227(char*, char *); +extern void execute_229(char*, char *); +extern void execute_231(char*, char *); +extern void execute_233(char*, char *); +extern void execute_238(char*, char *); +extern void execute_240(char*, char *); +extern void execute_731(char*, char *); +extern void execute_732(char*, char *); +extern void execute_733(char*, char *); +extern void execute_734(char*, char *); +extern void execute_735(char*, char *); +extern void execute_736(char*, char *); +extern void execute_737(char*, char *); +extern void execute_738(char*, char *); +extern void execute_739(char*, char *); +extern void execute_740(char*, char *); +extern void execute_242(char*, char *); +extern void execute_248(char*, char *); +extern void execute_249(char*, char *); +extern void execute_250(char*, char *); +extern void execute_858(char*, char *); +extern void execute_859(char*, char *); +extern void execute_860(char*, char *); +extern void execute_861(char*, char *); +extern void execute_862(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +extern void transaction_15(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_17(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_24(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_25(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_26(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_27(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_28(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_30(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_31(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_32(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_33(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_37(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_39(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_47(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1467(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1557(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1558(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1577(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1600(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1601(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_211(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1401(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[571] = {(funcp)execute_244, (funcp)execute_245, (funcp)execute_246, (funcp)execute_855, (funcp)execute_856, (funcp)execute_857, (funcp)execute_849, (funcp)execute_850, (funcp)execute_851, (funcp)execute_852, (funcp)execute_853, (funcp)execute_854, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_332, (funcp)execute_335, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_116, (funcp)execute_117, (funcp)execute_118, (funcp)execute_119, (funcp)execute_135, (funcp)execute_254, (funcp)execute_255, (funcp)execute_258, (funcp)execute_259, (funcp)execute_271, (funcp)execute_272, (funcp)execute_273, (funcp)execute_274, (funcp)execute_275, (funcp)execute_276, (funcp)execute_277, (funcp)execute_278, (funcp)execute_279, (funcp)execute_280, (funcp)execute_281, (funcp)execute_282, (funcp)execute_283, (funcp)execute_284, (funcp)execute_285, (funcp)execute_286, (funcp)execute_287, (funcp)execute_288, (funcp)execute_289, (funcp)execute_290, (funcp)execute_291, (funcp)execute_292, (funcp)execute_293, (funcp)execute_294, (funcp)execute_295, (funcp)execute_296, (funcp)execute_297, (funcp)execute_298, (funcp)execute_299, (funcp)execute_300, (funcp)execute_301, (funcp)execute_302, (funcp)execute_303, (funcp)execute_304, (funcp)execute_305, (funcp)execute_306, (funcp)execute_307, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_468, (funcp)execute_474, (funcp)execute_475, (funcp)execute_484, (funcp)execute_485, (funcp)execute_486, (funcp)execute_487, (funcp)execute_488, (funcp)execute_490, (funcp)execute_495, (funcp)execute_496, (funcp)execute_497, (funcp)execute_498, (funcp)execute_499, (funcp)execute_140, (funcp)execute_168, (funcp)execute_458, (funcp)execute_459, (funcp)execute_460, (funcp)execute_461, (funcp)execute_462, (funcp)execute_463, (funcp)execute_464, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_390, (funcp)execute_391, (funcp)execute_392, (funcp)execute_393, (funcp)execute_394, (funcp)execute_395, (funcp)execute_396, (funcp)execute_398, (funcp)execute_399, (funcp)execute_400, (funcp)execute_401, (funcp)execute_405, (funcp)execute_409, (funcp)execute_410, (funcp)execute_411, (funcp)execute_412, (funcp)execute_413, (funcp)execute_414, (funcp)execute_417, (funcp)execute_419, (funcp)execute_420, (funcp)execute_421, (funcp)execute_422, (funcp)execute_423, (funcp)execute_424, (funcp)execute_425, (funcp)execute_426, (funcp)execute_427, (funcp)execute_428, (funcp)execute_429, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_153, (funcp)execute_154, (funcp)execute_155, (funcp)execute_156, (funcp)execute_402, (funcp)execute_403, (funcp)execute_404, (funcp)execute_163, (funcp)execute_164, (funcp)execute_633, (funcp)execute_634, (funcp)execute_635, (funcp)execute_644, (funcp)execute_645, (funcp)execute_646, (funcp)execute_647, (funcp)execute_648, (funcp)execute_650, (funcp)execute_651, (funcp)execute_655, (funcp)execute_656, (funcp)execute_657, (funcp)execute_658, (funcp)execute_659, (funcp)execute_171, (funcp)execute_199, (funcp)execute_620, (funcp)execute_621, (funcp)execute_622, (funcp)execute_623, (funcp)execute_624, (funcp)execute_625, (funcp)execute_626, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_552, (funcp)execute_553, (funcp)execute_554, (funcp)execute_555, (funcp)execute_556, (funcp)execute_557, (funcp)execute_558, (funcp)execute_560, (funcp)execute_561, (funcp)execute_562, (funcp)execute_563, (funcp)execute_567, (funcp)execute_571, (funcp)execute_572, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_576, (funcp)execute_579, (funcp)execute_581, (funcp)execute_582, (funcp)execute_583, (funcp)execute_584, (funcp)execute_585, (funcp)execute_586, (funcp)execute_587, (funcp)execute_588, (funcp)execute_589, (funcp)execute_590, (funcp)execute_591, (funcp)execute_592, (funcp)execute_593, (funcp)execute_594, (funcp)execute_217, (funcp)execute_223, (funcp)execute_664, (funcp)vlog_simple_process_execute_0_fast_for_reg, (funcp)execute_666, (funcp)execute_667, (funcp)execute_670, (funcp)execute_671, (funcp)execute_672, (funcp)execute_673, (funcp)execute_720, (funcp)execute_721, (funcp)execute_723, (funcp)execute_724, (funcp)execute_729, (funcp)execute_742, (funcp)execute_743, (funcp)execute_744, (funcp)execute_745, (funcp)execute_746, (funcp)execute_747, (funcp)execute_748, (funcp)execute_749, (funcp)execute_750, (funcp)execute_751, (funcp)execute_752, (funcp)execute_753, (funcp)execute_754, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_758, (funcp)execute_759, (funcp)execute_760, (funcp)execute_761, (funcp)execute_762, (funcp)execute_763, (funcp)execute_764, (funcp)execute_765, (funcp)execute_766, (funcp)execute_767, (funcp)execute_768, (funcp)execute_769, (funcp)execute_770, (funcp)execute_771, (funcp)execute_772, (funcp)execute_773, (funcp)execute_774, (funcp)execute_775, (funcp)execute_776, (funcp)execute_777, (funcp)execute_778, (funcp)execute_779, (funcp)execute_780, (funcp)execute_781, (funcp)execute_782, (funcp)execute_783, (funcp)execute_784, (funcp)execute_785, (funcp)execute_786, (funcp)execute_787, (funcp)execute_788, (funcp)execute_789, (funcp)execute_790, (funcp)execute_791, (funcp)execute_792, (funcp)execute_793, (funcp)execute_794, (funcp)execute_795, (funcp)execute_796, (funcp)execute_797, (funcp)execute_798, (funcp)execute_799, (funcp)execute_800, (funcp)execute_801, (funcp)execute_802, (funcp)execute_803, (funcp)execute_804, (funcp)execute_805, (funcp)execute_806, (funcp)execute_807, (funcp)execute_808, (funcp)execute_809, (funcp)execute_810, (funcp)execute_811, (funcp)execute_812, (funcp)execute_813, (funcp)execute_814, (funcp)execute_815, (funcp)execute_816, (funcp)execute_817, (funcp)execute_818, (funcp)execute_819, (funcp)execute_820, (funcp)execute_821, (funcp)execute_822, (funcp)execute_823, (funcp)execute_824, (funcp)execute_825, (funcp)execute_826, (funcp)execute_827, (funcp)execute_828, (funcp)execute_829, (funcp)execute_830, (funcp)execute_831, (funcp)execute_832, (funcp)execute_833, (funcp)execute_834, (funcp)execute_835, (funcp)execute_836, (funcp)execute_837, (funcp)execute_838, (funcp)execute_839, (funcp)execute_840, (funcp)execute_841, (funcp)execute_842, (funcp)execute_843, (funcp)execute_844, (funcp)execute_845, (funcp)execute_846, (funcp)execute_847, (funcp)execute_848, (funcp)execute_202, (funcp)execute_204, (funcp)execute_669, (funcp)execute_207, (funcp)execute_209, (funcp)execute_674, (funcp)execute_675, (funcp)execute_676, (funcp)execute_677, (funcp)execute_678, (funcp)execute_679, (funcp)execute_680, (funcp)execute_681, (funcp)execute_682, (funcp)execute_683, (funcp)execute_684, (funcp)execute_685, (funcp)execute_686, (funcp)execute_687, (funcp)execute_688, (funcp)execute_689, (funcp)execute_690, (funcp)execute_691, (funcp)execute_692, (funcp)execute_693, (funcp)execute_694, (funcp)execute_695, (funcp)execute_696, (funcp)execute_697, (funcp)execute_698, (funcp)execute_699, (funcp)execute_700, (funcp)execute_701, (funcp)execute_702, (funcp)execute_703, (funcp)execute_704, (funcp)execute_705, (funcp)execute_706, (funcp)execute_707, (funcp)execute_708, (funcp)execute_709, (funcp)execute_710, (funcp)execute_711, (funcp)execute_712, (funcp)execute_713, (funcp)execute_714, (funcp)execute_715, (funcp)execute_716, (funcp)execute_717, (funcp)execute_718, (funcp)execute_719, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_225, (funcp)execute_227, (funcp)execute_229, (funcp)execute_231, (funcp)execute_233, (funcp)execute_238, (funcp)execute_240, (funcp)execute_731, (funcp)execute_732, (funcp)execute_733, (funcp)execute_734, (funcp)execute_735, (funcp)execute_736, (funcp)execute_737, (funcp)execute_738, (funcp)execute_739, (funcp)execute_740, (funcp)execute_242, (funcp)execute_248, (funcp)execute_249, (funcp)execute_250, (funcp)execute_858, (funcp)execute_859, (funcp)execute_860, (funcp)execute_861, (funcp)execute_862, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_15, (funcp)transaction_17, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_30, (funcp)transaction_31, (funcp)transaction_32, (funcp)transaction_33, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_37, (funcp)transaction_38, (funcp)transaction_39, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_47, (funcp)transaction_51, (funcp)transaction_54, (funcp)transaction_1467, (funcp)transaction_1471, (funcp)transaction_1557, (funcp)transaction_1558, (funcp)transaction_1561, (funcp)transaction_1573, (funcp)transaction_1574, (funcp)transaction_1577, (funcp)transaction_1600, (funcp)transaction_1601, (funcp)transaction_211, (funcp)transaction_212, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_321, (funcp)transaction_1400, (funcp)transaction_1401}; +const int NumRelocateId= 571; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc", (void **)funcTab, 571); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstantiate(); + +extern void implicit_HDL_SCcleanup(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.win64.obj b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.win64.obj new file mode 100644 index 0000000000000000000000000000000000000000..cbc930739a8c2c16df2021fd9691de2d62b5b12e GIT binary patch literal 29943 zcmeI)e|(+u-N*4OZCAl){LU~kIx;jp=Q`K9uB!}3yAeMdY8pS*lQd16(56|ER@4yO zBN#F^GBz^qk+BhM1RI%}W^80^WNc(?WEdG68N2mebIe_cCGgy=(=Q&m&3 zthTLo@=o|TvYq369^Yf>&J*zq=5w!k<%MsS zA9jEAtd6<-6VWFsFXz2B^17??!bi*3NB8+S*?!IXlNRC2sxNt>@{(sqqwjmz{kZBl ztUofoS@du#FW)AE4-;R88=<|?P`I(_^Ov;E&Rufa>~O;uW~cBur}C07%r3``vgpP! zmv6Ib_R%L?4|4KIAO`qU-syONjan@wG&w!qXDnA!qU zTVQGnOl^UwEiknOrnbP;7MR)sQ(Ita3ruZ+sVy+I1^)kTf%ewMRTaw`Tjn0y*wlFJ zkyVQ_Zhq0pi}Gzt^GoX+YS+%?9a`#FuC8+$ao<&|oK?{qeIM`ZTGzIn)m$HKosW0_ zx|X%A%hs%F{0=SzAKe{3V!?s~%gWnBKks{U&TMSTxN}-hUwQhRmio2n z1Ip&FXw)CtrY|$eY&spqyWz8+CPp_|Q`&^k{;Z~%aZSXy|!oL|#^oHiP)ULRW z#+l*lUubFQQ*3xbo9*yWPA}4yjc-tDP!gU2zjqABo4X&fFFLD}i9c`~7ry18S z6>W{^4b>v21J}_Tz(r0cuCr7Zu6?Q-*8$ao>*!GwIlZ`^NA=; zU!uC8lc;WJG1UWAQ@v2Mc8Z)n=oG3SI+YrLPNN2)FH=L%5^5OAQzK9fH44>IW6)A+ z9IB(7X^yjuDu(K*5@+|DcMYuTdq?MN}ztF;xb2QsvMkR0_J33ZcuW z92D(suzsP-sVe9Ssv5eIs)4$w2Iw19GZgKgikx=nYN`YJCe;aji|T@|p}L`KsUE1C z>V=|x8|EK$9n}wAPYpodp$4JvQbW)U)G%}-H3IceqtN%LG3X|09J-mpuGjfbsu=n{ zRRY~Yl|r{tWl%3w4*h^iLAOyMbUT%Uen?e9KccFjJE&^tPO1j#qZ**QsAlMHsvWwA z>VSSsbwWR(x}bZhZsVuxA`k@!70q76Z zAoNFS2zrqkhF+pZpb=^m`V%zFfnKFbq1UJ~Xp}04{z|2w z*QpSCgUUf~QkBr(s4D0!sv3Hms)5F+2I%ipGxQGC4!uitK>wgRp?^|c(0f!j^gh)C zjZ?kQzoqVu;RXAGJ~jYHEZXA7J? zQN_?Fs1j%`sNsT~d z)F|{BY7E+o8i)3#qSLzh64ebYrh1@i zsuwz$>Vr<9`k_;)0q8Vp5c)DT1TCS4p*%GL)lj2QEj0!$rN*H;D*AD18C49`Qzg)H zsuWs5l|cW9vz2B5D}gU~tD5Ogjz44p@fKpoU5bUrl(T|kXP z7gEmFIRB%Hp|4RT&_z@!bTL&1byDTfB~%K!lnSBCs2uclsuH@Ks)DYds-Y{X8mNnE zfWARBLswDl(A882^i8T0`WDp%T|;$4*HS%DH`NP$o9ctEqxzxisR8Ia)FAX-Y6!Z4 z8isD9MxY*Q6#5=D2HixBLpM{-CvpBq6+_>rN}yY)Qs`Ey4CbuNbU)Px zJwWwC4^jirPpLuZXVeh%5H$=vOpQPT)F||GY7BaW8i&?X(OV*qQpM0Os1oQgsubEl zl|h44IrK{^1wBrM&=XV+`W00P{hF$Ro}{Xwr>Gieh-!d-Lp4KBQ|-_*R0s51suTJh z)df9EbwkflJGaz3Dp2?M>Rv+Q|-_WR0p&p)d}rHbwN8*-Ow&n4^&F^LZ71g zpk1kcXg6vA+MODNK1~fldr-sBp413bMvX$Bp~j%SsBvg-DtfzgHdPFLmMVevp-Q2B zsWPaXDu+HtrJ((&5Za&0K?hKk&>X4?s-UW&xl|35q8gwy)eN~*JCvb1Adl*VvQ!u3 zQ{7NN^*|xj3(ceYpaZFXD0;6M@Bcy3U%}%2Kj>g;2s(rsh7P4hpd2*{9Y&2o^Qm!Y z0p)Cm^FOK>`XW^V9Zr=(M^I%@B~=a`Nu{8ps1Q1u%0b6amC&(N6?7a`4K1WEMm}9pH_@VN{+^_^jdv?@%J_3;{MlGoIGf%t$9)`pn>8!( zRR^K+t9Zvx;kvp|R@F8(Mfv1Hv46phogIFXEy9PLqB|8X_OG|GX>p%nY-RiV609ln zm)p~MpTd_HVE<}6dQGMm4HiB(3Huk@cw++hiMDa_V*gq@`q6xP(dTg;ZH?q*@+ROO3Fb}4wrJg zl+&cFkkTUM94XOz_{ryWwUisA{6NZ&r93R75zS9 z;xbuomU4%b`=vZ8CtId;>_1vDRZS9B;^Px zi=^bGoFU~*DHlq)Qp)vGZk2M6l!v7}A>}zKuSj`Y%7;?6z;72OE|Ytt9i;3jWq&Dw zlm${2N;y@^3Ms8p&XaPPlxwBjEagrq4@%h}iZE zGFQq$QjUG-`$a?8(> zvYV8BrDUWWD&=S?i>1^_SuN#kDHlt*TFQ-5ZkKYOl=V`clJbI-*QC5FWuug>@jII2 zYq5)zy`{{Ra*&iGq%4w>mvV-bGo@T8cS?Cs$_6RVNO?)h8&ckvGClgm z1}~FaewLKor0gptBjr#jM@v~OrB2FfDQ8Q$SjyE>Zj^Gnl>4Ntm-3X952Vb*Z$6S+ zb$cm$NZC({FJ-=zHMO}|Rhf6s@$`UE3OIa)B0x4HWxlYP0Qtp=Wkd()zJS*j8DQ`*nK+4SM zm(;vW?$x)KvWJxYr1(e8X_0cSluM;tBjqM3cSw0a%41TVmhz&M*QLBC zWm@5v;*(o`TPeFr*++^i?Nf_%7Ic2mvVxXB~nh8vR29kQm&A4os?Uo+%4rHDUVBeR?5p# z-jecxl$pD1zU8-e8X_0cSluM;tBjqM3cSw0a%41TVmhz&M z*QLBCWm@z{NxT&HKT>v;vX2y3${|vYl5&!irBa%ttdnw)l&hrNAmuhG_eyz0%9B!_ zm-4EVccgqIWvl4VsuHio&QkW0QX%C)DThlrLCO*-r%PEYJNV!hREmH25@{p9r zr93O;Whrk-`9R9dT{qwI+e_I)%6?LODf6Y|r8G%7N6OcwTrcG|Dfdeml=7UESEc+@ z%8cDM-(ovR*-J`VN>0i`DNCfRlJZq4mr1!!$`7RUOW7c0Sju0ed?01(=#M^mncUOv zE@h6CL!>N}QX}OnQqGt14JqG~a+j2!OZknIKS_BfQKo+yf7!|Z*6!?e>()7~^-Zmf zZH?>doikf%o15!f^3k7A=2P}Q$E{g)LiFGHz+a*sxeR~y*tn`W-*`r2zVJt_af-j4 zX>|?t%hs%{&*Q&#QCHvETHl(lZOgYcuBy+kt8ZCtzF~cPechTib4T;JhWc8J+Jwhi zyE1yX=KI&TTjym_&+DdV)UYn(CmoV6=gufT(DYQ=MCXq|kK@{+aRXToB>3HmP z{FKu1R!zqbC>=kdbo`LgVd0siJX#Qs7Q~|k@n~T@S{RQO#-oMtXkk2B7>^dlqlNKk zVLVzGk2WtJZC*Uuym+*E@o4kn(dNaY&5K8y7mqeC9&KJcS~?XEmrljwrBm^M=~O&o zIu#F@PQ_!UQ}LkbR6J@r6%U(EnQ;^Q5;Lydo7mln-JjSUiru5wU5eeOn4L=6tXuGiDxU%t0+<=3&On!;G1S88Z(vW*%nDJj|GR zm@)G(V~%bab9l>`<6FiY;4(Mjo(K81f&m46;bJ+3BamO`b973Z!&BNEpEBmTXUuxZnDvq|>m_5>OU7)gjM-KhvwbpV`*>!J zcxH`wX8wC-ZhK~KduDEXW^Q|CZhK~Kdu9%MX5M;c-g;)2wX5M;c-g;)2w zX5M;s-kLKt&z!1x=3LD)Cu?bQMw2%C_O#izr_D)?XZ9_g*|&IR-;y@-CvE1BpNWr& zzBx7Y&GE%ICvmp#5Iq93{;+yB@utQX&`m$W&4rOmwZ z&1>qL*VH$!sc&9W-@K;2c};!unx@V1D{YQnX>n90`-ild|5_8VEV-^iN%M%KLUS+m}=W*cVBIY8E&17yuUHf#2=S#u7MHOKm_ z**;mbeX{2Go;CaDtl2+j&Hg!S_Rm?ff6kiYd)Dl)vSxpkHT$cq*nA`1lP_7 ztPB41`hWjSxqNj?^s{T>2P)s}Qhl?DeRFp2o6YT;&F!1b9hm7GnCTmshZvYC8<^cn zV1D`v%#UAzS-OE)x`A1`fmynNS-OEav;<}u2WA-uW?BbkS_fuY2WDCaW?BbkS_fuY z2WF`UW{L-9iU($j2WE-~X4e*&!(L!sqrkjIfjK+}_6IZb+6Csd3(RX5*w@Z1mB1{O zz$}%(oGu6EbU83fC@{O5z$~Z0ET_OMr@$2hdJJ3_O@L$k(1bBGGfdJoNd z56vMeG>53roOXofv?Db8htQmMgyys(G^ZV*ITZ-asX%B>1w!*aQE1*M3e7u3p?Rw) zG^fI$d9x@q`>W9GuR^oG3eEm1H2bU2?5{$zzjDo-am_sO&AN8Y+;Gi&@Xh-5&HDAt z`t{BF_09VA&HDAt`t{BF_09VA&HDAt`t{BF_09VA&HDAt`t{BF_09VA&HDAt`t{BF z_09VA&HDAt`t{BF_09VA&H4?@`VGwb4a{p1nDrZ&*CjCPH!$lrFt1Ty)^A{5ufVL| zz^vcEtlz+_-@vTjz^vcEtlz+_-@vTjz^q@_jOCiKTr-wy)~RdOrfb%tYu2D^)}3qC zk!#jYVD2B7H5-_(4a}Mi%$g0%nhngF4a``9S+ju|*EQ?ZwQJ3s8@T4&AT-DE&>Y7@ za~u!NaXiFvoTprEEwxRp{8dr?+WMxpy4sa1m)6#u;jq`%wKcA8%HwrQ+zb*ov8S}3 z#fy-*=_hY)_O)T+v)EbMuf?`c+;o#SGs&CDhk@52@wLf^fmb8(+07otyu@emve-Lg zZ<@H7d{o${CO(@S2xoBivp89^H`5-@kdgtXK#36jNU~W-K6dXSIM Usage Report
" +webtalk_terminate diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..9616120abcb274b4c84f28c80bc4f4da9a9af568 GIT binary patch literal 183744 zcmeF42Ygo5{r?{~Zrr#Zap9@_7!q--P~RTl?p}_;T(&-}n8VJ?=f{=9y66 zP~SK!J))|9T6##?uykHtCUwS)?^J*B)mL9FOr=tHm84ROUt2A;q&AhBbHHk;#b>W5 zbFN=4HRrL_QVX7oW!_J4{rqaFdAN^q;cBT=HKcIuRO;BZQmH;`z;JLpwhcVCOQm*L zKUVAjhjRMr@%5T;R)dYz_+N^WN+F70(IrA3e@#Cg2&(6O9gFm4gDHk?1IR-Lvk7z? zLVgBeI(aZJH-+Rwn7?FLHt#@`*$mf=D;ZX^jUk^wl-V5D%sVnWL83B40G{awzbzmK zLdYp0lJVrrS5AKJsu8n{&eqIRM?s2whhw&@L zHN)hA)6~x)cc74Y-+mCruPR{PfZvZC!?3o5ObVDc;rFm(4#qj#L`%TD1;0g(ITYu8 zAm|F(0Jk^M1f=itB-n zxysr3Bw#kf^?{DL70kAf)sbIXrkjHq=a{FUXWz4Fz-$5L+m2y-VBfKGz-$So#xXyK zo_$AQz-$F(vSZ$ben-fVfawFK!7=Ya&pfOMn61Ga=a{9?)6S6r!}>kbF~5dB4QUaE zeZ;n4&UFks_MIT?|22Ph12fMt>*KsHn0W!SJNy?oCXI8(`3J(_vb}$1J-i6AGvscA zxf=RiAdeeN8T1?%UN)G)(C-HMmB9>wes{=ngDHoeebV|!r_Uc;=A>&luJ?fKU@(V3 zzb7Q$VA%ic1sP&6heN+NWW2!~0sXfi#~94n(C-5|$zbL{&;I5TgSi0uJjhK3b0PHk zkcSNBOXv$AFBr@}pf7~{5)Aut9y>$&q3+!dzm0MJ75?KGv?m4+&)~AXyvQ`-KaQ6J z4dxmQHpO5@7|eZO27o!*VD1OQ@vg;S9sn~C%p8MR2xea}*BH!$U^qVAV=xba*&oca z2JtfT;j;tiikp z=1?%F7|aqdm0&J6n3up*fw|RSUIsH3%;N_03Yc+VUNx9k!5jwWHwN=MnDJo#X)r$r zGXczIm;@)4>6>6UuJkjQx4>{*Ily4v2J>w&l?L+*Fh_!!VleN3;k@<)gLxOs(O@n% znD@YB!Q5mpOTkP8^RU6Z52hN-5`(!2V@eH}Um46?Fq6Ri&0sDDQwwI}UdiQk379%C zyBN%`!Au4-&|qG|q-+Y9(FXG}n5keU8O$qS>cPx1m{-9Z1LgvQc@505V6Hcq*TFP^ zdB9+nf|&;9rv`Hp%Cr&8`v!9|nCW2tY%r&QX#%tUy2<5r8kpn2qz&eDFwJ0!4CV|l zEnp5dn6tsOg2@`p958KQS`FqLFf+iMV=(7}nF;1vgEk=?0g4xbsE(LQEn0$k|49v-3h8oOOU`_$UIW6Bk z@Yor02Yvcm|g%%xO=9-!TTmIqm6SjyD+2Y0m(2k->0IdnTA0 z42E;sv%oxPFr3q#4dw-d;hc63m=6qwbJ}yjEH@a=Y0m|-;rhvW$T{tKVEP&i=d|a8 z8DKD+)AG4!q``1bdm)%=gW;U^A}})yhI87vV9qlb&S@_OGv8o1r@aKseFnoh?WJIT zVlbT3UIykpgW;U^axh;Q4Cl00fLV8g~-=d|Ag(`+!D(_RhcY=hyP_8Kr(8w}^P*Mhm*U^u6}4$LzK!#VAIFmD?S=d|Ak z^O?bLPJ2C=wKhyHFV1Oy0A?G5;hgpcF#QdNbJ`oh3^5qaX>S5^xWRBvdo!3ugW;TZ z0hrSbhI86mz|1ok&S`H2bGyNCPWwYJPZ$j6w6}rzxxsKwdpnp<42E;sJHV_4H)%}a zoc2yITN(`Kw0D8o%V0RCy&KFRgW;U^9x&q!hI86`!5m{SoYVdY4Cii1>EfLBJ}?}g zlNiov?+0^>!EjFd02uc7Np?7=T?mHlBZ=Xh_CYYq42E;shrs;9U^u6J7|f=~r=+l$ zFOPuP-C#JUeH6_82E#e+V_*(77|v-Q2Q%4VIHz3%<~s(%Iqi?Zu&yPgi*wp1z}#ps zoYOuD<{^XOoc1X&FB%Nzv`>Ti&|o;HeFn^54Tf{tXTfZQvQ0`C=d_E#>})Wc(>@2L z#9%n5{Rx;+2E#e+^I&QWhHd7jU}hQ&=d?cqb3T|Y;QE7Wc04wP$4-zJAm0VEr3c`~ z#8+SOUBv*%i;$bZYz5aJ%4`qMC6EWf^zi^(&oF$-OOR*4Yz@~Ro}ujq$jcD6=_F=< z=wE?+WH1NN2IOxBb0GAuK{nhZIjn=Ae;txGm_gA098zd7rO>|t8EPe++YSn z{}!adV1_{dHsoZ3DTn?SkV_0^DD>|@ZZw!-(7y{=XfVT}e-HA!!Hj@@Ddb&)IT-r) zA%8HKL!kd9WKC4=r1~=w`VSym8O$i?KZNXQFr%UW732Ve83X;VAr%Hw0sTjiNd|K$ z^vfVK45kwL-$2eWm@4Q$hFonhW1;^oR^sG z7`FE{z;Mjn7U%x(VIfO$#@y{Z09TpqalHh>ahd1-NMd&I0Nl(Q9-T1Eznvi5GxUtX zuq?P2VD`khKYW=YOQQP8y+^DYdwBq^XIOuHoxd1% znCCp!fi&X2)z*sN8y~v?=GM4=AYj_y_btcFbwxjd4C;UCh71Y}5(U;+O})a1Ya#oiMWm6U;tf zjyITn!1M=mk-^lX-1ETPU@#Ye$p`bG!F&#;0L%*p^G7g+U_LOIFTiBLEH{`xfhhvB zAqLm5-|*%2XE4QJ`Wnn%zzhJxzV2LhJUH0bodmzDTszgiPMI^oJmVPc>pa80?tCzx zJ4X9D&oDg3cg?js`nnR_!@e$LHgF94x`ANW*M-ccj$vQ7FBtZ9A+x1p*w^g`hJ9Vg zY~vXAb^C*1Ul%evI);56J}Zd&x{%q~G3@IO1Tz3-5i-8K*w-BdW|+aSuNwsBFoR)V zR|=-iVA$7{foU}u_H~27oNh4e>xO{2)L_`xm4jJeFzoAwf_c} zIe+noru}#fdRgf1Fqq@OOmun3cJB|hqxC0=(L79IG!K&)&BG){^Dv3gJWOJI9`c%1 zGD&)dUDAbqP#5|=yU=I4&=+-~FYZE*<%#z6y)NfW8_SFDIlTLk=$Yrt=K{J@bTm)>-fJ*+TEfqeqlJ?_MJM5$;}0)gl{ozb|?W>Ct;+ z<`1R^k)7qDXSkTUNB-X32o>Ik&-Brz$_}Du`q1p7u)W(~^ekV#mt;8JJxKJl&vfr3 z?o`oJ$M=Ygzjsd-J?jM99^>cT3q{X5!S`Cc-@8m355}DbnTsIw<279#s=vAmeOVX! z!CmNCKN%*RPQx$nLO;9<{UKfG$8@1*JG0_KzAyU@?+LVrOQ`U|_zvz=S<|A*)| zK&AEUt?*~Ra2+axcd6sy>j(Y$T^Idu8o8mK`(G_R_r6+s?t8WL-1BPbx!={&bFZtV z=RQ|U&pob|p8H!ZJ@>X+dhTA8Q^(sS>srRTm? zOV2&4mY(}nEj{AAPe(sQqOc_zD}=SH9uocTU_y3mOT3_LNaZ1wt zeD~bYg?|4o^apgIKd=k^L0#wvb)hfqLT|l)X8Nu7&(vG*pQ*RrKT|&xV&(s^F7(#> zYue{Jhh_iZF7(#>Z`vQ(#r>nY(2wpyKc)+PMHhO03uC3fvI~7x7y7YX=*M-TKdcM= z_%8Gly3kwe4=g`x{ek*#cVYj?F7!urp+C9{eYOkz#4hyJUFd7N&`;_@U)zPgt_%I- zF7#8n&`<3`UvKESuF18>r1n9*)qhcMwLh+l_BZTv?a>-8`j-OoM0o$0ZZ z8R@y-*V1#ZZ)f_o-2L3=8|rD>+vgtN&h&UK9EH#Qy`dgSjt-`udwVTC_w`zO?&-Dk z+|O(2xtG_{b04pz=N?{5&;7fWo_lvKJ@@TedhXe^^xUs&>A6?e(sQ4#rRN@9OV9ne zmY#caEj{<;T6*ruwe;MNYw5Wc*V1zzuBGQ5TuaaWx0arJZ$tg6_TSMztZM%&)(`DJ zSG9jTf4+(BU(1*Kro;Y0_1rgX>A7dv(sRGCrRQE@OV546mY#crEj{-KTYByd_WHP2 zi1+2fY-%;{0oOBr-a^&t5av}9$GJBTa-44^as3G4V84v+dIgUD40mq`4_;$hk;vr{ z!a)wpn~7Y85Ds#jD~0j%;SMB(gV&sQg&d|}QT#ZU=0T48c0-PN%5;o`@F2%$kdX7? z^4XpTuQ?Y^;%W%tAjkK0N!&C-ILPtYi*rntjpu#1ZSdp4Yd+&EN7i#E;+zMs`K;&d z&`;~jne@Oxj?ZjK+y#VikmIvg5_bh59OPKXLXQ5-=NlkAc)cSeiMxjo4svNo61NCC z9^`g{Byleg!a=StB#C>65Ds#?Kthf>rsMZK!9i|UND>!4#5is@gX2DL9<;YRB*|V{ zIJVIwj^Cd0puIgINnELLdl?+R6XQX9Z1YL>_}vT-a^Hd^aoiWqgIs?|5_g(#>_d{c zD}>88xLbuQFu2FS5oP??Po^QhU3!=GV6kwR*5`1%mo~`xgYA|=e}AxDdX{}24>G(j ziSzw9xz5G~Z|`MifE?r3nLUQ{u5j!-(-5Cd-b;JrJ`@g*v5EGU3CHmx4e|D9$J_f< zIF296FAz7)~a0^-cm zE9!U25m{e2zNhbuqu&<7acoNBc61!`V35J>B3zll^%HIgIF>QJ7(VL-)4}t7gd2wI zG{kef$EVlFk9k}MNlJ&0A327b#ARH#fIo6pZ&bLc)VLwDa9yI0KCH*>U=brQJ zl3du%IY090_3e@z^DYhX>E(Mo&-r#lj`<&^176X6zWtI5+a>2)JfbX-d|_T|`EtI+ zgNSeEw8#8ULwx)=-{QgRVPdbdc^x_C{}|yIj)u#584q&fgkv~q2)&qY&dYd^t2Q{k zL+3%R-rzWY<3WzUEyaWB4a4R9jR(1tgk!wZ5PC6woWJoPceZehcN*e3zN6vl9G^#ckh?*+opH@{ z`}h^&oCi7nE+7x;+3%3?oPU=>?hfJDPo#w_!Z{Ce4;Wl=M8(|W1~)*sXAQ1IxFrVX z-}NwlZyMabV((pp+fTR;3~qnners?C2=|3>>}Ll-e0dxwT=c+)YnEHc9VFbkoQUA) zj2jeb;_~QiaHYa+D;)cG=BbZgnQ(oDWBa_??(Mcw2M>?Sv?o7`C8I9?>B zgTF1vgZXT6Q@Y7D3CHnA^La1>!a={8!m-~;LwtD*!8s3dCmUROM8(|M!sWq#5X9T# z^AZo*yF@tlPdcvhd5#CUtA%6##7l2)IL>*HyFobiCrSByTQ|8M3CDhiVfb+Qe9eP? z4++P9Ck^o&pXYdxd&1xjiKv)+&fxeQ&V%;+a~|JOFdxG7vKwGL{PR9J#=A3)e*Sr% z9OIqD{nW*e+~@?3@q1giF$VXsa9M-0VG zS8H%1gsU?+{!LCEOve-m`vqUGM&X?0OJtI8Q*oV?UjEJ{58A7TBys%Pn>@%J14-iM z2zRW(%@eM{;BFIcn!!CPT%*DLOt|R=_Y2{g4DJ)*jx)Hw3)gIL>obFK&}o4rE+sT8@Y)Dc%{QJ6%!Q8N6=N#`n+1Vp^ zqrv(2dgN|0xHH7w%?9V+|Iyw8$N6^2{*djG@$>Kh$lU^t@31^K2Irph@Bhf%3N9^N zg>e4;AGsd}+@X%6{qrOpx4HPiJ390||6JktTSc9f2mSavsXQ3&9R~MZ;qElJ`NG}h zIG-<-2*c;g4Z__GPV=uyIQ~W}5615vaA~;S-dLP_dt9^ULGIpw8wWpfyzf5Ye&pf@ z@95C{ds4Xj4DKc2?oZ$t?wi6rU~umXw-B7>%VDtN)A3v39!#*u^8J%=4;kFwg?rfG z)>OkS1 z1(z0Xf^dfjw-}txcMlhCyl~Hf^X197A0b?=a6bXZxcT^fTexQ7o(D%e{?Ph-if}(o z;AsCs;eG}#4L=|5kvRAHa+Po|ID4KuN;v*zFAuiM7Zbu|obM5CiNQTC+)D`@z-Qr-SoV&;3%kSB-FgFWhSh9Mk)yaBl?ho9MzNw+<^34(8vR z;L>n?{Hk&8%VTrl-U6rfuSU4EaBqY2>0?-vg!`6ozW}HC!uhWccR%6Y0Y^Lj(E2w* zxOWpchB02a_rPhn)j{v$TP@sDaB1j#zDyQwnsD!f({xM`Znkj0G~#!ra32`lCBl7} zz%j3`6Ye8}yH~hn2KTIRze(Vj2d@eDvB7;H+;73@cr;b=?~lT@Y}9!@;MDo=!nGRQ z8tVea!Fu0jaGMA>!{BxhZl=NQCEP578z|gtgDV&Ac!R4H?mGrIQMeNfu2DGty%^Rt z-#+Sb&UVD|XcmrtH%7~s&xf9yB^>{LOd2|G?^xkZ6zlrhR(;2&xf9SNI3qT8#Wiu@%hkm&j`oAcSC>A@%hkmuL#G#dqc)^d_MHt`@-?> z-=u}(^P%TH6^?%gN6U@Rho1YZa2JBpeBtw<=hj#c7dY6?FACy!Jp4Sjv2gr;TkU;E zxNU^v-`Pn+=hJ(FaQsGx2gBvx+tGYJ5q>`0LgDy#cj)i!og~~K;rRD=G@nlvZnSWh zgVS`JBHRSwt^k*Y&WC%daJ9l+8H9V9a81I^1E=libm2}Bj(;ae%l8c7E)ni~j)OWn z{J78l;t+z$-y zdEss_xFy2fXmGCycay=rDcsElw^XU+-Kmz{?FT6e}gy@%$GkH+}6Ta&TlkcY$z63HMiUN%_Lx4dTIk`J2HVFWlb^ z?i}I1G`LHI`wAQ%>wP*d#JMlG>xE+^mAgo|dxTpP9NVC`Hy7tT=(k9?wZMh>;<;yp zTRX6KF(DkZ_o{H~81~*0Ze4@>rEu#R+$X|qU~v3qln0R1h6eXf;WqAs^X0J~o@IH^ zUT=fjQaJt|dzjDO-cG`8W^j88w?!u$V~_Kpm= z?+UlOa7P8)Rl*ercXYsgPq@LtWdrVN;VOii7;x7Jmldu$;I0+!7~xpA)6n_yy-qm) zPKfz3Dd6S{=ifn)s|~pC3&&?!Uv6~)cfD}ef%D}y8QetZeEfbO9Os6fn-Xw02=|DD zJ2eRRM&bCkyS=@7a5|pfBpm;Sv`@#efxVlB*`M!tYHU->m!u1n-#|7N&!VM6vIpFRPZZJ5XjuvoS3*t57 ze5Y`gVvl`m8amJ2C0vbgZ2@<;aK{NZBjD~4?o{Ds2Hd^E@o#nee4Z6>KN9X*;bsTi zeZt)W&gaYV;IzKnFWf_7?>m9L2ZVcC?41Bk>+?e4UJ`pJ2KF8l?rq^t3b==aTPEDe z0r#+Qe-VyjVj4PMzK;mE1~S6u%c;eoEF%7Ot`+nogQ$H3zsk483DIQ zxKiQH47eW)S0UV40r!M(M+(vVaBm97xl9^5U%rcl`;%}N1l)7Nt-nb}{4NZ*p9r_La2EyK^TO>Z+}wcssc`!V zcX7b|Ot^C4E(y37gsT$n(tvwWxJlrAy}t}xALxAkEfH?E*tD=hHURp<=}j~{C*I>cZ54yxDPP* zNJHoCy(?V3aQ_Ur_k^1%+ywO1YHz7<7YKKFz`ZZr^}-zyaK9ApUg0JO+y}xvDcqER z`%t(ygqs?0zY^}7w!co_BmElbSi_~o+F$pPaMu31Wx`qe>we=n*0XQg{yNRSk0rg< z{<_}^XYH^1L^x}I-KUOY{(Y18*Zoe?v1)%EGQ%ByJ&*l&PwcPzJ?!{)Ztbu8OgL+Q z-5-Rr_SbzboVCC1kHY;s_t$+P;fDL`w4MJ+xc|oeb$@p8V}D@nultK||IYn&%O(G; z{dIp8&e~u1H{sHpP~pH3oeKBY{T+V3-dp?Yz7+1?xxeloE?kzIwZHD4!dd(4xMoyS1 z+F!S!aMu31jfAuI*YR(&v7NKNg!}8X+oyb4+F!T1aMu31 zErhf7*KH}BwZCpF;jI02eT1|2*KIAFwZCo~;jI02+X@%%uha6~PPkS3>(Ch7;m1q1 zk5&8Ye6Hku++WvM;%Duz+gUhkf88#^S^MjD74Dn7ziu~4hqb?Mcj2u4b^XBcV14e1 z{dIeYy;b|`qO6Vn)A4-O{<_?J;T7xOf8+kTJyD-or5QhKf8AcfS^Mku7S7sV_buV9 z{dM~YXYH@+FPyc%E>AdXe_g(C*8aKz;jI02g~D0;>oUSw`|FB?v-Z~&3uo=G8z7vu zzpg|$Yk%E9;jI02`wAECubYcH$@ghMQu_&K?XTNkIBS310m51P>kbso+Fy5&aMu31 zLBd)4>-aa;@h@tZ*8aLO;jI02gN3v9*9{TQ+Fw^LoVCAhsBqT)x?#du`|E}aXYH>W zA)K|p?qK1p{dI>3XYH>WDV(*xZj^A={<_h^S^Mk82xslD<6aK@i|UoNzwS`sto?PB z!dd(4s)V!l*Nqj<+Fv(LIBS31VZvGa>&6Rb?XR04oVCC1aN(@|bw>zi?XUZ`aMu31 zBZaf}*BvFCwZHCY;jI02{0#^Ei|UoNziy&%*8aL`;jI02HNsi@>m~_j?XRm9&e~sB zC!DpvZnALJ{<EwZD$P`GJ2? zy|VV#O&8AEU)Lm@wZHB-;jI02&B9sx>so}f_SdxvXYH?R6VBRSH$ymUf89*sto?Pf zgtPY7%@)quUw6E4*8aNh2xslDJ3%;Wf8B|~`M=AF?RcI3{*hnb_rJeDZW{*QY zmwx_t0my9&(f4a70r2+x-}@)G9V89$^WBq$dzVIWklP-ThIsB2r{nd9!tH=-cZsu( zzrP!CG=yU_NFgK*@%B!|O+J1f8Qf{YeQa>23-_tPogv(3!ew0CeEiN7?sMUaf^?h( zjtB4i0vs=y-U3J(;_aOc1$BJ>{ZQ<2PjedLxjBG3%a@QlM>tK#*09BJ{Gs(l)4_U` zhWK#LbvK3S*ec-814oqU@b!5Mob%I3X98y(>kIVr^_d*D?^&F$GtLXh)>4_!u`$VGdXOr&*3fD^p7wsYk!5zhbpY}#WxXBqkUT`JtxVvp@yxyyvxQ8>19 z z|J?_scTa=!zxzOLFN5>H`#^4QgY&=pK<--xH$>90kHPuheW1Pm2IqhGfn1)!`QI%d zmk(hX`EvWNl!yP_2XY0Fr1BUc=_oX~Lxn3&;Miuyb(1@yn_RY=TwOQ0`fhTK-Q=3P z$<6F0cY<&OjC?+=o7`F5n8WJZgMYolY70J+*{q`-W6`3QEne}lk>li!~WE=_p5H&Th>kP z({6H~ca!@|H@Ppn$$iyLZjH^6aX7llzqPx`ttZ^Ru-n=A#_?#wZgRc5$!*q6&Od)} zj?HJ^Fn)gi?C0a;7~dprOBXIV&cVp~asMjZQ9-oiW5Ffv5oN-=nFZTF+loakx!tr@V!@X9*^}p-Ja9I`85FhS! z67H^IkEcoD?janXYgu?c?D_5{Uiu{`ry|!`1gC*W)H%zW(>s z$T7`H_WJS$9OSI`H2(L?$np6t$)5jxI&yq|V;=i_{(;2L|Lz<)KELVn!VSXt-}fUI zKEK^4od2Cda(sSELwvY53Ac|cH*#bsp1WB%|GRzUb_%!!!WE0Xz5#cOaQ^q6Xm4i- zRP6_!FL}Xu_~%e^ojt#K&i}q2xm_W=*QeuFXPnpmcl*fk`Azfrhr;>iZgPBn^L3fX zZNmBA8zaZ(w=~3ud%JM{_r}Qa`AxYyg!9k8Q^X=$BSH9%J_f7W*H&{5fk2J*R%e}(+--)BW@IB^_!13UH{&&g9 zv3(4JczgE==YOY*ob?{l_d`qrS!V)goxkTIXZ0IVWWbQ4B-tD8@`X&8FZbgnpU;pl@{)C za6EXQzE|D}*J#ruqD1+~o7m_kZO0 zZdCifCxr9;I63w)N#Xi_oSZeD`~HudRsVcHPA=@Po|O3c-$x|J`ZoyT%k3%Q{O?PW zqojpx`YZqblw4=;W8ody^S{SOE)5w3 z@#$SG;ribJB)1bJE!=a$`S+~k`a+xvXSwS_{`dXJv3=Y&f8<& zh2{HGaK4`UbdY2DrXfDPKNIdtXPX?uc9%HIO-FPy{K&Cxx5Vk#w)}G=ZKWYjg|m+Mk@L@uU2*=ou`A9$H+IGO=fLW=bsz9 z;{0=CSDYWuSu9)^3iHp`7vE2k?zc9E(e7S&w&-(8eoPPiIuEFW=f4t{7pD%AB9ll=a z_sL7at&i|M_m*(_ee(O@(!#whoPM9ozv-adFC0hv`uFcYaPfn8bm%-s|Ni}l2B&}j z{#OR4fB*j12B+Uof8;oyFYict_50~%;57fZ7sKbXen0&iaA~B}+j~zq{eJr6fLrP~ z-nUfh%Wqx$qRhj8nt%HD`9Co@{oeFbgVW!0_?^M&-z(s6Vj=47hmRlAp}z<8nZfDz z;(q|A`TV}*%VBJ|IM|Nj-%MJqy^r~&iv;_eqlEhrCohPh?~DB27+yCBcOSUj;pfZk z*JAGk;U1tp2pu9H2{%W$g#qXHL@?aTgnKC9ek1l42={QneJtD~!aWKujWB#Vek z7r|+I{~-1%gj*7De(uU}j}Yz^aLxoYx#c@WxK|BsMmM?hgyV0NC53xcH@Q2((FW_m zn=ZX>s*>|N^Y;t)mcczP+}j5Cyu|Mv$NBpAM=6h2g?l&Pz7Xym;g*79qP)F73HOn3 z?+4tUh5LhWzYMs)2)8;Xn>d(%AA(zsNs$kCxp2LO`&GdGRk*(3cu9L7gGl)md!mVd;7Yet& z<9xaO4UzTz+GWCR5O9AN?keFnG{T)P+(rg>lW-dw-2KA!Hn?Ym`y3k?wcq8t0H5A> zg!>~nmYdI)e+c)vaQy8Fa{kcrU55`@I9Lz<6omUvvA2V8e-6U^O1MJd{$hlyzk@Iz z^CA8IjR|6WS^xAq&F_ONfuB$BYQpJvn%4*1>cZ*wgFgV57JGaq_u=aIgEs`+n!@RK znl}dATEgjfhWwj%8t&S{>34=V2i!Ws>34<;0E`kmn|;3i6X*A-5`GrTq6))P*@ zGyGu??)t*%cZRotLzmTFZW{B-WAyEEu4OjcsDpr$0ow*_lW#0;IxFhsc`x|;=KX4nQ;0&;*SDubK&%R#QOqn z3*q#8#QVWbkoavWoPLk^Kwxhx;q-gNg#p(`IQ<^+!GPOZIQ<^+p@7>)IQ<^+;egv# zIQ<^+k$~GyIQ<^+QE*ycwiiynM|>=>w}Wu{JtBYWS?de`wz2PD^n1ibfxWbF`aR;0 z18yhb^n1i70?J$(dXcpNB-b* zkFU?C3&(f8N!+=@ePM7{2=^z0yI#0I8{F-}{l(xG3b)+go&@LX%a`EN$Q0%${kX@1 zE}ysF6z-n^S0UW*h2wACr*W^hcc^e{V9|}X*}tq6aFxP+)7GPO{k#h4V4Y(+t@Ws} z!ddH4rs=1`zEhP zO+h-?|FItYJJ+M8O1Rc~RK4W0wH|eh*t6E7jum^>dQ^jOtJb4BUnl8_^{8o*&(?ZW zqj1)G)O6vj^{6J{to5klgtOM8nuW90qgsSpwH}2|#~r$^@pZ39>HMV?<>C9ka6L-7 zHsQX>>rpc#9oBl(OyPQBJ!+QNv(}?#3)d6tQOCm`=S5wuM}0>)Ydz`&;jHzj6NR(Z zqfQdeT8}zeIBPxX6ydD(s8fZr)}u}nE?ke&b-&Yvv(}@|5YAeUI#W1nJ?bpsto5j~ zg|pV9<_Kr4N1Y>_wH|e@aMpU%dBR!iQRfS1tw&uToV6Zxp>WoE)J4Ks>rr!sv(}?7 z7S39axrwNCv(}@&FPya=b-i%bdejeuv(}?-5YAeU zx=}c5J?bXmto5jyg|pV976@mpN8KWvwH|e=aMpU%4~4VVqiz$K^6rafp8(8?Hy)E1b0+^&{b|^{D%Vv(}^T7tUIbdO$d9 zJ!+wF)_T-~!ddH44+&?jM?EZ@wI20|aMpU%qrzG1QI82{tw%jBoV6acNH}Xf>c_%a z>rqb#XRSv)DV(()^^|bddeqaxS?f{H2xqNFJu95G9<^AwRqIjy={(+d?VqEp^{D40 z|E%?>p9p8IM?Ei`wI20T;kM$(VmP>N)gOQCkn#41f6qX_ZH3D-xE+PdH@LpS6&PGU z;R?Z}5f?;1is#R8;@6}05iS#OFYpE&bP9zlGQu4sT(QCF_cH@J;e5FI{Y;6$jgoK& zg46x6FG_m#JD`0#vFGzezsK1xu(w3=ZT;lhDaHoOOdhmvDPYHK=C*d+dOvj7Dondgg4|zLqCAin;-WN#;My5I3# z;l32EFF0%kXix8Z!mWdkWqtg14#Hh3TyNoa3Ap!#+fKM$1MZi?>2uU>0r!D$dx*W= z1MWlN^tqy6!2L=%eXiIe;C?OKK@#qs0r!z`I&a@A;Fbxe&kK78+;4n%Up|%e>iAI=aKDrE>UVs{flIr5VBY@TaqKtr`@H6a_>t4~)fR)(@Az7S z_ z!9F7`w?Bj9!L%G9+(7p|4%O8CMYtN__6_zeEf;QzaQiuXFclqaM?9hJ7UA|cxRZrD zAPD!bE?kz!T;UD`r|JEhaPz=1eCEqR&K}pKc=-6yp5`BaV_NO~UDB)h_b@oxrkd`T z!fF0J;==XZKZMitJ{oZUbX=I;$Ab8MC7h=Bac8fq^sa_)(KWqMr{>ahmE`m4;FwN- z`23@vmIr^cTJ5dIP7(*xq48T2TpE5|#jjsrZ*^yn;cEQ$=w|%(4D9*8MHj|zFC%`M zjikpTg%|i z7jA8XyG6Kl4DK1>dKugY!mVp?Ixd9c>N-*nbX?%L$~xfNTQA{sTnNY2b%pzPj;rgr za9Izu9}maX^@Y>^?_V8PH<0vd{&8H@{M%4C&A)J5-AFiVT=jpuHB4_fuJ%qy@4q^( zZX)s1aqC|lS2svQzVyVnx|P^lHLk8W zk6?fGzcQ}6qDG41gL5GE`APo#TW)%gPs8KC%|9vrm_p_pj6bFwiSh3#JO8QtNs50` zd{&lEvh%;{@1H4rC1U+yf6!C@|EYY_=OCty>GJbtpH}t9E3lk{Jm1YH`G4K%;&YWA zj1SiolG62c$LBv~{~*l-oHHK=!R;ykm96J1n-59xOsZE&{Z&#tS2jLLd{TW2vOlVS ztb;2%?j(i#b=yyhXHq^V#WShA+$+-dWUzkH_R6-?b_4E&_fwMMnPfkS|GLw)vf(Dh zKdHQu@+XN;N^g??*KI#3o-3PgtLmZMzE(Crd#WDxRQ*Y+CrSQE^`WQo0ZZLE2m3dM zndF}|P9^zYlw&;}-}&vzfKL3mHzdhFY5YoR@2mXn=iF>3`9BeUN&ZRsnN&ZM_?0ck zm9?J~?#lX)?<9XFz;9*keBJ!2{Iu)&*PZ|WzuCv1S;{#$Uf@sK{MWUMo+_85{wK+Q zOfcbK{#3xPr{eS9W+!PLk~E)L+4z6m_LJh76mC-dlj=iHJwGPJC&@pkhVAdilK8JX zT`L=IQv6r8yps5&^d|Wy#lNTQC)r5~Hz}U0>fwL(`7x=ylKhj(J*j+?_$2?N`j8Z# zq;QkkW72bCl7G^3Vv_%==g0rf`E63UWOHVR@$>#fV}6)aKa=X=s^^`atq-g6vuE=& zsot*gkMnue^P|1)yz2Qe-p}*j)?Sk4KmTp{QN zUP<$fBt9uVN%{75^FEJ#_>6ZN;;+4)`A`3(`jC{~r2JXgbS1@SW&OV?@l49Ml}&FF ze~>R&=dyeU;XH}&DgUJU(^K}7%6Db$C&e?V-X@jTK|PeuN#U-n{iJv%<Y+~tpZh2)%m1&=x0BM9^%>?|KMzl;Kda{3UwyS|Uv#|BA&okdR8Nxp z$9GcS{u}c%DgNJd|5fwtRnKK?g6!whaKG;Q{GXa{uWY)K<_SsuN%oU?=D8kzexiOn z_x@i63YHg@N#Q2>C&eeJT$22g?60hU(tDwvnpdoBeEwJYq;gO4Ps)dt<&*66lz&ou zg67iRj#f6@B>PGJ|EX}3;` zH>F0^H`b3DQBhS`kgYnrD%)CXw%JDUC%1*#RYmxn70v z3aAXcigKv@0q`nLO=_4v>Dc+dO?*Ui(?9wz{=C+gv>@o7aAt znug?0t8Z#4ERf_zw>U#t*vrnWKR#6hcUs-F?3B93?2M9ZCYwn$P0CK2Ufb4Cm(SDY zx+xt#g{f(6vxLa-x*%0w+kQv=WL)r`cE5sD?WDGj%fb}2^)0P+%^iN3j?DZ9`p2hws2t-K@HfkZXCxpgVjEQq>J@a$T63THi2TJW5h6h+M}F#Y|A4cofMw zQj47Od4rpH$pAaN3sTcyZ)Qz91r@FcwR;x0ij|)!%;pyj@K>x^MFVpxL2+@msH7y< zYXH0gsw7)9FfW%H2(SDcuK@+{D#-OJf>&-$9KaiMYhnq#igRupm0|w;5Gq>#EnGet0(t~FKJae-Mo`vwtEeCjJ;F((x@I;CRgee+;6b%Sd zGyo|Y5TSwF4WJ-sU+_eVf))l(q-bDRMgx(epy7c; zih`yGPoyYleDFkyg60QLM1EjcMgtl7po~iL^0S3`K^c|g6~HqnqmsNrcm`!ul9z#J zkfM^jB6tR6RFYQ=&!E{NYOx4 zhQj+>_R_Ltqk<1l3D@=w8SLm$r z5&0E5E5>t$&Z+=WTA{NlK$KSKtO`(?D|A)`i02BORRQ9;LT6QgOkSb0!sHFDHmBea zFyk3in*oK0=L($_W{ia^bXJ832%S|tJ1mR_jKcOQVLV_2;};@Zl+6@`@xXwQDG1|% z0Rwd-h%p8X)Q!+{AUt#H3Nj!Mo?%_VfRQN->k0;pOkr48FkoZ~g9ga~BU6}L`=bFP zQy8WQ14gDWOc4f*OeRbb28>K5Oc4f*OeRbb28>K5Oc4f*OfbPfIU_~E3Q6@|g z`iM+0?*NH1TA|?3M`SW#iZEbgioz6Oz{nJZDZ+q}DGF1B0V5O4W>6oIB1~tri-9Ln zR1}sG28>Kmm?8`qnV^Tl?MPA3L%|a%3VJAbBJx2G1y4ji=%LU=5YM28f+yk`_D~!! zGQ~lPIACPL9*P4-ChVa&U}VA`iUUR_?4dYdWWpYb14bt7p*Ublxzz@qn0puGHiT%v zC@RR!WONFck{5*41_MS>L0D}tU=$UEO&bG7(F&av28^N=Ix7qqMJsex7%+-f=&Uec z6s^!%F`g@QRv0jfR_LrSU=*#;Sz*8^TA{PTfKjwUXN3WyXob!S14hvbofQU*q7^zT z3>ZZ#bXFKJidN{XFklp|&{<)?C_-oD1`Issp+ku;ii+@^I%;A})IGD;|fGW<< zZ7vv|it}?D3kIj+{M@F3p{Y1Ox1nHQD$dUx0WmBU2hARXQgP7e(F+v^O&)zvanRt= z0~H6&9sN&n(AY5)6$ecn15t6%&@l`Z2hALVP;t=6F$5I{O&kMIanQgq{1gYx8-q`A z(6}-56bDTk15a_#um>XcL9@n4R2(#Fj6=milg`gW^n(_ikD;kJXwdl>nTmtk+j1}oU|SBR0Bp-0>B8GljNEwnm96gVRm}9Z)~2@BY)fnPl)8wjNlmS8$+k?N z+*&tjQr4+?dyI7QmM4*&*<9aRhhSs32Ii}4aTz%^coSOR$~QsNn`fsQrcWtop5E42 z+t!qtG`*przNLP8V|LQix=F|S7rS^^M@ga+aYdAmp)DUBh`-FBqM-Be1&EO=A5G6+ zqASivvvV(+3kvvlIcReQg?#7G6da4Lm=w9V@{=FEJ}cL+_xA(47U1 zB)=Hb612#i3Jp(GsbK6yU?khE4@9E#(Gq-I24dvNhavnP=IoYeb*3OMZ&bD^_p&j% zY;I}IHl~JERi=iGtx63WU!JO{962P_lx=IuwoYqGRg4^&9XV$3A;aK5W>{Hv*vPVx zslkIs9x^6A9XhzG%$*ML?ub|gqj+=PO2d&$!_mpXV@ro+$9g=z8hl86lhZj9@ztm* z)m2uFmCM1U6=TO$mWxkic~!X*Rl`RN)$4H;LrTZy+%RtR*zz2sRple|#Ud^XaxV*W zFEesEuByC}iBkjKPpx=AHRb)By~;6zv*Sk$89O{Rw*72UQ(IJ`MR}uA_0_etSoxY% z7q7? zXIwqtpJgx@IvW~NjnkW_RX1d#fUu|+xe?KR#uF_5O|EW^wJlSpH@C*8)io{C8`?OW zMscr2oUpcn1-@uCvYuzK87<AYbICJ=tk>#IBA($Ke-jbw$wGGTIy!C zrrMgCxWqVZ8hrpv;~kS{GF<*&kg7YbEzX?gy5q9-wW(vO8&YkJT(qr2%BD?A$!U~T z@TzWXiBwb{6NOccdB)UcM1R`!8Fh3SP47mzK@Xf1VCgYC9kUJm7cqDbuLW6H>%HYqm?j`bSxdGWF-yTgHLGjIpqQm7`)BIyXks0A*R)%$L4+}+N1@j!4@C!$KBYY&&6<#A zNl3FMq&X)c&1$*2Sxt9XMk%x>2}paink7j&hH~uWmUo<>pwy#8`m&D+UjyQ+j(Sj4 zHezgfWo2rJbE~qY6Gmi9$5)n@4mms(U*%ks9acJW#1N+`tyHdZWNFn{r>RuT2NIj} zL5(jjO_dHAQdycBGGa9T8#8WfX=;3VS>#zpPy7eZvQ*^|a^-^u`(QE5x1UngelfCq zbo+VLh$G6|FUrPYBIK^dV@6Zz&#T5(mbvq?cB^HW6;=3qE8D$GD>bl639M2BtJJ_c z?nWxwgGIiz2hfo^BtF|zjSWS0Xv=6UwJD^zVnjvxU?gN@d3i+&i73sMjjKAGXJzpj zW|yUrB2|uTtSlck7#RysN^=T7r;6mpAg&L&@yMy(7!7iJGsK3Bv+~2qRH&xGWn2whylTw%spu6q3j_O0zaLm|I#HorgmD#8&lx1`L zSP4qAwGFeqn;pVx;d~+&K9Q{v*{af^<#FwD=e)Q5w9KD!+Z#%PjvpnQ58pYtaifE& z$@Kx_d|b?;eOfL~?mUVy&pTr4t_EiZkEz6sqsmi*vqMWqjTm`2P9_W~KWxO{^7iZU zk)>s0Doe+X7&AIMWJD#15qNT`99lZKJUjOA3f_w+h-_J9>8NZOAKKtCcx>g!EE-+5 zbmXuxxJDplBg^shi0&~PbwX8D@Ma(BOz^a7Wa(k;HiwtGYCXh(BZj!glEK+g<)i$4 zWryRbD(W@jz$!=XGV@G9fC+B=%FJ)S1YG8NpK;EzP2NwS{gpTnq%ZWdM z=;pW|bOj&BpE`ED@uMToJK`UyqRTk`Q8*p(?@-4PZdZ3)GX5Q=83k4W3~CkQq9%)~ z;-VJ%(^$lho6tEQ_ij4)-;pCnRIy#8-7^C$A+U}5V0MNONKZOg&u3-VYCz$hp9(dhsO|%pn5;z zSv7ndUOtQ;?JUv<-5;97;6uj3%HT10*?|o?heW+ZyGMRWy9eKdRE!yqml##!MvW@1 zWJk0@^ean84=Ya%9XX}~EplWj8Fm{LrH8YHr+7Ye9NrwE4veWhJnl{$!wTe@%cv@5 zGvN-;)W|68GQ@L;tMR!&c4YfqW2#WMQ$zAnL-JEY3Q|J~Q!T04Da_nkr*fNdCdHvK zdcVMv*rzEqxvg;$AL8+5f&aJSwLYF){VRg_*))E>jo$-A52tvb&*B-LLoubE|FuPD zc<^t-6uzwnCk>eYH?-FCzv!yI4bL?*C~epa3@!g_OJ%DYVWkmP8rtepS-ek4Wg*j> zaWM-g5ZY*%!T(Y%&DA);>zS5j`ZPDBYU++l)il zHz2apv-Nn~jotuov7@zaT2r(I7LNthv-$8=(@=-!v@9OmkP0_l$AcB#N5zkC(Yaq{ zQN8z54(|sLN;H?L<{JcmfmAqaoC&$pmRdaVb$I*J$UCY99oqJL>hb=FGbpYP<(y+i z)~=w1daUqNXEC#h&T8T_yjb-MJgIuQz`{_@Wo=zU_3Y3S54n0v%phT{)vawP2ZT4d zz9Gu!j`RA-+2}DVdT+w#tvFhkvAAgQthR1)HR@PIVx=oqWoazB;=R1B!)FrSPPp|g zPA03dk)O?n^S0;}UAvD!cs~?C-Y1&D@&TV2%I9fJSK|}`nH4-~0I!x8!{LW;eie_vqehHwpC5Fbm!;azQ=>{J;Kq2y&~XmJ`=@l$5zPQG z%tj+vJdS&>6)`?4wa-sttjt-9I^9xq?uW&UT*r1IkFo%WuXVB)&ri8ZP&%>#Pn4rl zRU<|Z!z2^4_pzxFn4ygsmExd@c@O6v(K+T2?dPS@VC=jZU3VJY-^o~xw0GuPmKr^- zeJT=<`XE>I!=Qsf8S^bXGQvU zMssPM_4>OwX~a3_)a|pxXlj-_m5cJuO`kAP_A@Cqp;XOmyu-(Eue>sJB8>PITF3D>*%<0meHd}Pvlh_mNI;Y>sHih zE$UhQ0)6DczSXbPV|8L?wq|B3cAM!o^SWhHbpu{qlCQ=$UfJkL+IM22tg)0Gclutl z(>ps+Mt4S!>PcZHDLd}$#B3DX=uWR3x^Mp}c#T@uzqEPMRGds|ZEME+{^`xf_8(j^ z4&~BZ*T3WQHaXn~!+uNumilSg{Qiw~GezURf!k+B>i=N8ewwpgQ#ZAGM*mp|s6Rid z`@dO@qCCB=c~V_Vr_t_7>-A0j@!l9SJLi0zwz)#U5A1q4jA+FT-~X{F`uhunQNHhy zvl{9fXB~N1{fz3`=|>jil@pqx3xq*SpCjo|0=#vDk&LI+`nsE|4JnIuA}Fo;jFD}L`IDoJgU3^ zPc(=1OjL60t#o8C2#?I-g~ws#qsR785seCMu56@GU552c-Z)GDLIg1B$&M^99ol2@ z5QG1RelfQPLmv~3et0DrwNZbuQju<|o^&ks#I^LF)P~i$X?4y0XGG09Zi5J7Ry`Yg z|8PSbLu_ln*OAj3SI{8>J(kGa(Pf{O9yW~!qWZ?VmL9i@2V~6KdfY6&818wS{!h`|BRJjPJ*L+$JWcJ#G_~EBdg##|?9nOOG4Hz7W1z_&QnyzNzo==;FJ2 z+Km5>6aO}lKm6Y#ISMC~d!1~8za2!G=Hw-~lt%nuYZ2s6kcS|r;16dEhHQc#AXozT zYPfZfUGT#;zlPfem-42QBk-d)l>3})h(F{*sdcgtE@e~v4Sve8PM(HK*?Qerrop8= z<78X>!3@d_CqIEpVLF*c@A4eCM6$W~dwoy2+rxG_@~Z~I-+%UYkHhMsP2J z+Z*n6aFHdc8{uvV_hGo>;ckjNMzT}ez|DhuFkIe$DBMlqPJ)YUPECQk9bEct24UK0 zXDbN9-v+`s?Eu*XvL|FO$lj1|LHa{zKOa&6$v}!A+*3IavM*#m$o`N6Af=Ep$Y96} zMEo4cMUYD&--TQSxf*f}P zL4FDO0P-Q^6UgU~bx=opLDq+C0NDt#DP&8?K9K&9JV-vI08#`ghLk||ha3Po5ONS? zFk~vE9&!xiJjg|mA3$z`+zh!5ay#Ts$lZ{8AooJypY(igG|WN*kmkYY#)RLh2xsAyXhzA;&ypsvMr=9WLL;;kli7BK=y|8hvY%>AsNU3$o`N6AO}Ip zAcG<0kdx4noD4YyavJ1x$Qh8cA#)(-K+c7n2RR>d0c0-ZV#pEfOCT>nUWU8^c@^?H5wMKagb(63#1j&2AKi52r?IPG2{}+uOTm? z<9-?P3glJDYmnC=OCi2*9D-_54jB$P1TqG4IOGV(*^oJq3m_LlE`rR3TnxDkay8@{ z$aRqGA@4%Ig!}`t6J%$|u8@9^Jt2ET`a{^y?*^g&9uVsGf>6)D*VkEpejxn&LUw`B zChy-J657avpC2O%ajxUU7P$7~&DJ<)JUHGkPS$;lQwhY!i*Y#!G68 zV^Mec;kai6QVFSojD?JY90nN=;oqJ0W%q5I9|<`Max^3h znFy(d)IcUdY9V!y$&e|KsgQa|17sSc5i%Xp1UU}U3~7P1LfRlRATuGeAhRLIL%st! z0dgYb6v(NN(;%lq&VZZ=ISazNbq?e_$oY`Y>ewalzZAl{b~)q<$d!p0cPJRor4`gNQ3Fjle?8|ZP>xD08mT_lg%JK~3 zsPWTuYTF6p8P@->9`Ar~e4a2L!!Vhr8m@1vVK`xXV>kyu!ZtDlewq)s%sJMOtF`5P zH923x2`G*?oa=ROENS_T$NqdW>fTMUW{z`1j@RV!ZjLo2&JDTM9XIEySTonT^ye{m z|KsP-^>H8cy_g&B+>l$7Fpkfhet817R*a<?Ik`7t-axgoc{<5J&`xn9lqNc#!{a-{qh8E zE62@$Dz>-4xuLy2j;nY&<|a5dXey)S#Gcb>k!MP#FF`68o`FQkpZpd+7LvEqdFG}Dz_aQgcb(l@g z4ejMQF3e}&&-(J^oPqYjdJu92jtlES$Z@Vidttb~-}d2V92b^b$Q3y*tOw*+-@UzJ z$A#q^+T)z4v-tV(!rLoxTv#3<$7hkw$|L0VbzE3qLXLA)+6(JT$nEdAu%3n-=YO50 z*N=NXy__GD3)^qV9pt&A;{K|Sb3<;B57+4zCvc^X3(GCESLV1dy&*T)aZN|Z@tft` zkmDSf=}l*2uAg&5uH11;oc@gjZm8oiFHhh`I&OY#Y;S>cLwlnfS5X&p6Pz1zqaBx; z9CN*#8**bDcbC&IOyDXUH*rdAZ>n=cdxtu%_tco{EB4;_^itMe9E!0y*bVe?TvR_UPH{4I5*@bIBu!aFH7L~ zo`d1eofg}h=iJcV5sn+w7<0p&8*<-v+-FX|Jb^pXar39g_7*rdw0D%_Dw<+$f^$Re zXvd|Fi@9FT4Y{o2?sEEt3EV`-O>Bkrgai2N;@&vBIar2Ll?JaO_Xm6V1D!vnQ6Pz1z zjgCv55Ockp8*Q`UesKcV;<%=h zV|%ll8`^7iT>6xl>*w5%YjfNZr+*`Xo8h=qPL1u&ac*dDrsMKXi@6f#hTJU2Ep_^3 z2^`-|o*Ubn=iJcV8IButUd#=5ZpfYKxX+w^c>;HqpeH-`Zzb_&Uf4*r(c}FUEsK; zi(`AII5)I+q2u~p5_5UZ4Y`XP_lDCiP2lD_ZqB8#y}8Z}?Op7+lFMRlkaI)s62~ob z`p*)$OC2}w^4Q*d=Z5w!bKLMNVy?ouA$PgsmOFjw%9sziD;yU-cW(-R9{xG+N}RL5 z3ZM5wZl2@9=lziTuH(2~%A>@&A$JvY40oy1FH7LQ=eW7{Zjj4};m!@Y zYaI8P(=Sipu65jeXJCPILwnabu3|Hs;F#dtked%3(;IEDfzxYq{D&jtz7M~sJe+=E z0(ZURCT>A1c>m+v(B2QAqrE;`MOZ5B+>pD$aZCEd+#Ajfxf>lfXPcOt>)epL$#Eq+ z#M~g~hTP4LTjuniC2$KIH*d$--hAhV_HJ?9@N~>oI5*^Ob=-2NPwf=*A@@VaEpYm~ z61dwOH=%EAXQFdMd$&8T*UmB5+qofkhvODH{h|c!PRC8%CAQb(+|b@#j_b2)%%z3b(|4>>M;k4by0Lwx`Iu;VJ~ zaDro^bHi{SfsXAwd~X(Vk2-FCEv;dF(77S^7<9Dv*`x?dEq89nJ?^+cPCq<>TjaR8 zHL<;U&JFGT*l|m%V{VyqL+%O3o#Wgv+~*vZ#|K;-CC&}G|5w<3 z2P#|F{~yPds0}Iy=E98|M~WLa=E98|M~Y^NW=6Kq9Hfv+E(_v_+<1D|uQ8@T>Do4NfcA3Mil6;j>ba9wxc zWOnJ1>TaCPTwk1d!sGsqE4l+VvrCE8zMEz<*Eiz&k3IWwGp_L-*EaiiE>imjvzhB_ zA2r8f9a7ybxapDRVjg!ZE{`ja+IJhSafJ1?NOia4Mn!XDQr#W64D+nV-HEFmZhdu9 z`|iRG4l_3-)eUhucc5c-$&>2tp3U6toTMl1>AMG4KGe0%{+*T7zI$gg*VjE{j>USU zy8Cc(<_V9xA2;FpPd)qb0IuZ@*vu|%Qn&lyZ04?C!5y%fU5cc-(QM}2+zYp65kF)0 zpV>v-L$g0~u6Xd=I94Ln{R7uN$Xth1_b_g9pt-phsm;Dr_fK37mnYRdf@>74uSu%= z7jATbxiP8k-?$9(tjCRUoinVjOKRVLaHWd5GO6xST;fb~DN@~IxIXiN$32d#o@ISC zQv063rQdHZL#lfcSKHrQomBS}Zm^%ZA*pVHOEFJ-+<$RHuGfgvzNc~Zvu(Q#Qr$DS z><7%{NOjNR#>^)k_Z+Tyj`g)j?Ry@V|Dd@7sqO{bl=<9?CTD-9Zi;JHt*=9>`ya0O zA#){C-HW*Rx#kk2x|eWW<~@&l8CU+W^;Jmidj*&Lh`AK0?p0i$`M~2|!&N_OeKk`1 zVvE`HTKZ$=vZT7ja3kguk6RqqbkC`?ON-RLC2;xL9E%l5bxY!=%;V>oSGN?d!@TEl zZ@`t$x1I{AeM{q#pD>pq)x~jr=0lHL23Nbl`s$?iEsM)sXf8{tTMjp3KK8gb;u;rO zUyIbfH{tRZn=6p&-i(_vpL@ak?60VM3$9(az7DA_fh&H}T!~cY-n-`3-}oiwlBBw~ z;d;#b9`|-!H_$E}E~ecJjOr1q_Z%YMdOj#QV#jhRn8 zZe?8aGV5!R+V>7zzG1FFs#^s&Wj^;NhuL3Iw<@l4@)C1}T~gg@xY8-+%A~s0aqY|P z`gKTkYv77km@AR$Qn>hM%_T^6YvQ`hdmgtIu6(8SRY>hy8<+f?xfH2x9bBLJz~k1% zRX=ZiHB$T5!==AqE<>tYA2(z^^0+jv-n703seK#ZvR9eQk?J&*U&x=nHIFIiuQRJR$f_+@h?Qe6fY|BAT;scv&zmwC_Q zw!kII_BcwC+P5XH$Gq=xTj46FT3?mazIWnMrbAxWm=8T}8(i%@)>kLBFN@2Z zZZ1oz+ZH!sKK8inaOJPs{ZS#cZ+l#_WiCak+X2^SKJd65an-L`Uyanhop9-|o6C^u zcE$~vk324itAE4#8l?8^g3Er>T%J_7D{jJk>T$c_THmt1HmQBP;|kZ9E0XH=z{S38 zE>5c36W3wh^|-xorMC5zN$tzy64#nblIr%xHQsCAr%h7byKuSpnah*v_Q6e5aD0M}vO^|%7Abe8p%N$ooj*W>o>dv520aFy@a z{ZS>g?_gZ&yXMlQx+H#m`juD zj=&9=4?XTkTQOI;YZJB0tv?^}HKXUF350e2j( z7;q(AIpB`RRRZn=Ts7cM#MJ`sBwRh<-i>Pn+{w6Rz@36?1zZ`|4!Bcsoq#(H*A2M$ z;CcafI<6mZ?sHMx@0WvsdmnBXaA)8q0awArFIx2X{h7E#z@3Fl2Hg8`sen5hmkziO z;4%Ss4lWyTAH?MXu8PYC+=p<5fIAmg47d;DDgpNqTs7c6imL_O$8hz4`#7!r z;LgLf0`7cVJK#Qn>jc~dxNg8*i0cL1MYw*zU5pz9Tpc$IxKH9H0e1;*8gQ55Vizy^ zdE-;Kc))!cmk7Ae;F1A%87>uY4O}|lF2`j8?h0Hs;697X1>BXme87DUR|vSzH+sfcqY<7;xXm zl>+VuxN^Y#5LXGf4z3z-Kf>wj>z3#Dr08{NKgRVRvB7{;_Y<7HZ&uxPxFkI(Pv1{* z`hI8a`x#E(U#srtIDLPux-L%NZ>sM4==%NBZkGzF?iaZ3!{&OVx?ker%o84W1FrZF z>noAk_bXicA#)v4-LG-ek-3=1{RWrA6-e#t;i~_#zB;Myx46cG=9;9s-{D3Nm>ZMo zevixi+gz4Z_lKyD`Pk$B7+t?H*N@b`KSkT+dSyM=@6Wi}{nl3}wXcsG+-Gh`s{0Er z#XRkCf5qkgV|{s2`~HTTFrRwdjkwCa)>kF9@9((YJ?8qPx|?tb=1Gsc8CSg9`pTsC z4RGzDxelrB7TokMb1{#*6_>{qNbS1~*SypETBN$$aji$qwMlh%;6`_tn~>`6#ATRg zJ?<`C?RM*{liD}L4Q?|xB-P!GD?DbdNUFOB7kk`XoK$x&uEV_Rarfa$Pgq}>)V}+1 zDXxFovmX!ODz{o+mDIimaotoMw6=YTv(c>4~`vscwuLG9P)|e{l8xT3>_IzDIHS zo6HqRb&uhie>c}6)jf_I-)L?^s(S*LecD`(RQF`m$9&>(Pes@78Ll6xeG^>%S#t$a z-G6aY=CS9@t9u&PX5R6*XK=;mt*1n4-?O;*3+57}y613R<~@&l9#@`PUxn1Z7jVh{ znM;xCrno-yfyez1SAEg?YNYnPh)cg@E<>t&2{&Xu^0=3A^_Q)$NowCKxZEq|3Z%MM zaZ~28SIw(?4cBJg@i_OUmbuq8zh*sUQu`LeC1SJU*(F7)TO8MCKJd6DaMi`EuSRO$ zlDPEZ=CY)^rEnwWV~=|SuCavmHA(GT8kbwrT%J@H$4!{WmNKty8C;ur$K#g872jYz zB~tsA!^M|2mmt->5!Yqj^SC$R%5m$flG^uXTxuC}X;R%=a0BK;k4xZc%UWNZ)V{ak zGRv9ElIq;&tGn;#5%Y=1y&czlqxH2&?OPs~f0MZascr?_lzHsU=GCo;Ycub7+)B9O zTdb!LLVW-djlTOHSDKJd6TaMib4Uyanh6fV8IxeTdpP27XI z@m0(vNOc?Gy3BhXw=u4~s`XV!?b`>+LjHRJSQEccMLx@}#=Wa1-WJkIUd%Cs|*c z)V|Ge1$Q!z*`-LT+X5Fm*<74dw< z2cFw`8(ejDyFY5A_GNMDHOys5b=%^G%ts!#9j>0Tz6Pm%+vBopn#+;ucEF99Pdsi% zTyrh!Ym?fy6Rxnfxgx1O7qr1tF*_3?ez_k92D8TFlN-=|el`}V@6PBWJ#)#Y&m=0lI$8&`Xe_0>u3 zbLWF`kE6`#=CY)^eQ+b@V~^Vx*Lbh>HA(H;50^^Y?VKjn?T;HUA9~yYxY`ERS0}Zv zfXi%XE=#I&=f`okOYVKPA9+&ULAVL?smC3RYn@?zZBqLV!4)dziln+jaj`Sa#YuIC z;X2H_9(Opdbe8p%N$o4*67M&cB-I^(D_^k8-1-syXTF<}*pawKz#WBa1>DiNPQV?5 z>jvDhxL&{=hwBGi2{#D1<8i})I{`NexD#>XfIA5{3AlITrU7>{E_UIfzYk8q#RIO4 zO9b4hxMaYchD!z9dvN)HI~`XFxcB190q4$_=AMTt0e1$j8gLa{E#S_?)dTJA8=LNAmBcP8wT9DxKY4;7&i{MkKiT&_fgz5;68?n zU9{-O@5gcRfUDsW0e2oQ8F1(0QUUh~Tsq(`z-0pNLR>!JF2WT8?qXaq;Oe+iz9xUb>T0rz!WCg8q-%Ld#xagBic7Ooj^*Wg+K_ibD|;M%xOz+H>$2HbaWy@2~J zt{-sU!wmxN`_XoJ8DpPZfnSswx7zu#elTw_d{Iu5c|EhMyl)J(ueZVAqV9B!^es{0u(UNn~^)%_gT zW8U|;E>6#@pncclhPN)u9$L(Ij{G9p?!k8b2INX|yZjPYIl^3xRChzvC+F8t_bZ&9 z_d(sSafv$?+>iOrk>5nymG9@I>_-omKic-AK&tyKE`6lA45{vSxFPeg$Ne7HILi83 zr1t#*r{{-I_eY$b_d(sCaQgUNS#Fm<nV}i_g7r{ zL~dtN-QRFS<|B{05f?ws`Vyq}{Ti-8P`AF+<;U!z*SE$S0mNk zf=kkq^7P$`)AKZF-)%TO--No`ae5vJb$8(OybtQ`#OZk-)ZK;C^FF8>;`F=^>h8wr zc^}l>gVXaqsJj=Z=Y3FjA5PEvpzeO0p7%lB12{czfw~8Adfo?hBb=W1LES^RHlOR= zA=Ui@S8Ogd_l!~^)jf=hUu7;qs{1Fd%e?1tkKoE*w7v?degDEGuQrz=)%_dSXFl+_ zF|PV0>#LF4_a9vP%jPnqx<_$C<|B`L3|Ie(^)*QCdmNYjs<|Ag?g`wO`NZR%#5G&i z*CMs=DO~<*<_e^`32w?f_I2~>{)=lf?|9tPxZ*dgr$lPsGr0IS%_T^6&*Hkwdmi^3 zuKX?QtB~6FJT7^SxfH4H1zex`z~iR4>bI@0Mrz;xaOt+W45{u#+>rUm<6gqmueH7g zseLcwvfnY6Bh|fv8#AAH+^e|ecdf5QYTs+P{P)ZiNOjQ(7^lo*-#4#ru_f#Wq&D-8 z$1RR4{=j-lr1mX=i~rDEf>gI8uFJgVaZBOK9qX%*+V=)r@<--Uq`IYXedYs?i{q+4 zw!Rvveaqm|KQWgf)h&w~G9P)|a=7|+*4H4l?~Sb#KCrnNK|K&A8^ztgl6C z-&=6{ezkYV&)Er_{yeVktj^F|K_`v+P4a>bgJz~ zg+?!JtUAH?}RI!UUFjvpjXv%WN`E;@cNU_SD===eeEM!WuLa(28_F;|CM&uPLc6I({%&Wx@TJ@7#Vw#}AtCiNg{(f}XUY}f@{m7lT;C_q_;C3d}Mf*`X(_EEQ7ww0huT5RF zAC)y0+>iNg{(hv+vh8NbHQ0~ANee#ShRnwv7d_q@@3+1dseRGoEq}JTBB?HVyk%~( z$6J=1?_5Llc+>Mi&UbE?qQ_fn%>{3l`ELH>EzSLvB~z|sc4?iy;Qd?K-}a+MrsmZD zbJ6`%g@R2SX9t?O-n+oZba{vEEp;C{?^^Y33>FW2PyMaN@T<#|`vOLeP7u4?;{ zB-O2s>oMRfYaQr%j(0rR29t&OXF*!t?E_N{}< ze8gOiRJSf}%zWx`>){F?v%V6kee2`8`e4tpf1bJxaC-hcbsOUJe5vX-!s&TX z)oqN^^8l*bByyaOF*<*vx=nF<{zi41;q?4|>N1hLz#f;;c^TDhj??ops@nn=|M+5a zkHiGImOL)E#C4hXJ#H&prDlCKQv2SC)AMes+Zw0mja0V{PS1O&E{oIWp|xdyx5er6 zkh<+~>GN!VGo-rhaYN=~kJ|y)IN$nOr1tF?InMJLo$piKPB=Z^r@EbSy1(nl?UKXg zKVjFeK&smXH)S5Xz`VL$ae97nb-UqWJg+3kb>;f)j%%~ME~#!0TYXKb~v*5#4WvOU#u> zb_giYa1^0Kpn}5IQ^GlljjegE~SM+_rzh5Rv zb^G8dpR)a}lIr%wr9N#g9i?n{Kiq)%(Bt;U)jnf=byBx`0IuCI*CEvvaK+2bl}L35 z;xd<+%aiI3!cCaRJlj1O7r(;#lBD(>g46TTsyh^?=gn1j7*5ZdtL|`|o|jf#5vS+F zRd)nV&%>+kNSvPMR^3rJJ-@EHqa(-d+b1`W@4sVkmCxG!Q6tqI8@Xfc`Ls%^I}SHE z&fJhxSHkJ}det3|i~Z92;-tD0a2@7dk2?`py21L&r1qVJOZ>`Ql2rF@T#tF*<4(p^ zerYxPaznmfCfi?AcVF_c`2XMQ zk<{^V_p$Vq_I;Hh)$y_9vHa)F6-ae_+<2_>1#?|e-5K02`uSYy__*=dkn1(_Tt7ZW zJXZglZMQ{gA0Hzgn{xf*p6kcQZ^!if;@Zc@Z^!if)9UzG?UAxZ?NCl}L3T$HjkOET_dMQzfG}QCHE`wgZ9gicy3275p12y_*&oa<>aM_L zueW*je+ze?#f_LxJnl+d^F`}vzP#|h&*5^f*gW^z!rkX_W9H+{2$*k$Ssa6>XRK#N)n*YretyTBP<}jT`WNH}rh}eF>Le+WHEl_I(*w`_bIZ z5v!BxzJkmA*j$cO_f_1O`NZQ|xaLo+uSII#*Kqmk%oRv=U&l?^&scon_uDrjM^Egh z)~kKr#I@<^c>2DDD=uT(E0NlF4KBW{xdf^1+qf?Cp2xLu<>joeLTcZ&xa1qnrAT$( z!S$IBJnp-=>YJ>uMrz;paOpRj%aH26j~g-{dE5_h^|x4GgVeqs;<5>IIZ|B*H)fuG zt9f-l!VQ=w-nMY}V_cW{)Z>1FYrWliTB|I)?>bz5Rh#G6S-ATtZo+)(aX*W;yRP-L zN!{O{;|lAUE0XHmGT?p=i>+@iPO7^e*J0lExL@GfJRUoq$K@|^#h=;ZwnXZ7Z@|TW zZZ1Kp`xUOsyytPh#+AF)S0T0UH@M{W=2E1(93|#JG68otE*o(7;Bo00rw!T z9B?CCCEy;y)dTJyxJJM|jB5tmKXI*qdj!`GxPRd~0rziQH{iy&Ucmha*AKWyaf5(+ z3^xq8$8n>8djdBOxF>OwfO`rTe_+wi8xve2;QotC2HexQRKPuhO9$MuxJu;M}j-&W!`k{rc?OB;eey&(2K)&iz{KTw|e+5xvV zt`l(XFp9hV;)%uPeiMv7U-=S#FO2>@${j{=PCt)PojZ);oPN%tI(K+vZo3M}U}e13JCHDs#p(Gl)NO~;^AV`q9@pdZGkZUo{lV;_ZUKmTyaa=UWrtuTzpw`2~u4V*Ja-GxFc}o<*ctlYTuE#py3w7xp2eJ9{DYnjWE z>fFcsyPvN{%*P&g5-z@#JuVZZ_Pra|W#03+lX2yDT3?0KzEg0?t<9xKb!A+i`M~2& z#Z|Ylz8a~0r{U6Ba~V?IdvHVMBab^BSKrq98l?8U7nj}6T#i)tKHQl3#N*D0-1gQt zA+@g(xgBt%x-%oUBaT#eR^)cVk?P!!E$;hoyfcnecQ&qn?=toSCI6l8+`mVC05=J^ zb8xZy7TxzjTq5AAxMaY62$u@Db8+c_`!FsOa38^C1MZ`^T)=${R}Q$3<7xp{!_@=s zJX|B-&c`(a?i09Hz+HfA2i%3YPQYD+>jvD#xL&~3as7b%ByJFJm*9p0cPVZXaG%0W z1Mbtf*!_!sTz&=@54g*4iGXY1k^y%)E){TB;L-v2SzIRIuEb>n?sK?Yz# zzJcon+&6LkfcqA15OCMvh5`3&+$iAMxN*Q;i<<=8cW~2y`z|i_z@ne8zK4qk-1l*b zfcpV18E`+ur2?*lO9$MKaG8MnF)kZ$?r@d+`7al6*WvO3_fuRU;C_ZH2HekarGV?= z$^myht`cy+z*Ph8m$+KM-GHkH+^=wrfcrJB8F0VBwF0h(YX{tKah-ts9j+U2zsL0h z?hm*@!2J<747fkxMgjL{+&JL+xJkhM1vd@2zv5yKF8X=xZ@75C-H1yB+~0A@fV&B& z?~h8`uaU9QEmvOZenq@_=G;YJ2dZv>)AvWIy9KB3k5YFlF8@c{evwpn8?KYLFS?|< z+i}f5SYL}&cL#3#dvg;~-JQ77-u6YARCgCH@hjvCoxL&|Lj_U{96SzUZJ&79z z+*7zwz)f)Dfcr0Q5^zuBrUCa1E|yyK{q`&_9&pd$5&`!-E*Wqy;8FoM#iax8f4EG* zy@=EIuWrEeRblf&-92J&#_9VP)J5x?zJFESE%fR8SJg%9TcU5z8!1v7* zE?VFE^b9LP=16tX`Zi`h@wjMxOaIljn<2F?THo}2uIi%o zt$B!DzZR)3THo@Ank$g%qV;XcJa(9QbI&E-gS(fZap!uF$0s*BdQ!ja~Rq`GK* ziydVyPO6L6w+{2J$3^Q~Vv_}*C+GLgU*A#z7p-rZfQ#0*Y`{h9TQ1h zxM+PV23)khl>#nW-^u|Ot#6fpd&chmST*3H^{p0g&(T*8xaV<=fQ#0*X24D9YXw}i zzO@6+x4v!2`c}JP^UK^L_9eEf@3U6-GOl#AeNiUWy@J#CS*v>$r|;WV_Zlv7jD3+L z)y3?e;bT4KeUIa1MKOJUxArYgU*%ZaZk1HW%VJ`wjxY!Pl*izj+dvz zN@?5QGRezOW2rmrJZ$deC+>J>VgqwYQk{GGiE};XeUEz!uCk%^RY~nj;8GizOOxu} ziW@K=dfeM^wT-Q>PHNxVahXlbWl43*<3`NKBrnf>{q|b{*Vxqhnxs1SvYol(%A1+X zlj_{dcbuCrpL$#p*UDI5o7BFQafQvz6-jmPz{R#O7bkgH>+Acw3a-Pv>v5~%N?TfA znbf}3aEYzVB}sLw<9f{dBrm6Z{rb6=J-OSj@=oiklIq;cnVd^)Z7xl!b1!FdZoqu# zaqeYG&egWDzBT!{xR!mnYS&kDD-` zlDvHL_5DcWTH9M+n^d;}uCRl-BB^deTx>^kaZ=qzxDN9!8NDntdS2TYSK7(?%A~qY zaEYDGB}sLg;(E;c9=92;lC!=lseKt-Y8P{9Qr+gb0rR29ZGo%pYJGK5`?kbob~BeH z)oq0vF&}%}J8_NOt*=RH-`2R?9_I3-x@~Y1=2MT$;#zxJUz^mvZE=OY%oRy>+u>q) zb8%AL_Begt$v*s?Xn)&|gG^`72m1Otb?!KbbNap$b?!Kbb8!}`1gY*;TzfaWLS0hb zZMe~HvmKgU#-zI2anoJdK~LWuxIC^vYTuo>#_qP=CaLZ&Ty76@c~adF*WAUfUyD?C zH*TCWHzC#CgPX9usb{m$@RT?tWY>Z!S)%djQvA-u1W#aizVj zuSII#2$z4CxdN%~p{Q?nyZy?fI(HnzeV-=wFqb6NJ&fxy?|Ym(4&wTzT(8(Zc0IN4 z5nP*j$K%{_5Z71S*Lq5%_POIAbC0+E%q2*5V_a_aW#=A8(SPQ<=s1Y$D+HW74&q!X z;2y)31I`@>aebA5djeMtICmVx_0+1&G^SEBXx#J+NuODzz+#ulGaS+!x47eAg?Y_+(zXNh#``_4XJa@Sn zr>_fF7p-slzCd-+`ljy-R2Qvpl|AhW)kt;G`qt%f+auLQ>sxv+>&uYpqV;XaeB^P_ z`c~fGo;NC__C@Pk@&I!wQeCvZ)$?}!8l<{tebe_3s*BdQKHD33wi~T))q-8W8mWEJ z`j$S>T!vH^t#3o-Bae&LxB5ZW*C4eoTHmqAy>)SBkqV;VQaMAiU4!CH2n*?06zD)xzTHj(DFZy{8F111mI}CNeM<*iw7z8mE?VER0T-=rxqyq-w|u}w>sukn zY<+9a{!HJ$s_x~;9d3`eCaLZfoW6fm-K#i#KdidfaJiywH&3c_$NAlUOqfqS&K>7> zPTx1HeeO8FbFCw6yKPdPJI?Q1;Yf2uQk^@_?_BICb8%ALQn(KDuE)9K{I0KbwDpxq z?Q_TZohxp=;Ny3`i;nZp^#zVTJ zgN1K#7&q_J#HslYhC-IO={oHxPm(XIlB}|bvazj9e|u&;-tD=a2@7d zkJ}YjO4}D@Qu{dUG5hxqb4l_5x&3yhugARaaU3p)RW`K0P$jjG!v(R_M&{C_y1m%$ zfcenlI1CW0xdWfGOP$odz3IzrVqav*g6wbf>-LEG*yHx0ui*}m&Mr+-`}j3GmfOr+ zo>aFVeG}$W@<6$M{8$}pxdW-QOPf@80DXnc?TaF*jvsGhF?S$!c8Qbf_^~zCVcsPV z;`)uRw%7R{G^?3=-f#z8XO}Xm&i&ZxTw*J8Nm8BrvCz35^S;Nq9}AtUxC67ZOO@0< z_v4;(sjbbW$%AKq<}T5GxaG{b0rR29xn;$BTUGk*5V{jAZQ;$0q*V^9t+NAa!hb!;| zTqM<%a50{Mt1W(jSQr$_o9`nA(y&G5I3B5{c-^sWX iPv~h<-6^;M^P$I;aW$U!>!kLbip%iCpC#3uhWkIvky9`L literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..9e63e20f8594e2bf6e3e5bb56e0997150b739a36 GIT binary patch literal 62346 zcmeFXcT|(>_AY8!ii!y8QdA%jQL%!6(nC~KR76A+lomk&krI#+2r04<5Gk?IOGHFK zN~8uMBqB}eO0S`Z9zqBqq@8?Od!OIlciel%_}z2Qxc_WN93k)beDi(YXFhYzkFsvv zzyI5kASqsmkN^AKv-j`!e@Ff2(|;8Bj{^Tu;6Dodf2BaOP$&fc|1+NaKfn0LyNvR> zbrPblWkvs&{{{Ddf&FiP^!Z1>*V(ado&Wmz!OB>rYdbd$yI}k{kZ)D%H@>RcIQ(GD zVQI_0RsHXz1Gq0oDTJVcea1r;*z~E}G4Ha6QY=`HQq##hiRy)i;8z^)8qXvk=xcqJ zrY3NVjBE`yvsc=bu-Gin_sw#4(AkFvU&JlRqg;wX1;Yc??gbH@xDDO0@rliqm=^j(BncLlBJG2TIy7r2(N zl@kFPautI$s$P1dOFPG=YNbd2T8$wg%)7%hr4MQGEGB*P64?KG6LpMrjMnt<4h>%% zPx5g0+1)C-#s6z;`Gc+n=h9W5e1fuofB)M-dz3w}hn94`)lEa@RU6A^yHDK$JqGwU zcIy~1O_Fo>(TnFSu3?Smw5dtwCpYciWV?=Akf9yjzHNj-{yNP(zN;rJ@HMT;ivqZ#{)hK z+wLh$6vMUM27*xRm9H1#Y<$W#qMgYvy*K5(HRJ`YrL66OZwl-HDGR@U6ug1 z?Fn&D`30y8%MQz*hmnw>ro3F&4d(`aqZwbjhS@oVp}ym4*2oX5qSR1sD+gBWKv;M0 zv|5r1n>j4&U`N!PRZRu9DY^-Y@ed!z75;u(2gJi}EXSVa{*1G=tiM(TCPN<7nhXIO3Lh`nU?1CQ;)j9I)bp%nB)z&{z&IcuE)+R zVwXA02ulhU7k)Hu6y8{>@ynLEUn$ zxrDvEEwC3D?M=4Pa!Kbnsg>^?_gZFxmpsL0`Kbl=8cc+5Mr(R9jS}^17K6(U8gM{; zvy&*P<>&5MNs0D2+Vv!!^6O+MlN}cR$8gY^j0_bJtd21$fEYkLB4z>ZG zsp;gfSZsD!8aFhc`mvX>bsaO?Z>)Oq4I$+W+lX3W7jSo1hUZt!BgTPL!KyLBxqNLQ9_(Cb1=UW50{eqY-&e ze4`l+nb(T`kU#U)Jw;(e!s`NRW~9w7BRU~!&|@^NOu>uu!fSa{w9dP(AU%=NV!NI- zUK=a%60l2P6N15OKN828?4`xvv7t*TtVR2Yp&R_JbM7mH-NBGU+`7kP0)yN)%AZq2 z!^W7ChmZX1GbCR6Nc*c0hf$FuAwOg$(z77YeF6vDe^$DjBc$(HpQgl>&QL`YF zMt+pOvaQRK=L_HjKM`=cB*?1elKT(0IX?~R5f3990|)s^z*ca=tGUNR;k&Kt=_M0s zP(XyYa62nKb{o@Xw6K1#Z(LE_6lZ!0te5yb*!rxaZ@e3ct^v)6A!zhT6Wsd}>Ctos z346y;rQfGL0@yEKuU5~hp1=rL7%i9U>s}Zxmuu+Ottt;yT2W60=Z+0q&Nv*ScV|WL z1ZgF@pav~3W}tC>XXZ%yQPWK$i50&1tKu=5r^2F%T}Eb7CGszxb}LW&XL}()M(OWC zPGnzu*;3z&KpkT;K$8@%d5BeykD>VUWol(7eQ1SRIbA_SRe&TqgbD+yqB|a1^>l4* z2IP(5yUket*9|lOGL#8ZdTi>6j_;r35`hz)TpQvGzW596k5TKtBJSS0JNu|z3h=?| zlqh)4`{sO)lu=P1H1yk~A7P;5oBV}0oZTI6Nr`So9WRJp_IZcBMn$Q-AN)Ws3emWw z++d0jWT4D|fPo8zQt+Q~d%tY&cK>~M9aiV4!2wHq_)qRbY;@04UR&az zuk0D>A6=``8+{M>CO=;c_T9p3AeTmc3mIzxfwDr0c~(CwNb5dl=9@?Ze`X=#TyFn$sQ{-4 zLidlN9VyXXKH|iIn1lUnZ)p?yZRw^{)lNkQ#sk~So_^CV2dW&Cp%S`84%SWObcNXV zbnO?X1kzQVHbK~OpuF>uRZ=r5u57>O#4|6SF~9~dTbKf~|Cug>wS{>>!4J!8-P0+( zlYtV#=uVV}fpyAm`;;?(&lYg#jH2l9Ge09vOaBRILOoCR7UoG-e96-gXBGY)RIUPM zRtC?ycfn8Bh@KzBZ5|eYDYKHEJ}Agwk0tKb6XG7G?p)IOO|2m39b0-QJ876II|*|w z?=@r+3R*a~bA`AGr~!i`WGXdbmjlka3exiXJeJ>3Oz-8ySP6w|TbP7%19N4v)+yMi z%6(@&zjO&HP!XQCgNDzzBUH7;jvbT6$XK=#pHdD4g2zL;b9m!*ly~sXtX?K3#Q)?~ z)3DXJWk_+$FYUaMdytOZXSv!9tESa2#sOJ)m1&=!4t`2X{huRBD3>+%m69Q&mAK|3 zPC9%TllgHL7@sDz{AWcwqn~@p1O12xVuyb=E2&m0Tr}s4sHuKW4B6I%=b+EtWXyL+?q{DAP$E&D?VWbnLj*()s z0BnB4a_@3`lGx(|)EP)=uV^sK`q^7Mp9Sn-xnfUz)5mYx3+tZzuLla@X3@y9{uk7Y z{l7E`gEdfJ<(*(LPMZ!(qYALv^a@N}QORwQtSI=i7f{7`gV@^%qMMxt+4!NpZNfQ`0U;XjE zSs2)ucU$?V^tG;g-A!A{$u*1A->g!iNW|J(#p$eD-SDrWU1btc>8j$E(>fb%)&1H7 zxuiO6OutpF064`NZSloxk2r}RG;tup00YpnRQpbAeGYbX~*Q4fLi!4bPBeZf>DI9jwHojfn0mN%vPTYAf?HIdeH+OmrFRcuTf&O{DsNRrw(0qT%?7NYs)0f0SY%%?u}j); z&Lx6oOTkXLoszR5#79wlZC&RDYI<~DVO1ePMq$U|K4_*?E{E|+>fg- z%HfGlyRpxZ6pBSUQ{ppm>Y*tCF#z$AQJJS*6qbI1(_z$}gl2)^7}OJ5?8kSXN4e(N zc{C?)i1-oU^^EI9KzM~+d4qP?h8ab6u`ZJ~T{7JV_qHub;NuF#^3U zY$0Q>+O~ddw8jeCtSVI=_bmLju)K<&K5zEzZs_YpeTPN%TAWX%-Mf2NmdB3?V##Z^ z&&<;4DM~&?+`LvCHcvgE#mA!SxTZAcW zNJHlBpAlE>OjNerYr1Vo&~huai(z0gVs|C_YRF=Zsa-QHh*U)h{TH(GEN#PYg8X;t z42-prUDn<{EnAa6Hq8m>40O>IPRLqM2sjhNFzn*;GSQ#^L^q)Op~8(Cs&(8<`v5`7j-Vp}5b}X*<&M@jlzpCAAeB(X=wT zGW8&R`8vR$(_3FQcjak2K(N^+3Qc1F2Ug}ckfS`BYJlt39f%ie(^cO(e4~7We}8al z8e@c{N@Fh2s;N+(jWldVB!jB^R9|f~O z0?b_Cft8Wj<{?~(!P;~ew}5?Y1xv*Vo$gYU_!hNCHv8@rH-YYCX)=cD+KP?HW1S>) z2`gGrdgLYUiOt$^e0>%w)zb?Nl5RN&M1yBV~eqTd(A9kq?B-#`U zwIw*yTxSASy108-W^$q;!$dzvuhN3LW)vJW?i5{lkPk*}tOga03lB@Z7N4crJFK1y z3J}~*(v8Of-H45}jX}!|#)ktRjH!xt(rxwpwY}Uj%^tX@fr*-vv?nD!107(gIXmBd z?%h4QME9||>RG)S5A#P4G}ttWY`JMdi;l8gxyKVua-_*#hs6-ji~hDW%zo9EQZ*gL zoflBUmdL!Dx!Uhr(>`CLR`bfHEb(z#X)59xBu{XKGP4hgHgGw4QK#kSpzRFN{j2GC zWiWR9F1ip%9d`nwfpG9bR{+5Drvc^F+Vdtu~hd3Mf81w z;hoE`gW_{aX4bJW(SsK%I_^o@?ZZ>(0@-cs!OdOlY~f<*rCxz zzp~3!)lzjG%_S~~Y0dEMNXOJ~Ei4=qbgP*Y`YwP*#i!dl%lGJjuYJmIq#v>%^aXkR z-Q$-Doz}|=l8l#1UCg+?aeD*6kpyG~Ilrk?eY(nuW}rHGA?lOl(r# zU*s?Xv4ojdf~=INUf>Taw=_-a|0S*(YZ9z!O{-Iy?l6z++~K1H0@{BZ*-*qxMx%#y zf%mWdUBBBt+Huyx=GVo5;QhBH&WZ<$L#1H{?utm62VGLvBNEkc^|DBV)ey{rZ^bP= zOEyjd&RCbe%p%JggIHT&hZMaH_;aQ4;T*e-)Mu=nkksAq+4BCAww$@fGBNU<&?c>NGzc zcAM{uqXtxF7O{}0kj}3Rl*M6sz+$Z*Sr(R8t6>OL7ncH`ze#=AxRwCLEi}O4CAKJ#WsmtZT64#rg?(9mT-V6Mg$8f_R z|1c4p!E>D_qjyw(z50j46FCbBZc%7Xke1GwDTR$lV%r|+H*LTe&aw#C^!pb3rV1Si3|6FZBV~Y9#n-;XAT$99N1vw+$sLQ{DAw77aexIa_jtPRo9iAJ*S~pEYE4lhM#O%5Kd|!9q*s8&k1; z8Pm!p#uoM#%fT=M3+ihUdzFMP{k*hxoAV-e=4g8n@(^PQJjHGMK(K5Z1Mx>TUBQ;k zT5A+Y-=UARLS@e`&$Djoy7Z^DJ~!Bn9TDZpH_dM@pMFe6SAKY{pNq(J=AYr#v7S9# z<*z+EpwEY6ps~9u!5{+IiE31%#1(k%`Q%)Ond^#-Bemy_?#bl#6V+9e zxSVDtymy?khY!(@^XIG?9Sx3b{E;74*8SZm$C9reuYDi=tNQl9qc^5g;ftbYtm{KV z?Z9|}w$cK>DXpxf;ovVG27wyasRfhm+tQ}!LD5n zOn$_kpSR+ixD4sFcNN-@v9F@R)FDjFWLmg&QVvsE`K;e?+-m$4?$%NF@0-HbueR)^ z;~!7vf?m+wxbB*e?O^($i>EnSf2!*4m5sZ>yPp^R*jixwF}=o`%=>_0OtdZ;Wx*7h zbxyD&s6GR)qhQvNpEl_{E!K;Mjix909U1!6KBhGmQd5B0^LQs6mRof{s(byaz-zBE zdSfkDPc5Z$V{HiRuYJ3< ze2dK65T@#wg~nP`sUmAt=dWY7OcbqOfLGU7tgGxu0RM3%xc389E5LBqAbbWo->uYk zuAngSlNn z#V1=`e_CG9S&>{!nWt@(Mq?1;qkf2~>CSwFCS(WRRMyOq?)|Z>>{00yR+cdMec%J8 z*-!cGj$0Jn5bt}Q5k5D55TDP5ca@Ws0tnCF zHSf_2fKMNnE+M9`SsNM5mj#?dt2&|H4WWPXa#$L5T$Ni$&iBhUw(1P$65S6F*P*0& zosYZds^uUf%~?bTHBg>1wGLYB+$1Y}x-&zCt6F0jklg$9rSYd!Lxq$@;t{Wiwc0qn zZ2MK)uT-9Ts#uBKDI3@1JZAk9p~$!U>wdxLP(m=vh@VK>fn} z>TzU`XM`@GGl1sPUOu#VAXO3_5o0KEK5G=Bp!-h{HB zkbZw2v!34)+v?N~$B^5kCVE;+sVDF_L!_r?NCkvkRIAs|d=vsdh7XY)NEn{BKTB?z zC>so@Jb7sBLDyrXk8Ioi;XHbdE&VYUQOaVB<}H>OiZb9-vHO5T7A)nv&Iv~70(8}q zYU#$?2_`?bCLMSX;Ga0pz7r;>i2SvbmKk=PWC%5FEu-$$w9l3t=pB-NL@LCjPR|ox zVw}fvh&V&}hM>UKk>T@UYn?91>EE@+?%s+XtkUwKe535X;;kjPhduf`f6Bhp-?^Rc zhSCrgx*V8^=4+BL)QR#4|BBI&h_doWqd5IgjlS6KA)R|OyAW~FIymv7w%3)w$+Elq zLJ$Hj@6-Y!%i5>J8b2aTMadG-e{TgWN$O?Z zpX7-~)tR`GC1;LO2O)Y=K8E%rChTT!&x|ZAX?=9ie?d_hF zt9;$WPLHlA-evIob%Uh&0A<#<51Jhc$Ss37@N4jm>UT?DcE4oP)qjzpImL^EwQ@*< zMt}Nq-{!OU`PEOLKi@s1PJ3Y4BhTrJYn^gdXQ8O$q&aTUbxEo%Ns+vtp7JF>VFppJ z^$z?79uHt-hmQ2zA_@O|-OF~4(Hk7&B{5doZ<0^yX@A5hGUT~=OM(7rTx(&==T=gC z9<$khcEAdElp6D=yw%r|=xRczZkXSUWp>T{c!hefVe&9%JMV+#mG+zbiysN1Fk0Hn zX8Nfiu;qrUec7-V%bhfUe*^aM%eAY7O2VZt6<^FUfhn0JCfEayRu+HrG)3G zw2vh*{Ol`Do{Z#dcrjBoIm7D@*Nckwcb6>RMA=x=UyR=TlIRkkF?9DY&o5S&uk|k_ zY)J8>xU3?><>Yp3oFhgeiqvURT~d|miGD*k#ow07)EGJWrGQ<#>e&$}J9@vX=Il)^ z&VdM{Ijzd|rmG~4Ws1g|*0=nxY3HCf@?la!nY{tOH*1+_FBi{$BXsr|>alX}jQTCv zCR8c1B*Q29v25bE(u#KX$^LgY8A)p)mzK`$$`0!Y&QLz4e^I)EYPmzyq%qJ=klNq| z>iW^s;Me>&jQq&q2PL=D-6JUN@FkH~Q&5)YJ%8}lg^%%L={YGTzQ56#++vg=d(4PL z7@8ItdEddIHfHZSMoXR680066n;(seMX_Z}`&xfk?!LD(SX27ix2k{RiN&P-3(*1i zl|!uIS$j6dC@E~~R>DS9D!kklqHf2>1$|&YtZ?!0NDe#syKB8fj_>CA1}=Go^Z7wK z%AA6@{1HUPm_YO^L1%5V#3Ua@TMxKF7KmYXDU3E1* zPCjY)l}LyMEhR=J>lTO0X=@F0sGx$;mWH;{G5&^lRo)f{C6d3?I-)j!dFTSN?XXZ~mtViE?4TZ}9Wa-ZAs5 z>En>{?~JaPID_>WuTY9!c_NcSC!Uq&zvg!l*2d%$!0)aPbosXT{H{3aztWZ%*oZixD)b~D^L3pPI?pA8eAU|}dTbjZ^B&Cgc&Nk?0S zPJ&=v&bO3#>c;&Bc8xpV3D;YwdtW%Z`S0f-*Eju|GS9njCWqPjCGXxxc`C(q3XEC( zxUD?vlT}4u=l1h4e(_iKNN&8oFWNwJ^SUDhDpa2oxaD1S0k4x9@i6BiC96}ppR)2+ z``(c33u1j)tQ(24oT^=VVkfzClz-5(5Jh2;|M~Hl=%chPN&GPyk-Tz_jIWqIx=@6C zm7f$Jji|c1BkBAe<>d&go6s+|Cerux&TyxMc8&Ns6T$)C_?9F)kh7fse@{5=5t+I#J zEns7Tig-Tg>n{F~67=lWHfF)ME%79{JZ$d!$|lI2MoM&?*121BGiiu&?vW($0)uyB zQ&Lnnhy8Jw^$B6fy#H3V5H)RUb0cB7esxMjh)b-K{^iQtvu}Mn^4`JY644>+$T@H` z%9@j1^_WTaxI5D}{ zBW!jCb{K*my8evTf(mtxJB0K|C0~}~gq+^+s=*%^$-OFe`5 zg)ioGgfEXcYxJ!te_ja9@)cTKqqJQt@t1ohIva%)I0vrGj}K#OYq1r0Chs!YH^7#Ia~M zEaAVJQO$wn&ikOXj`f%HgILxkz^O~2ex%u3VD&?sv~Zf6K|0n;&G9$*iEm9Q>;0W2 zk!^dxLM0C))?y|1TfyD%n!$00bgzhrIt{Xk-PQWQWmS>#TiM$;wp4r7(lF(wKbFvQ`2F=3N4^uS zNS!M`J4f9Qk#jNGTL0vrxm2wjpr`<7HUvwWe$O{|WR8#xz zYrVf-d&wit(3p;A22KBmb7!h~>0POF-m_MhWSex^Er#qw#a^w*~>Wrz~Y;%_l))c`L%_cavzJYjf_O)f*C3o~cynkdFG$ zGIEc|Bog&{`J*o|IrFsKu>P4URI_Zr#y=NH^~f)vJJQfUjQlFonLE)hE9}krpLg3; z>sp)$(ECmd?>Do35pmobC;BycU)kY9$gEPF>tADeIN}oup$5h9-bnsjVXS#Jv;3{` z4xj{{F&~e4pW9dOF!<_HF1e1F*rEWRBU6_1E2r0UE-=kBp#w_zyAj0j_(Ifw=~YU* zknvQ2UbjDm@-TN3?9|M9T3fy*+_F)rbF!*3y737+&59&j=Q!0}+hhWNfI*OSFW3|W zP_=Q3^KRDCqNb5V{~jfu48A%#!CHQcmHtg!*WEb7+93peyyg$-u_4ues})K9bShN$ zdqDB)R)Zgsz5Gt%kgO<)yWl1K98Pr3LmV>e6Rh5qKZCM|+>`FAF56t3Nh5}A);UOg zj4`uN(&XPJELUlEQINHBYnJ?e&Goy_{2zOjNv{_`f_kmeEn(WYQsK>@U4`x&jz0L8 z*CO`lB6zkd=#}>-@uW!p72SSD=Cb65pqh>N^FymQFiFJPbf0qN!D7bY924`Kmwxsz zB9-g4O8fKImPQrVZ@qec!>(7){y2Q_|K*oKW4p8~+b?X`zMo|#_-#k=8evW^Z>>!_ zIu^>$EQ#z6RETViHv>=n;4VW1a=4O!BJjL0?EH+=Y(mYxlQZeLH?Li-xc)4$&!Grb z7ru5Z$9&iC{9W%qmG;cVd3`Wwn0fcSIOmV7?9-ZD$K)*B(Q*q;Nl#Sz=V3arcY& zZ}ps{sp|!&VWV|1*+0v4EZX%6&PX(z2{z1AVvx2ZFDGijUmT{A&8C;TLq@{i8F-61-& z|INzQXJ@dlsCxqqkd?7IZF)25@?#u!_iPNV>ol`H_8+ril){ zV1D(g^*{0wv}psFY`XtT(0y*ewu^4+KSP5=2+YFG6Ta4DC5@+7-8)^#tOquB%?1}K zmRRR+1ovt60#z@>s@}$<_fo(-5JUaxTP=J?^{-XXY3h2@+jl8C$SnmwoBVYI`%g6* zC4)mn+Qh0p@QH^Y7XxP31*BgSsY9NTJ)?Vr6a7j>egJQK>z0Ape#dUD+u8lHWzMPl zM}DAirDTP9e*ddOE;OZF_+-TTlZg;!Fe>8KFB`Jb_NPBeB;qZSA{!6(hT(;8t`CYd zjFi7^?VAXP?2EH3l4esr-X>1ysVjwbSfor^OV>?w&M7Si7W2zFPY=8L;Xs?8FY`Bv zNG&NSzBX(*r?9#=>5Ph;Gk8JyT82j>;?b2I*?GFnL{Ma7PH%lArvFdUzd51|doZSa zu)4cddDfyj&*GMDMlO@BNTj4r&~VHDt$l9oHAUa(*5p~->V zQ)g5RAAvPE2E20rbMWq^L17>*9|mpkl4SU7eGwd3-%_R{=snx0!Ho`UMf^y5OgkEy z2x>Vmzosnm6}pD!Sxbzi3z+cZ)W-u8 z=kForK;${X=P=09k@ru?;{|o(;BudEbi3M2x_*)A=$T)R4jLYHiD&QKe_#{(!@z0D z-LUB2&e_y-vIND(TY@mQA0NmKh$ua~Wko&*N!}DD@+pW9ilYBos_a8_EPtuyd1k;y z$=R1@PSNU;q9kSa#d-7^HBoMSsd9X+vRiNL%9u)6ID7tLT{ExHF%}n3kHJ)U+G@|e zhaK%%UR<0F;Y^y}hQfThwj-$lLmeA0obFjtSbrqU--1I@8MY=RdzllaUrpRo9gQ(c zYQ4@4!#Ath(S4W41551QEk#j#s2s$KDGoRI@whAOpAO9K2`NspgyXXg% zGM7^5>n^++c)(HZ?n#>H;hCcT!S+;g}yI4a9e8>8`!)W&~XL*q>gdSXvmaO4~}z(54qJd zx)l#nn-;D`T6yU=tQ_B})3WP*rjtXdaf&v`k^Ansyz|yJa}BlrjGOYfLiF{E(M5ku zWhcbX-&T$`(n0kd^6y<+D;5$f%`C0@E#14{tGMPwz2q!i&Zy=`u}k(w7}_+>cF*8I zaryo;x-r43{W-7b;PP;?DVNBuW8T8Oe~tLQlPuNEMup2c$13`ES~ecwUhl~GtFKIB z=q6ruchgdoYXBr+F5GCf5JF2zcO%Q%je0)E%9Wp*g-u9>XWv2=WWH+HLn{iEQ-gim zw*{IGUVYX#EAjMa&Bh;LpTC&c$<&LQZwGt7%mRE|Yd6)h73{c!q$}dT#-zXr&IWzN zrq+V3@z2DsZ_``#S=(WqN_Xi_CLp1vY3ou%4cuuZ)yH$qLKY7BRmk{<1Z`AV-sf`8n%_QR~^!1h6L zefjdp^CnB~+OL}}*IvbM%gE#%{`B-q#`;1IhyiggK_$Isqhkqc(!0#lmOF&I%)gHE z+I)7S;pAs(GSRD5O!~SXF(;Hza``3L^$!o8rAmH08Md)Y^b}d&*+r2W`^Mb(VEglK zuU{K2aARiqWr7lH@7u5bHPTXV_S7XYFNYm5VZ8ON}H`Tm~9M`$sxt5C-%2+&$L zZoFvUm^^KHAoX7PQqI{sK!ooEFmOJLLrwz?` zK)Y!Bv_{mRV-)(x%~kZeE=U7$Pt;EdGn6XlO!FuOiv z)c@Vd{G=RCS|6|N!Mn=V%U5rN=<$pLb4yPTDs?H`IMc{}fr9fIm$f)`lmyfwCCSEJ z!1vyB;%4#>3I>!8E4|3Fp1W^3;SZ|Em3j=l!W-D*tGPJhX5=90IQe#1&7EVFJ?2*@uI7(i^259`IQ;42^l@l-HcA=B-19Mr zzk{5K$I2~KyvJfMujMFmO&;2pJRSYRHy{Bhw9lNh1Jw3bXUex@gWI!3KM`;pqnY); zz(&xLkWhp5)OK3`1M*+35l@)#5|lYJVG?}r$%%KVsgzyjoEGNlGUxZYUdD>KgpA1A zS5_?=Wray}9-Z&=B|^6PTALCIzSNx8y5mQjbn!w|JJw(t#ZL0Cp-j4xQJ{Y5m0PFI%WD_M*Xi%DFSUBs;0s{wdL0!8s@se%`WOx&2iM0h$xV;xXlk?A9J_ifx!c*Or z_+=Dn$YRLj!>|p_Ya=h%W2NJb%U(|V-vqBcx&IIrUVi769AYx;3Fjz3Q1Rkk4TkKn zb+dGfmi=Qcdqae%3J#)(Cw%Xlxz~)d6qL&Y5FE?-^S}X@-o-Z{mRis^e{M#e;`c%v zvsqi2ll3P^otJNbB4uNaZgWi>x1HxY{xu(5&`0ad#9}q6{EZ%uND1_?r94eh2ZNW; zE(Z^;F~8H(hYR*ZH#t)k3*$t8#L@AOgq^8sX0LwrMN=?}O;`MClsLc3AomTfSXjSX z*ombFZCycC-n5?2AS!pjmvWwD$=e+>kfzo3OUih~58@WxAAeM~J`KAmTlUuR>W-zZ z!aDLU-|Y9AhrW(74NEvpoZm0x3iyHR5ARU3(6Q56bWe@VpWJBszK|xdvzMqvmDKY3 zg1zj(N52@W7)B1Z5^L@-W;Syb@A+f=egAO((oy_!pEuh*$4o>?vFiuEs2zPH~Q-+x8qA`Tw^14sPORgazIqFEF?xvbvqR3MYzD`nY zg$HbopXiu+Lns_ZHGwkUn+z}6Ib!toN=Y+kGW!(j>y3}vI_PiI#)TLQR z2lXA?#5Hln|K*o5E6DIe4WqRw4{7%?l4JJS3|3e~=-F>0e`u%vf>&2AQ#P!~MZ2Pw+lPS14^k=9HlIkE}$Lc9CH~AH0to$xMy|FwI5fs=C5VHSKWE^K@tBq~e^{s!mutdDM_ z@^;nJfX_g58}q&W-!MLpPv<~-U%AEVS1LDN+>qpky5S4;%QT=_DUCg> zc<_sMaC%=airvj)5+-G;+shYk6pad&JZJmTr9CUeHYd;oVa7P;v-A2Ihj&W zv=J*>ZG4+L{>;BUC3%TkYkqnkY-zp4vc}Qzl2g-DJrgLS zw3kf1DPBH~cQJzR_kyZNqS7LmdgHw4)R>8!#0AOKl-=)<23E(%SRUb8OzP9Ch*O8p zi`?>im;dO5=OAvj+z-x?!9lDC0_>CYRBZ}Q1lm2@%Z1$K4NBxn3E?Y@rykNuIzk2Y3YeKw_b-VrK4Jv(Cej-JM2%CoJ z``*D_iyYQ&?1MSvf8=u83ThFP^!Q-A8n15qC>fzt=g1XB}$tE#e9_5 z$c5zWVGC!u{1~R*8ZuV3xQEWJp&z9q+`ajmGg*9tS)Kt4p9_0jK$WPaOOU9(wH$N{ z$5ugn-mAPF0TgGgZuq{RERLX$Gbp5=0-*E=>MV)6fnz}D305C>0a2?**_w2A^5l}# zqgf_DiWxXpB901vK_vuI=%-rf_B6zqC6TV&7po~t7_B`t_6sKW8>JAHR*M1ep+H#} z)nhGm$rd_{R)`U;VGm*O3{0!&PIwA+;RoRfvUM}Y3;*OQPcX!rDaedsdw*A4{Ubn? zKIE5e)e9xL-kP;Tzr8)wPBED^K)>BZWWnDQzhf_ex{#j!@I>eUc!vCR%Ec5;wd*9( zJJ^Q zyE4m@Ud63R)jYb;&;%9HbV(J0&qHJ;F0|`wMZGOJCY?C>QzPZc{>Y{GE<+7ZEZ)5P zp#NB{HNtVW&(YB)lW5yxl{&*bOJg=-rR8@<@NEwXECR8zB`ew|4I5VwMq$Xy0StIA zUrMBt-F>b;o%j=L^ijZLgXlyBrwoQK zCWHkQzmUCD&S@3Z70NvWBv%4)Ea{}wtuBeIbNTfZm^++ySxR4&?ohr$Op1$;i->Ed zzNX&2iH$b6Z@vv($8lL6fwTVbeVC<%J<@-3L25peKGoY@;ZB((XX?N5(?9b*uaB-Z z#x6E2-V3+TTTq^iBTC`tr_OwqW9=r$($4uB)N+rE@U~TCGrW{K2Uj7Aw4@|cRY!>j zrak1eTaBpdRb83qGxU$9_w)`Q-MYqEsI*Eg9wRi<#$y)pey#1R$K@|vjmci9UmzJ7 z%mp`odV@YR123I*CZ=d%;_8yD7pJd%Fs{sJ<`JJ$aYm*J zZ+DDJ(pkN9gJtmIHV){^doraHqwkSYB>FSom{C$>|0JeNZ>=HS1aIpPkM}S_Ry|nz zIQ=3Uli*?%6)(ca=~X)DI(%m9RX!5E^&eMmo;jidwJ1R&0Ic>mMuBH)@G5tAv}fZRG=q!ltR0-Q`X zcqj^ut(k5It{bHoxQITE^<8Pd0^EWR076O#p@vOAzfH^GPg$R@UDPs9Vft-T?cwO{V}%O>W{V72Ti?pK-eAI#FEc z__7NS#wpVjAV}0k*8scawx0yhv}8MVQE(`I`6d9e$ZQ2|>Bq&-JiLhqxWT>Gibdm* zmJQC2zh38+6qSAqu{QFXkGVNfWq_H?Nv~@AAHB84Pw${na^K zAD`)SZna=?tF06!IpBt984eOHOEI6)zu*t>{$T!VKQpJwRK0cT$>Q5)^YR0{ zKbg-(%boh4M9UgD(K3knl<?sD%%?a}d{kt>1{j~0_3>{$b)SFIavLZe}e1teYL>!+A#D|^%_(+T6D*^F^JwSYkrp6QiZz|?*4&a4NV!RfB zR~7R&7ULVle3IhyLPdOFNdO-$F`qnu57rsrLrntsNQ?QT1AI)+s3Nv-bE>fFsvMa$IYhoWU^=8OEzs?CkB0sX)H6i|Xhj>b0u4pr6y9C{pZ zDC#=kP<#X6&`eFhp_O@F;jF{6krJh3SbG<2Y@AB z2YfXVIgb1uaGdHRz;Tk|3OEEfj+_NJPSpu;T!gp+nn?q?B1gl%1CEY6+bWVh1E>I$ z3*cy29pLD=b2!oRgt!8#NdqSU{1AY*JOkkO02P2bBF6UucuO?^e^*=q&jY?X0(=qy zJ_HYdkE^%>62*LC0X_tGfX}SB0^IEULPQy!O$0KG1Tst}0~v-t05U9>2xRyQkYREG zkYPk(ob_`NJ}Dl+!>F{xg3aqr|#Td5&OI}gx`=z@!# z=z?_uO?074jE@rIT>*T50)Sru@CLt01E)mirB(swMTqrD5qAiyau4ppjaPiKp$#5pbuLe(5Dj6hvZ==I0xYC0X$pWfv{qIpyCb+1M*A8 z1No(kJMQ4*1Pmw{thfZ$0|H^*0|E)_0ZU7(n~F#X6M+L%i4V{~8aOQ4K!^tt0CoTp z5SHjHx@<3QL1n-J!1llaj1rARE$E4zA5dcH;u1avlq9qdC`p`}sfhGLaS3Y!B?%n@ zN>Xleq7LxqR=}T_4}d@Mj{tuvCuNE@IWC?Up8@`OC%~VO$%!ifep-wV72{n1ymJD8 z?-k>t#duc$PfLiC1NhLy=iMYeZyIo3*dxFYvf`3I0vH054H&|Ca$++Oe;-g^6mk8k zitG2Jc*2P5mm;oTg1CM^1$@N-9Xbk-2j&XM)13gw!xGCA49G)$49KIE0LViK_-Y5> zivT=TEGJPcr@I(mA;yd4L;!NG-eW!;1SXz}m`^`Y?{qbw-UG$?H2~_JLIvs_dFWn;LxqJN!2$KnW24zcB$^fqMLJOn^i{ z{tEE~O9j$PfdlCUiziqZkY2%SAiY>OAiWzWCk_C3WidV*z+;^NJYH-cSusB2e^B=B z;ZUyc-|%-WYo$`DtdcBLiq(!Hgl48z8>>`WtBqA;(uPV*B@N~_RtZT`sU*8rJC!t* zG|9N9Y?5q78=J!1wlhVHF_>Y7d9L&7`+J__{o_5}=RG=(8{<5$>)c(R^K)J!u>3xd zZ>=b+2K#w9KE}9X)IfZMwoslj93NfWG2TIZEUzYJg8dBK!9=)Y#Ny*}5Xwi0JD3c2 zjA$sIL`nN;faji-{|OGDjYYWju&^}bJ3~aQH5aT z#8evuL)Rk#hW1Ya7~1g>!O)4Rwg`r<7Xu94_3?T*ZNOb;p*MoX<*wJHXv@C<7N0&v zu&A?e4}!(zWq>MGM*&qndV4*b2=XkDpMMqP&tUnBAfI&zoB7S&+8LGg^&m*|eoQ2G0FgLh4@Bbncu4bpOe8)7 zkvQR0QPvLf8-PfBv4%AFxkID>{1Ktz343Vt*DIjW@7IGy-(h2&I9{E3;@J{w^o=ZN z8~gpR{A-YZW(V?J={@L_JjdZ`C^9GcZ2nZ5}_Wt ze4!rHczu3I?p{(*TB05zy31>UMpWfM{Txe0Frl-Pg=GYI{io< z!OC(6=t@-!v3w@TH~N4)AG%VN36{?Q`8Ydk!*M9T-9SXI=i&UCVtZ=Ao^D*9YN*dI zKY%@NE6RRD$0ZaWUsD|ZXdHfgd_(c^HO1jC!QmGPJZFGBu(%Uxz*4Sf!14NmSzIWv zxD#o>;;u{Kc-^H0DGZPY7I(r6Slo3(ygp`ep}3sAa5=lf`ukHt9GOsVz~XfBfyFIn z0ZXY$hVb_Ri_^&m7Pnk>6~gcBRcVaEpYI3hmErPN<8nR$=?&Wt={3XUUxMLbmscem zudv-9pN`AN7t7~jd2f(s;_`9F@?t@XGqQgOmnR8x*mV}R-x(hlG5E_}1pb;~`%i{A zx`X`*VE^zTuwRJn=VAMk!T#X@us>SWpbf}2wXzxP(rVyP(nR}P(lPQq4@H$ zPrwX*1_&iElVD;dVThT;YJgAzGYKYU5`L2Q2hfQpLnkJ0K_|A}2c6h8IRjZ@1BgOC zfKF`f3!T_R(!K!Xd$IgUkmv0O`T3a0y~XlpK%RFH=lAv=}14I)+(7Bm~(79`u zxS`ISQx5j{17a~tpmXn0Jb}@iS&K^aRV^mc{g7fp2U1K`p%lMzz(hI@QY`o&NP&(= z6@k1K6SHtUSZ#m~N!S9>q8fl`@iEb|QXG8^o!%7?lzI!qjBhVOG4uzbNO)lRN-U3w z{RK(;IPiA@_V*_EE5k$=O_9j{R2)x3%slcSUzU>gK9GNi<&&{|0K|s_2(3!P@kxaE z$owHbL5ianSF9~25>6*U)LPh0eb7B3(5PxnG#Gi@d?~dbt8RE~q4Dn~;_-{}g?M3m|;6Yx92YDVI zvyGwn-(W)3>UTIhSP7|{26;_><~L7uS~;iMyP%QP|=nGsDuLz?O{Tn)VM2- z{toiVSRUVA;ORUV-+vX}DM~LeM=F-kihD}&F&nGsErD~q4qQezMd+AiU|hjhgz5M~ zB?BMJudoFXs_2ad`B7LNU!nTih>)Mh!K8G2q2ddzxMw?F-(Jy+FPx5Jd3=Q`1?Got zicl-215=C;;R_W7v@(@I(#{4PBH$dLnWu? zQ-nffjjvE+Ve$#CfD|Myf)vcbZDuZ{fcaUVu>hRLSEz~j0;3&LASlH0KrDNvK#Gag zSiV%C!52>W3e_E2H@Yc;l$`>5c({ZzaS2Vw_S^|^gvo(fhWmg$$Opmt%P_120Zb4y z+%_nH35P}2Ld2wBIRLZoi36A*_5e)C07k2s)I*!DtFJ`$>oxsdjnO0bv?d2rSeu=h z@_o{LDgb0oC+%x?a1KcTKi_`9OvP;JBTjuK=07yY`Gxsj=X1%}>kcEO2*OVQe{ zipMC(+ayvHQIF(!WC4G%*;;hL0|m-n zw+6q_;ilc*Qh#Fdz+Z)Q&b3+;#{6<;cvkow%juwb*1U+m=OLVs9<1*$zOmDTA9zQn z+4SRmso;K4<)`74l`3U)*te8cdRm|K-*HNkSJA%>z2~5guCSXIXj4A?L2?n^n$SMU zos_aULgq_H{hgwS0+)nt%R*0nML#n4s+wP6Hy=rx>*LGi6Yvgp9OSs8I}}LafniC$Yv2 ztZ@-*Ji!`gu*Q9)f%{cCXqaNFjwwu$ZQ~RH=(%2Dg`Nu(6VUUJ;y8N#s*ua@)zvj6 za!Z<|L~T==tVHL{@G2X>ipDUB4X-4F>xt-hsK6es*s5|t;=@s9d&DbIi}Dd~ zfc_<3jh^0OxT-oJhK{z6K9UMu<)DI#j@$vUD|+p_h9B1O#TqQopmu=jHJkN#bj$DW6qW3gVK1LovbWs;W`53ti(H*%usB!?$OR(p3yvG>4$6CC{ zT)f8tyoV9qW4l7mgZCyxNwCMTBp=m*0#}Kiq_Jq4X#T&op;Mi7b#Cv)%O$bycsG%Mz#%vk)`uQu zz`J~j|JAyTw9sO&>l=DtF=l;(D$%mhJt~)V=phdT`Syu;|4PXk{8218G zX_KU)=PwdFbSl>=?9p?c;)Jwt5Dihu%6A9}3(@b$^R^8)F*SNo`)@_1kvt`Y(%0tI1HTTvE1NPPV9#3}0+4G`B0IHElHKzIS{G z>KYy)Ju?d%1opLsjyWA9pFdFS8)nh1{#Ye=xU_Ff7UQsZ@S9*Yb&Da~cQu)kyoTcU zcqs{mRzPd0f1ykG__WZLIWJNEqgZoX+#+AAO!N*|k!NsF#a_2o%p8Q7@)le1- zcLyHXw{cs($k#&|BYL!6AeyO>=B@4$(BdQ2TMFs1NdRK8$pB(@a4?B6a4?Cr3VD2# z;@+!78vx@(dl}G%#WF;b676JYx=6H@p)ToM?u-hgy`mn0>6G#k2D+_5^P|`eJ@*2N z@eTp*@pc1>@eTrt#V?W}Qs{2WLaVwvm}w6KONk0$p#FLX5Qe-0{2j7^7$I;Bx%7lDF6ejb=#>$g71F#;EMk z-!wp?epta6D`a7X)WMfJ0ICC$FeLSd!U8Q45rROMdM7|IE!!(bBtlyuKm(WTkQko3 z>4(FCi?S7T#C{gDP&diD=756Oh`s2>UYKGp+^`qciW6$U)cguHl6rv(9^Pg`oj~S? z>J?3wc#99Pg|VPnWCu4d`Yx!t4Mo<(`4ia_ z1ba~eHl+uMQSFEp@leJ3USp%s`6kt(pk!Cnqi0~bZZ{q+?PM^2+Cpfh$q-sS9NI`6 z+SL$R&29)S`jLYZa6b)=8cCJI7V(Q&sE;Qm0kbNr0A@A6+z(lKS>lhLTO~St=vMBy zqBcOf(OXW?V{5=|rZ2e7WI<$Ei@nbFVbq$ioFNfsu zD|7=OdDLP^o*qPYqWYe!gw%sbA zUHRh3N^xZMaAaK-CpsarymH949DCu1y@&%Zv^C&`wi3JuXF+7OyXc2^LS%1ZFJ9qe zu@}4uw+AnT*b5={g2G-<6}k`68xpUyi@*z4@PdBmpl0r}>ByqJ7(gdQpx=gc z&@T_Zw1aef#OX+ebfmwAbhzOIo?#2=$Z&vk*y429UejL!jwE46j^kajAy(n0uTnskFUV{>eP15%?LV#c$0Dw?io{ScmEE$g*i4y|FOCgr% z`7{LK6PhvMSwl3P0hDsY=erPsYt4e-y5sXbAD{2}_RanK(!L#(K4AXRy<$A)n-7$WEC7|uR%OHE9H|8RpFN*$1KGR1ayRSmb<)nZfxSqPD);CqTR`p(aGxr4B-*{1BBmRhnWOg6f;TInp7H_E4)CL~wJR7W1|5zFJPb#P2 zQv&%AO|ts~hk=!k?D;+$=zjV>8wl+kp4eDsie<%F>ikL>`!qee&Z)adq;GOaT3LCm z&ud>D>rzGBeHaHA$(`uqePz|5MjdYa?HH|wwh9q6)7{dF%9LZ_Mv@6Rxql)%gLuXs zoMxrzwdb`4;Ul$fop&Sj(J#I8kyeVX9%C2{I5$WtGtq+VQx!pQIly_IjsCyhFvmh% z*cv`UI~$NXMM`^LnVb$xB|~DAe_7YerabN$EN&z@_axV<^4?Zi`@5R_CZ!-L*jj&l zWB#xH(nABMIDZzJmSBk7gCX(=hDbR;q`+IehSiQPEdnj;JdfOhK#d9WDmKj|M`WCtlS@%$#x{1M*o-N~dcoQr=dT&+55g#lhHMzZ_uwJi4-etK z^pPSU9HCfa6xLXSH7u}3Egq>q;gPx+jnvzQo&G)?wKzgZy)WWL%+!Rf4af)@oxr+Lo|Zos#K+yGfP*L8O0Hb@JLS)nj_v!G;Cz@2RsXuMEI8h z;$`Kh(!eP9+x_{aOTH!4dv1Z*c13dX;cvHi+2aEBKY513SQ_pB5Kq06l3ig+pdAb} z#~*zHO`wcS`MJ+vm<+Rb|JC+uJ{rPLRm8QYz)vSCP^2@;UAA(6HIk$~%Y6u9qB_qk z$Xd3&yD9A}7p>?IC&|Z}^SA#$<{Yx=e}%^sDAL-4Ajz9-@sO z*QkE)dc0En&RgH^oBRnLY|r4q_Wt+5*7s?*x1TUtKdRgG^(V*V1J8AHIvhoZQUl!? zQooh#%Gz%!?H8I;4ps-IRXa&XxRoc-CFl^2#}pefOpUm5Z|U6K87p2*=_O1{e} z^_I*uMM{mMTW=uga7;>ksQmOg@cvd&NI++bRP7kuBb9m_uWai%oDM72I!UY1iUJY8 zD`k(qo)nZdHI6K0AlL2~Pwfm>g`2ZNEA>32MZRw>Mqadb{p;-OEq!1%y*s;qfT23y zEYn5T6rTURrl@qZQ>i6d-8+qWm%5F=|DU%p$5MiFUuWF*Uw0xZN9UK(oa7h3%A(~aw=R*#9jcH{RSPTZXl@z3lhk+g4xrmN<7_D}wpsdIYNr-Hvm zdl=c54l@SDmSLp(1`*A-x4+Mcnv@a7bxWqym5c=5+Qb$kv++&qKJ~Xe|6+Wzu+VkD ze>Ux*OO&|medl4CO`q2Vuh`BD|0?hIJwdN}rS~25j31X@d&|8!bnlmZt9k+V_g zl=t&tnbzC22WUPcA;Hee^b`6*RIW!wg}p7?z0=AUmHgBkW?8KKJxV_s$H2rZeM9HsB)+4_oSuNz z@|XNc|5KPoUvnUl*|!sOOl^DoBYn@>>QEblKQFcI>54RrkCE&fMMm_TJmS+7cuE?F zzV~NvCHmfu=8D%zCuFmi`JpnYEU<+yW-aqaUwAebTe~Qb;MU+*NU#yK6jJSj!y{(Z ziu9(=>h6~92hvuA_sl9>7WBT;+3B;cZ4d1f+ZY*_(KDeng0*T|sNz;_3o7!iIr$Iz zubz-CT($?TOfUEV+sqdPZ&_k(+Y^e4y!@roAkCq2oJOx85WZ(E_=NVxP!*p6_Y7@J>_yGP^(z37Rcf#!5+IXx*a}T4$OzeYSEa{B-cPYra)xf;Wpq z|Gw|^J3a7foMusTBS>2b3RO+UlcL0l-|aG)iS2S2Et=*lQHJ}~X#-zql?nCQ3oV~Q zS3Rx0&IrEXop$q9?S7h1lZzhD7Fk$Ukl9#2bERzB*YU*M?v+vE$M5I=9dD#MQlNO9 zG&qc|t>Sr)$A^vNTx}RJF3x^m;Tjb}&dMhXZ{{!3(cZ^ti#PnAv|0Xv@kD*C?@7&` zQ6oXkMy=(Vk@T_ZlG;sC16r@6YQ~?-JoIDJ9c;=!%+6oaxmbs>HaHc6#7l2gei_z0*G2s%8K2)X=A? zq96~&ma#K9`uhn@otZxs5N-X}eOV>rGz-2PLXA-~?a(UB3k@T}IcZtCB_d-Z$>6iT z=^*4>(21&yHOZ?>Ji#bbaogd1ocjE~>}P#r!Iz!QA>fOP+ab3sv!Ru0vsAQ(W!hy) z>9|0G8LVCLj(+t-y1AePWjZjDJslbS==Zw9r&VU33!_W(Cs*F> zSuXQ#d=wq>FXL(SPYf&m8DIH7`}L8eexxC?UVEWOfFv1H)3D@+N4lEN2lnfy&rx!Z z!~_q>%(_k(NuF;Bjz8kVJ$KK0LW7QVoFjKuS~a-gX(1?BYRf2jut>LiUz9}kTdvgH zGHpEbbeg`;)z+iUIml4QXZnFnd)`<5daupPmpd;lcld_mRqu22PdPw2-|l&Uc6T3x z3-A%UPDjj+9#~|ROVg}h=FxeRwy5w|cRiL(CHgfU%&R(w_Mcl_np$Qr|0Z_07LlY} zHX~SkCrzg`(JgWJ1z6c{8mnrsBFSett&$|w3o{&Ih8+buX+Bj!r4AoS!i6uFBrTSLcMNMrwmyf zqq=Du$C~moOGZ1K$EZ=7b_ zcdfZtYx{RC*Y8?-*4@9t!p<1=_;AEW(U};*vm>F9&}rL_RuWobgjGgnz~OcYK9aGY ziw@3M>uCs;mj{c{QB$0fqOxZ&gTWoc@9yZpX@6<`OB$T7S+?s^z zuw&_CtSe(cD+g=&1BRa9>xqnzM}asTOmnOEwW}ZTzk^2k+JRUCSKrm5;PmgO(fC5@57Y zez))+91XKy((F*!X5tu{O~o;s)LiU;b1>-yW1e7FicxSP)`}m4{nEpGF|6=j);Jp4 z%RNtz_>7FGx(|mFTfXRrc?HzRimZpzDW=Bo$Fm#bO!9UgZmVAA{FxzilhE>BKh3!I zF|h1{(lh3-=Es%>%GruE>&P&!3yp7`<@>a>SY5=IL~GY%ypBtrW*V~9k!d4SAMGj5 zFRoHBEFGzJZ=*`?__wI%C`jHm+5^LKS(JOtzHfT~i&eJgY{aQ5zwP z?ntg0Eati!QYVa#!0u~$QXdIYsGmfI(_1`b1ll0^6`R(dn$!?-bvEhmQLJ>8gc**a zj5_i-N67>7u5?o+GLQ8$)mY8;I!gMTVPz@c1zl=owX&&=sGUsJTvKsN1+4HM+Mi*} z=XY-@_Y^iV9xNpDKeUj0#mdws_0Ikm9M+ALqJ?Elk7miL>Ayib~0Nb^XQ>DK||jAh(}a8be#xp@cm$Jca`bvN67 zBK3BzTCN&Tmlil_KLl-Kg`*YiYwv=W18d*|rvsugH8>P*0I+GmViOa`9 zk95goom8jJB~v?JLr5jBluP<|UTWwpTp&03+Y*A2@e`%@QJK|7@TXFtQuG3UH0h4C zgyM!ZM7>1`)FFQy$-c*mVNiy1hdXPt&#DG^Cg1@2F&2Xkj~0>3c&W1o;g^b1lsc72 zM`kg+4$UXC{ID5*CuQ{=&i9|D^GpMT^)W2jH8=szG}XBY%%^5ULz1Ek{kLazTk@ss zf;~8t9zRoSJCdhwXXZ1h6@@!2>HdM0DsRq`cfpe7=Av@RMe7>P1)})yK z7TUA_N!dt8-upM*iD#Ayb2J-+5A84~(PqhG$dY@qFKq;SGWD>!6`cn5@gCadutekS z%&_qK?ZkYrz0qFf^?;mGI@mpn9G{78=j)NRcV(l6X@5?VvaN&$rq1qhu=Uq6enz)z zRibpJrc2v8FfIFURZ<~dcTLZ5))4nvL+7Wo77yV%NLA%H()upzs8YYI!hm{q8N~Q= zRaSpdQxZ7g&Z`LZe0WLO*5o`>BN6_qP8D5r){p-00Y~|n*T3PgFgk|SS%eEB=@t9R zkcDdG_$k%E!(Si|3ENQLy+`i3=qyZ_KUJ7ky%c<&K)T$OJx9neiMlccm$Ba{YI8Na z9lbP#N}B?pz|LyoqzzdFo7Nam^C0`R6G+BpYRbiW)@7CbhSP9@Hco)-pH%vG#-d-9 z2)WDK*weL*YEP(_jrv}EvKOzEA^pQkbK_aY2nbc!PMSgeW|`F-%1Yk#;4}n{6JgE0 zIZ`!TXj$5&MF0PKnjsjkQp`-KR+^O#wum@A_u1s$n=6||y+`sshnBz8E0~YkZ1|dB zs&2n^`OEYvVi?5&3!I_(#`gUD+D`9skriENc1q{8c50+LYdVMfI6xCRrZ(4e+;d}iQ6UkpCtHNtQeuH2>f$5wo8wSt)$YKf1G-m4jb7jp9Em7^+gO>0)ipH0 zgpa}W+5vA?LUD@xPM~iK4aEDA3E|&|pSjJ|Xc^^b9xQ#JIqW7hIjvVPA4!H!6ni5{ zvq)?BX80)wAWD|aL9p-k;G82BzuzVd@4}s9^#crk4ba)2^MQDh^E%lwmPyYVwi393i2R^c|G=sz%YLi??$h=78sxP zn>w%EVqhA5#RguZ`_ba0=eet+>7flXmZ$m-xYH$&frJiM`d8eduyHARftKX$1HHQT648DovS)=iwxBEi=q zM6JJn3anMXQ@j}`D)cC*hh%#M$0DU+WDEMjB{&OxAsfrHK{2E?>&RA*l)t15u?xD` z1?Fr)1)@>1OCG|{2kgmGwH#As7qwL=O1w?H){-U1il_8J@lf-0_BQ7*$b%nxMn-#s^}N5 z1L%td&BOT@%xrtIkUz+fxSowj(6+z>l|%YMLFsd!fBzy!3hkRHkp-_I?M1*CnQ#4D z$NM9G$Ic}+r}$gK{E9A!lr*#9V4o)E(EtI&Idpwf{*wI*`4W9|2SN_8ZtIQlDn$-^ zDKph|Dbu-B@nP8W82xJVVEy*sXq30S(M+gBPmD9Nc>=gxYCPo*(aGx>i}bU$h3jAQPESxnp?hFHon!gg~a_n-k#-tTy}| zWd4J93;z?Nn(kOYHO-9XDJVR?k;*AI5H^`D5Y(e>R-R%{t6i|=tvg^3E{zbK$KzG% z#~%uZ>vUY7n>lZxi}!NP!UVgc;$5Q0;H#$RJuM&J#iOG#2j5V|PlOvP!3sQend7O8 zdkK&4E_!HuA2qVC`2cbvev;QWJkmVCBTdN|nAOC;Ed$To@z6iA70+t%hG~nDy+2_s zp@l%TWr+~d_11XWyYZ^p{E?m)%C^Z~O43UDY=HePxBAek$i#Q)4YH7%TR(3WE$|ju zzD?5;#tb|_kaPw?5+`lSfJU;2^Ey4|MAfz8#}Qr{#dBrGx%K1g;&rCwxD;9i`mC2S zMUHhFTC^R<8HWu8sbyUTpSyCDX%XRuheJCae^m9vXTLIII{#|ty;n=usXQZOb<`fU z@=HHkImdBYiiRFOX&^Uh@J1Pr-CHuJ|4kU_!~BnmuhtNIwFFICOiw=_Ho%xfSxy@W z(H49mPPUwVnEH8c&Wbu;74z7_+lo>LUi@?Z|30DrlaH5L{`m4|*54E(ixZvZ=0rBc zXH@172>u#TH@+M0Wl(mlBS#ctV)^xhhczP?%GD!FR5kZmen-j8MeL@3fuZKlQkh;S z6A@RARMj}6rp?*f%Rte$D2U<-jn@&yWgZ+vvCSFq6@@; zzfkq;zD$F;DIPSsUMY9<=l|wNO_%%UGk{d76-~+R)K3(3Czi#c1DE8DA%$D1Y{Ux? zDa9j3&MUrqn2@=HYW6boIn62y!&I*Gq)gqRZfzrc&#*-Kz$iE?d$LAr?^@B>Hmc7F zO(p#8EgtQ_YF&C#ACP+M^3Vd|h@sx$;3>^v6g9n{X6?w-;h~}JcY3S69%(^|4tN*ulOs&tQT}~qt&Ws5vpfFIBK#nq&{LrW|ZVKOWTEgVD)tU zNli|tng{Z3s%o6TEoM*H+A!-4-OE0nVRiar=ZT($_gOASNoT}_v#?%x&ra_txm50* zrz9HKzAYf_z<&BPBJ-p2^ZTI*Y%Uv7I1lW({?AlW2WRzxu;_Z3r@SlI5#nw(feh;4 z>^w^5o%udU#Q#JUepGfKzH30;Nydutx|BtK*ZN8}Ti*3zF=&Mw5yA}8{Ynk{xsvaM zFVw|K_g4<+HB>dxD*IzaP#)nX1nW;K83k=K;mpEB&hjKHaY%eAMYc?C@*HPAFG6Nk z%`f8l7N%19MSL-kamxr+`j8j%^Y8?vd^bz(pJxSCQ*S^pcCI7UC~7(2z4};Bmgm-P z_Bzebq6V&V3bg}hXt){S@E2u_cyND9edq>4xU2a?=-i=RrLZeTVcc7NgMGFqT6U#U z7T%i7oN87k)IYeLsCirYztQaf=9AkEFSS34uD%X=H!^4;_0+D(el^Z%!uo|UY|PfBE@F0iMH+dh|jw4P@{Y|IX%Uy z#No@(*zQm>zUQZfi^W#)sY@M)4J;GgHmKd4L*A|$zEWy%B`vS|=j7Hi9_LKkb(>Tn z^zlEospHHX)_e-MeN*|N{pyi9FGm0MmFIfp)jF%gW!!FyskC9@aNgM=X??%8p}yzk zlMM8ylF*No{I30zysfrsaGR5`*<=6H)vw<45z{WLyWMwuUQVh<6u;!$+6~nLP2FL~ ze{T>E#2ZZvDm%?B-PVg_2`+vw+K!&hyVicCPCU|Wf2GWN@JgRuLt4<^!6i(OrBcoI z-ipmnoFh)!o!sSOblI!cA!Fy=kf(;4zQb8tpH#KEl&{Ve+vR6^SiiZ%Hedz)x;->5 zc+=auH6=QUbD8IYvs&L=vUU>`9NGI;J<yM>xT z^vO(d$5NYi$0B1BmpjCUmK>0|6dVaM=3Xu6TZJ)rw;izC9m2n5r)6|~{Q5zsA`hg2 z{-)whB${!$)(LI#qG&$I&|2o4GtXAA&y`a;dq=uwQ;BK9dyLAnM;Vj5FJAd}wQt8| zjR*Zpy>iZHwaw~r!u z=UI>CKWCH57}?gAOl#lw!w*fELf%DIV+>cgtA_nhC7wm*6|grEWL8`KpWW({+bMN1 ze=X79zTyQn&5Xsn!1@;!P!uWm$s5tn@5=ktPJ=b;Uig0^Jg* z$z3(d74qRf33fE=tb+Z+pv0KDs8fAjHR0FaO6KBQOP(d6TT&~lZ%g(gUOhm+Z_#-pp*$&zk`F$+z#5c;*~&@sg$7i9 zbDkGL>Xq^j+yI}=rcky;?v&;a2D9ingA+|;$5~(P4_i-I8&JDdlHyt!;|;%WsSpfe z%k%#v`e)D^Wkd2o(v=*)j|5pyLK-6@drYRM%Qz^M4`A8kVr7WjM3)+md`u@|@tVIA zlp!VW*-4{Q;V6_p;Wclmxbw*&iFfG8>*rd3OX}Pn0=BxO^3flAa=6*JRWtk#p@;Q% z5DpV5ohEfhVD%9bv+zGiI~VmZio9zVJcO+^-@Sv#4h`@fr_Q-R7ijfpQqV zXh9LJ$gFe&>SnPL-MG!CQ>)uNLT*vW0sl#qQ441gLB)!c%(nWYr@(=9L&~*Cd46A$ zdd#3?I+%1)HL`)wkCdHM4Ry_+djG=a-%#0~k$LwFFQQW$d;OgnlqkQ}F*4g0&QgLA zBT{bet+0pm?vsbP5dDcUUx#IW@W#mfB; zg7WsOHAGi_3W8;I@|DT7t#;%gi}j5O=^`hTJzsCK=%=lDhJ$%KAf zjYC7i!m#j~M7r`kF813k>LgX#f2b!aBKr-WU_fR#Nh+W57ewq=%DXDUeHL{`4(8;0 z!d36wp15G``2+L^>&Z{x+sI~uKao1BGHuKoejC4***EAvrFWr;6zpM6n~{!eGT689 ztJTKSH4c}bc?`8?#S+E?{bA^w#kO!xtI~d=&g>7ft%&B8@;SKtnUg7lV)i^jbXKG^ z?p^rb5}+{&wOpVSGIk$iAuIcdkiCm_46zp}H%;|FRkdi4*|pEHQch5r|U zxU*3YZ86FSPxPYHfl{H;nkVl{F!(8B;38{XP5pVZC zyBt2W`a7c77lI|yGqO7^948|4Mt{W;vn!UACls8>fZAE49H&~N(OkLMKd{7X=sat1 z8pPgXAvvdoV^I_O*VAF2P_D-bBKf!yq7~V~IjKtfnfm%}=+54JT7TZGK_7rR=gsKP zzEbNR^gq}iR=D!*ZDHCsSMv613|jgvYD;<6N!h)3LjxE32R^c<4%&Z1e}Z~D6}|8C?squJe-j829ec9Q);P@R?7Bx?F6GyO>*zpFk<$$QQm3Jslg4g+ z!w+!BwQ^6zolQz#DH~8~x*M> z7A#L4Ln&_bU#{L=(Y#f;m7NOb#i=10HQ`BoT!5%gS1%AuS>}OMV zOz?Sstnw0(iz}&R-vNq!K~?EPoldv5>Cc-qcx6N^b5A1H+x#Ole8Q*-Z|vpvo44de z>^ySR`M`|-KmS@r-Ec||P^mlqlTqt=$cQ^(PpRe4ht)!wS@+$r3GX-iE+G4kdF?*ICic}2?RWl!gt%ud`g)OqnXjan4YIx^^%sCu)o zQim3FgZpGCLs9j0fmf4s;RLSavZd*GhWAN@WZOXJxT&Qw^|MP;?$iz*kfk}eGs2I| zw%_s4K^i4YTy;nDTT4IUAKWGKgC!T{GNOW$5Vvrf;njv{NXcYTAmTnYE!#ojSNT9t zLD$MV#4NcFh^7VSgXk=;E+lF>(HcZci(-L|jFm4#64sV!?eMM1ET(ekU? zZJZej_pWhd;$ZF+iBvz|nXy_F=CyicP4u$BR7;!2a|tnQ-jo1KoAwW<`HqO-%q>cW zC7t9>Xi44-udirH+tZ0o=gJ2J(R~f9{S(hF7v&4gCgvB@($}TM6&9La_=3JcQCe?7kJ4?zRcGn?gw zOl{v#qyUOWmmflVhhGgYsjAXX>UBBI2Xc3_$QT)>S=?fWqBFv7X@?I><-3t6%OXvN z)ZHeEj-#Mh#;_k41u$%!<7+yt)5|V}JRL82w?kfWGviJ6n~+%_x`M9-r=d_XRxT?; zd#BG4rx^_VvwkqJC>iZ8h{SPbxCuU^Z!%|iNzlsHldX@Ul@m`(Aw*?G*?rrmC84Vz?C|qp^ofh$ z6Izqxv?0`*m z=20iMQ?FV$S5*OeRBLFfBEwa=^D^N)3Jxdxvjy|CAk; z^L5@}hQiyfH*0$#w9g5K6nf7@*%PNC%$kWUWpiqw`T8WV>N^0^b}|XsWXhz;SUwnZ zhy+ zd|No@Rp+8uMN*HBd(`qYYcF%^u^Xl|f$~(uq+hXrU#3_Q#UEv$$KJ-TYs2Y?$@7Yo$K@tE)E?wM>!R#72p*4-A+XXV z%32j+v4kOzH%^-B*%{u*z4O17CBH(=r}k5!ysEy02aKFCM(2#8bg% z*@0#z{=Coyx_fivj{YL}PJO4%S_EM)sB3-mQKY_^06TVOUsd1VvT4oB>S?BP2 z_)|uk$04YtffkO^rg!)((aG%t-pDDVk{RXXq;%~>rS+9%W^_c)&G4ezh;(0STDmnk zgZdpTLN^cg192)%^p`2F-AdQqtU)nU^*KYzt6jlpVV44xeqq1iFeV&%m$?S7r$Wlfr?KlgH_`n|z*t1RZx6&cC)lz)0< zbn_CkUUH0dp-sErBoRPDP7FWXgv+pL@0`d2@bN_O4$HW%8eccK*qstG=a1$ldUJ1m z87b}PRr>7;Wju6k{Y;K6nYo!Xm0OfN-oDEzAZ)t3-|NMN%8|*51KhFOzK$Cz8-98q zN_{}5BdgC<%l+{KH+*I5*z%=|O!zb7%IsTT9`iJf^V1e+bCI_B^x8J$)`&+-kPi)g zy=S_+_v;g1pKsXj`PfMJPAk`?wHK?-jq4m~pf;jKjN<(4U=ezp-y-y}L9*oG=d*h( zR)#+|R0qFFC@Gu~vh2{6X8EI^`?qPf2Zzu6;KiSfLDpju2H8nP&h+uMXh^Op@_>F} z+%g_vpx1K2YXogBldah(7XC4Y&Im4#e@Asr%O^l=PK!xu^MLtgQb#@&K{s_FI09j@ z;bb0+{i&xDL8G!L7W#l;%OKLAp9y}}no@ecZTIv?@gMZsjyQRmW(*pcX5{@P>Q6tV z7@Z{6zZv5WdI1-|70pjemsTc?TWhseXRS`z^&iwkf5bAT{~VFKM_&&Ssd({O zmOLB%xv)7D{{q1rI=W`{EiJFz=B~xy1<+WlBfLNAu<41p2L0jdFDuvktgq6JwSMW( zp^x1c`YL3uy*_KC%^-MgVzicdDNk-YwdDEY;2lYfCB)(wg?{-(iWh%DnmX5J2F)i< ztX1a(e(68-r-v%kB#9K$5^)<%cfoB`WGNmw{v5@`dIr#r_UfWeltaz$E!B|21k7`^ zCvaw%t59Z;pateDi4$4j^C6hpKX704J6-F1uDmjRR1!nCR5Q0kQ~I@W&^)wTL994D zH{`g`2$7Y>b7kMzN;_sNnz1cGsBO~9FPolAFx|LHKeMQ|@N(OyoEhJKND3&~itlkW zZaCP2D{$R(NdLp_=&@g$XZKAkqzWr%2_HJ3R_-(GbB39t+7LaX zO8iKk4ei;JlAVcfkJPpzmcHe1TTST^!|t}dNlVV7e?5$lx{u?$JmUUy=(LY{@#gP52+gPEX_rNjv>6|| zo+kv~gT+@1s!)Dq7HOSJZR&N-<@pqSj2No05We*-gdiVo2%4waJ>={|>mITVc}6z~ zJ;NRP(I@OkL(p_WKSs7xt}S&Cp@=enWuuYvqKe>IP-ilRxtG5_zD(=BW?r&W^yWzI z>w@=*ZO_Z5Tv))38Gox`4f-z{lVu+$$63Up=Af1Xy~>qlZ(G~OEqkc@TB$j%PkY#T zt+2$-rco=JiCL|3vNasuH7$`)J1Mhi#6nt1jA>L~fPM$tY_OVUib!O8V2nGQ)Zrpm zfFx7-? zianHmt73Tm;FWR(jIsSKc{KG1x;doY@JF~nsl+qL;&1iJp+CaoQx4EQUC5n*c<{Ta zGOZxzmqA$rVq@q%^8~7Io1X8#|0H5!776E=@%n$6>I>0gPp*scIg{#>)Gh zlZm|U9tf{a3&#Y_tf4lWh061+3&6Vc&#=tZ52rGPfp7Q&$1%k{ucB9wlSI^dHN`3A z58Fc;Dh+{#Jf_z4E?fj=dCP}W!rWt6^adBQnm+^=ZZ1U*^Ao*fgjv_ff)B)1S2Bx_ zWA>-qggi^gEU9G>>GX*dF%SpuIJJ2k$p(%W_7~yum{lZW?ES2zFDEM;u-|j#3%#lK zR*r!72k8jhlfIBlsAK0M7C(!65{eBytJ?O2b#~bP0;@F+xMkQjg13vB(%Q+U5Bfk+ zs8dum*{riNH~M;Vj)Mwc3l_0W)f3J=qt=TI==XVZgcXoEC-MMq`XYRd2qIO%_{dn^xtGZ>_ zfpq$~w^xDr!OD*U*UpDszP$JPwL4!fa5p@>bLHA&uh0G8gp{Hxb#>zo@LY@w5FNBB z7+|wIGu&Uewe^fBL8KY^5!aNJ)&45=Xm@wg=`)?gT5?yGa$6_YZswH0@*(OT)Gpi( z=po{((x3m?<0FL9kAmlVj|%mS5-u_UWIgWs=)xg-(8ZvdV zrU(-*zPQtdIfoDBXLd*CE1_P+x6a>w=G)<*Ua+IG4s91fdH!hE#@vMjnYj!48R$Jz z1Tp>BmnJ{Z!{8LfG1ppLp!*HK0jqcDB)mq&1uE4^S*Zum8Ol z7d$1Hje|IiUYiFir)`AWMr6>}3`~C42Hmd|ylFmarciV>f{Y6KIk<|-|83Np&MRpa z5%ZyLq`Jc@(4)$5Lr}i#ScU7xid@x!!mbnZWr1CA5X@5oyc#5iaNFYnFwruP|6p9g>eQi0!C9ZQ3G&EV|*IG z7NHU(bD$Boc9C|1TfVN^0BF&jmxa!YLBXReDZbs79m{wSdVypJKCO>nX)8w~Rv%@e zW??z6wrzY7BFz{8lnOjNk2)cHbHDtywO?dP_sE^GgeNtx(uGp;WKu9fVWznoKPP3O z2m1~4zrH7Gkr>v7Gl{W=WozqGD0&K_uld8kkBfW1zDIlkKk*K)}Esa*OAb zt*SiSaQ+cU2qRXe{3itIM|R?;xv`uSu~fGh;@YywGAU4nb|g<|5y&L@BamY>@z8Re zW>sj<3Fz;~>u{a#sq04}feG00%{GX+@v1f`L>N)Kjh1JCqk+&rATVcJC}O0# zV>nL9S=DE#;{63+gxFgs_ZWl(N(6*I@1|Xhi{Ahr9)-8!b!<2@$ys0wm|;n_msE|@ zIBX-**AFM&hP`uxHJW572KBIgf^Ul$iA4p#{KM=Vi@#|UpfM!X~>2+QD%DB=LH z{Zi%iyRnI=j-IFMAk&c>T3qJ{8=0VA_QN@U$A;?shDUf9LN`--meFF$(8sA9Z!j1{ zE>&0*cXJtiUKuI}gbae4t}#ZL@j$A8kW8c?tv~M=JPE*@`-D2a4=PF~Al0_XSfhmk zwqkjzM#eJ)H|@v~)(pzDAPcGEhoIRMa_(hZpSupMt4@>ZZlZ{f5Me#7E&ox`3tw{$ zMGOa7M`sfe%z2n~5Oq|XERNEk)IbZ7r`xOxFRaMlr9ZJag6joX54$+OhE#?zm_7q9Dg$FCiNEI;@{|817Nq`4& z0k3L+I(nz1=i3OvmOO#orarJctJ4qwxXX6spEfD3jto zk>@l?k2Gq-2Hu1F)O`f}#vGCxB@&I)_&lwehB^n^g6a%WUM-aCR7om567c?|Q^O!L zR~(0kCOR+Mr`pwLBq7$mvX-$KO7k$(Ix*7Sn&WqFK1D+$BLxuwqlXS`28FmFu-ojr z%`gAO$3b+H*NYdKK{;GYYQMx+*tci58Jk1Z*axkFajyl*NJ8(J@G_|}M{yp3j#ER^ zpAnUT?Mne(dH_)GPJlHP zlDHN;{UAy+ZU9?CQ;kLmn6Xw2pxsT>(I!gq6skvjo9PwO2UG{5iRH^^1qUep(|G?3 zkv3%;Y7P?*2p`~(_O~J&C2d3)3P4+?lF$Y(YdspHj>Ztl%id21fXBnT1mgM6s{fRV zlgE9rBFutOT@aB!0VVUY7PV0e#hHZOfy1zRv~0UlqOJjttmr8sk`eYtml`Tq2K$GG zQI(0?^B{K=GA5z-c`?p7Kov7hzMK zzlzGZU2;~lK3rsLkjh-3{I^7=@gbmRfC(Hm@0PAbl)YDaCX?d75zM%=($y0K^II7g z9$zB}{)snKfhtNwv>;dnC~n4HMOl7Tni)`qdrh7&U-4dGi39&;WE!=! z*`_`g$*lOInPa#O?79y_oXyC?s4d|#ge|=suwv+4cv3>XP?@$U$8e%5An_N?8elw2 zh=vyip#@pQc>L!Al_}@oXf4+-m=~bgTMvl>F>kvBEV{WLSij zqTS=A9bu}#Q^L50((@66a@w#PZ(zzW^ifjYVvylV*BM-Ubvs|!wo|8Th5pw)Fs(=D z&dW9VOY3j6IhG#9gwFrZKpBkSPL%G!Z-m-YpJ3cuU#BDYey&Y9<>HIc^yx{7N z6%nhOYnv%LW`e59=nkv=-si}~@aSoNO1+##OaWA2TfJ6&`{`z#--q{S>bkv$x9GNx zMLlo%UNzPii}g~xHp7ET!Pd9_3dr@r z#6`8)AGVW}ZTl1u%{dg7fe0DR0!L6Z3+aS3%Yct+lURVIo&~A`Ljxf<`!nG1wRC2F zO$Bk2uWmJ7OI`Cs&tG-ml9ApL!5@b0ZK5O|7#&3g;XwB=#%iqq9chZ@!EPO|Xr)E& z4Y2nWQBa3YtIrn9299$^jYs4SB)L1zMjK-%E%0M-=g#5nVdsQD-m3=e^DUTcuybfA zk147?rl5Z4ikYf}k_hK(3erW@444emqugP1=F}{J_FH4(7!;Zi!wvOzh?+T7QRvWQ%_O=Mpdk<}7{(g6`DlH<>g`G_b^ zqqirA#G0JV-P>qVjD;pOqgnR8d}A0*1`5l~)yi9)CePjIEZB|1U{29)F7d3#7&FWy zSgjOsvut%v+f#Xe8$#|O&E#rpy0jmFTj^XM3FruD_;;Riezm4heOy6WMo9|2wQxV! zq1`u+e~%j)c?9ZdLGq(4PtK}>4R1|ET@z4F;806$`Lsqj5rhRQNI@I9F9B)+(SRVV zuje7LW06pN4y@jJRAtz90L`K#E;0(yAi11tfRj+Y3L`)ew3kQC+XrD&2o%GWk}*+O z-~z+B6JAvi*O5$S?D`LUXHhPAj)Hp=0ezNN<9bDO!kxbP7@Rz)#HSA573 z6z`~JrzG@O9@bMK&#Ax$Ar3$lbK*3-&;h18L8^m2G+2#s+H?QsUub6{QDiJjy0*5H z1_0O#=B1WOLGQd-2+WX#)gNsRu_Z`wk#m>`-*b^1Pf zMaN``ZWg*n4BG4}fMIk~Geuw>EmuWTO-2=~uxLAhujw+Ir@gcf#Z-_&^^y?1Zg8DB z;<&LvO4rnekjXaK12~o)$aAfglD(`xh__Jm*U@LILR%9N&g5Y9zVZp`P7uXnjtT*W zA%K6KVK8s7LL+aQOod#erEjUiYGF|dS1?3jOwBZ1S}EC*gt?C%L!>Q?$U|EnNsOVO z|1iUIs;MiKSY_)Xkuj?apcP1fA8&n9M7WEGLp zBHFc$fJ;!Kbp0dNLWlHO+eU;K%OtJDi(sCvMZ2`iY)fG|AR%UrBd8X}z^U^^e`erF z$akXZNTwt97bB&QZ>%hAo~j;`H?-7Tqom zXsGbhT=SX?tTY(Up$o7}P?QH8se8Fxw@a(VO3VukT`pxKPW+ zw}s=l%vuR#!{-M)-6{2E6ySV`nMMV7E-liPwb1JH3w3!VcD%T}tA(2)EQ|DJe)9oX zJ34Ob9s!o_VIuHdhh6{;*Tu5=t36kJ3%li%#969Q2#)43rp>Sl){2wtz|f&EmTE+b zjn}FGUrZpgGlO>S#*CTtOpn8&Dn_TLPQ;WMdNq&M&70+;=Z;r~sU|@(hKoK8>K$?7 z1TK}BSCMCbX&(!oduGRJo3afdoCR33iL%|EO;x-Xv_IssTS%s?da6EW#`8#_VJ!O^ zK`RuUE22nH(%x)uLG0g5$F|aSU8a{_&9+bF<1l2SVZ@EPW9xGfY(UbcQ^!x?G8(b= z<7O4UkmQPYusAGKK(yE{yy{`xzBBIFw&%nJQcXOrSewBTW7s}nI@Qp ziyzJkiU2%9)T;H>4FVG4T=W1;%u*IcK`F7KQgEukOOPZ@yvlNOQBLH+5j!C~EO1j7 zr6kV@djMs(QIQyHWoe4Ss7Mt9JR`?u%)(mjLsHzEK7C*ZXo}9o@{FRl-4LPhq_Z3_ z%E9`}^s#lRo{ehOcSFs^FjV_z8}(VR;*-_?RC=id&-F9uDZARrLOntB$$Jstj`@l( z@N2bKO>{@jO0N}~-R?gMJXB3eFM4(md^_W(Y&Q%CWq>SKh_5Mqh)~7!gpYPcOb+LQ zxHW^{mRZ8KLzAU!exqM>;%iKQ9iDI7s(<9f$(-f<^eA=YbnqLU3h=wsk%Gt3xj`>b z%$Z#eo^>`)sx%N|HjlMktmD!sO`0e7_Cy0AX-va#m%*N^$5ECrM!HwJx}3IpPa=4* z3jEuE>J&!{Z_wr6Ten#;Q%q=kh+JTZUWN2#J&j?ltGgeCdWDAuPJR6|ce@nD;T3uR zwB`}qjU|j(xZ>uo|EaAP-d6l+9sRnbITJ@2VdfsEa!!ayMB)Cox%z(U&FqL`opp(Y zv_s~yJT3?JT0Ok|Ps@ilz6oK+?H*S=4J_`Hm%Ba^Z)7z0bN)x*$nBbD-)HfQu_>Op zXHBukM~_n~o$ei~)V&gWAe)JMw-rtaE$V)j<)2*aPbCN%`{pZ6``6R^7+V|K@5GXp zkK8)({<77h4?CUM8uvdryjO3U%#9S ze!Wzx5c((k%TN7RDm>A^g{1Ei7TfM9o^-6Ztd|sDw>Yh4_j=Pr&vdaoq>_46b$Bh} z>@)zkdoO)kPz#mXptP$=wo_iOy3=!7m8Y_5CDl1&=heC;9FE+J9eU7tl)>&QoN^{% zQ?+!Fx;9w%HMq>2`DT{4Zd$XUWNCK(!5<4vW`whjg$q# zTla>SRn{n}CMB#h+M;^ia6z#wHN*xg`i}h#BQrL9jXkR9JEiE|nIHMY-l>|U(^wds zz3>PWI2ygc4mAi7a+aQSGL{e0Djeov2qu9Cu*PCSfN16Tz)EeUG$hT>j6R{e!U~PW z-atu35zo_@Hx7TJlF`wqVwo|x5U)U2=UecW*>uFu0C3 z>{oa&efW?ZYIhidT_j=JiS)f@>1Mn7SdXbFl$KEgn+H`}C_6~%mvNe3^1H~Ekj;vB zWha~uJ)Pmjs|w)As{Kmx2?96n4AdD`6|L3fpvqxmki9Ht1vn2ds&W%z1Jp=SJaev8+Af}lWh|K+#xPQH_&L8~%6O@K3R`4SLB0_vn#}Gvrg9Aqw$l;|5 zR+7+#g^+v&NPj9|MNSdtA?)%V>2OlG?r|OQ??Hss_m}NshO`3o=AH*q7<~V+&W)KE zV*Vi3;_tH|BrokEa}XYig?LHPX42U!4Z=YBUPn~Z1p>z?LL6po;v!BXZnPXbGeK2d0TPSDN>joUr*knZC5a{rG#3QP_ zt7F2fyGSCU*BWjqE(XCyAD<}0i6n1_Xp1*fwAR-u^U*rEw!?54q6eui89hKRCbmwE zSWUpJorJH+Mym@aXo^CaaPJD5DcA*qFUCUxdU}q<{7(1~B|0;*K9rh@BJ|vYtGP!) zKSZl9apor>kZ|upbo+I-8gZBvOUQf5Hn7?jERYdPl+q`nHxq?TK`eU0{S!KcLwrCK z*=4kE1~dIvyjA;PqNz6{W$1zJ0rj0Y)$iD5cqQb42w(J8pQ9$~3hqKtCHz%z-O1Bi z{GJ0n_G;@00a}GD5}vblXUMe5G^ok_c28!z?fKwIs zQ|_Hmk1^O}4HTGe3ZvFGf?p{th$Rh1vlu6@1t(lqbQO3NvS9xGf>CgDOc+3}i$NQ& z;bHfMR%WA#tJtkTQD_Oal>Lhy_&}_ulgDyU9j5o-GHaU?x7ODNIqlo9>p`qesxJ^o zoA1Fu(*QSQp$J0*lZ45oDcd_JFl>!>oG=~BPU{z(g&FZLt=oTr@KGc<8PV~PwP2LM z=d@sj6%*K!UQ8c~1ht`xlxVkvCjTptu|Sv)dNHet{*Lua4Z-blLzjzp6xdH^oC&N8 zB~!_bvMX|G!z||Hh8}_9Yu7LhyGddR{I4{4h^sR2(9iw6wt?= zh1mgwX&S>c4d9~P5}bfr1W~2hgA~r(kkANAzKxALXK4KyK%DerFQXFH=Q_5=J@(Q9 zl~@yo>j0f!YkL9ss1oL*94Q>U67r9J10MG?1E8{aF34zzqL4dMKgu~YH4^v+CZWGr z+RxMLjB-s#a77MweklPrjvJ)Mpv@#Bx_DU3V1`0CLy?=bnIuHV9k`~MtNnUg-*E|a za+eg$K;^gxcsdtdW3C6uPrD_@Vh3^yau=P6)r2OdMn3<5hpU{V_fp{_5wk&-`!DLy zzlGwxj&96HJVT{OuojyB1NGnSIDt)C{0ZorwjC^QRKd7N-Y03_V5yvYYCt5oUEwt` zm@z{FM|V&%M_7EmB4hUR#R5IGK9t`Cx5i!r27>&&N<6jhy*yjPxlJR>GD|ZzsD6Vi zq>XcBuUZ3quNsLKV9<^vBNl<|0vxgSrUh^WrWFVwHC6@_L_rAmNKqspp2-90ZIt`# z=s`!#SaB%3ip9hZU%_jUZz%|)k1#d$3^eT;0FycGq)Ecv6%wpc2;M~xR)eziaW~nk zVKQhpBjxBCGi4YlU@#DbTj4ki!Golk0#_C61Qg(f=tC3(%v!E7u5DXrl~ON$fS056 z)JV`nLJT47yA?Qo8k$|FE}TITC(2G90-V@Fxe3G;ro6DEPR zTd8-OuFGNnG#Xz+^z5^^~ppScyWs0O;ctym~^N zdft}c<#CfnKJ8z9trk(G1{d^Lq!gZSjEkEih7o6nipaGL*F{ zV?eFATgKaKWG%S)CTO+tYz9iEuyXC@#mg5DP%GLVQT=J+M9krmBarBzeq&j#`6E4M zzsy=?(AEg|iiRLoe=Nu42Bz@%JjPn87J7#Z8CbG?J=&Ml8cY{#tlTxGR(Ju%aqiOy=`I0W0 zHI9F`p5BAd8%lpOviHs78Z*~>uj1PtIvdl%*KpPlaEHrp-e+@3Ebe4sMkQ(;)c zUUu3uQKjX{q5(GO%#g4`HTscoV`W1G!?a6Un8i)ErKxRbUnbq>7P8<=UjYADW_(dRI?el&AQ#3a>AQTa?) zomQ1f%C6qg;?!bAW!K@FiZZk0m4!r=>AeRK|9?oFcm2^?S>?d>?j%Y|FDEV7zA(Q! zKAAvNF;ocF%-nb}PdLTjOAxAy9)L$i=Q7&^-*^-bT>6$u5zhliH`Sdxfep^&MF=FX zw`Q*FXwHF&u`7Cj9b~g}m+b=|aZ2VLgm0oS_LUYfRO1G>gzb{$(bz+#JtEHS{!vPB zP5#>@$x*B}$@jb=3}wLPyf z+l{7x?&^c?2Il9=(eG*wxkHIWqs8(EI1RI_%>4UfmFJiF;(i|jo>Fdz8((8!E)7jE zQA$a~YUX_Po@#EE71)&5kv5w0nRo(&LnI@-(qXX@(}LAOb4 z64^;91reYL%V`ja1?&bB)-#NNn&s=B7=%M0A*@I$Tp-i0v1&ATo5?(6tXPz zw}+zsUx*eL32lRdA`umu5Ty<2ssQ%{?rjT}4JW=yt4Ks>o3YCBLEiWpHk>deQf?~8 z6~=~Qq{Y)B-)axzgf)r5AUKc0QtC%z5EazWe;gCG9Hw`zln|ESu1nxm9Z;H2i2q<1 zbWvIb(jSIXFYv%>9=aQ1GT}p`=CBIo;8W!^+#n~?7`p~b11(|=*@Ei8^xh#}4{3(fXW{8z^K zec?`j#Mj(JA-^IWF)(gp?O-OGkww%{k~$Tf6qT{4@B2tc_W|h*4nUg3=?wpYQKdK( z0ie*eK=|0)WD61;y4?pUGdCuTR=QYoxSNy<{=Yzj(nJqMW<_qRAC}pGLk_f1yr{uy z!Wa`=fT}&91qh(Xh$bp6!{}BUw+L0jW7Hw3o1u`6K+WEfqNTHrTO?B*q;XIqGOV7- zNJkBz7vb^b$>Nk^h{)yKnI4MjiZ`PjCge2U7M%ax!T*+k7AB0C;#y#+CVv!!0$93Q z;LdIKDQRiCzh$yEIqJHod~WCzN@{}~myGbwfq5Hv+`I5KM^WnQM29I`7nmO982a9FrKG^$6m94C8|m&1aMa!_PGXyaEn#muf<#Q?|F^(phjo12~cL zR1@-mEPN176_&!O|4|*vM{xc}Mp=@&Eh+?1g63kj zA_vX%TV^rkQyJ=SJEpGrhEr$u!%~x3f8(|`wAv;(Zh68|qijWGe$pXm{1BN!q!s=k zmrnCi9eK8y4yP22vPklYF6ysNH%wg=$eyy|pbX+kXBUyTpLfj z1YG!lwB^5`u&qv&7F_sF`xnfg?xV*3MyCc`;Kow@SYJ1tvUG(XpZQJ0h0WU~;123t zlkb4rI5}-oQ5~?OoW8bms0<@V4?rvourb#O1>coGXwrQLJ&Wz{4ubD0$?WB1O|2PB zO=msl4AcZ|CP=_AviE_IUX?89gWa)d8=Fy@Os~ID$oPZ0XUK6Wau08M^`Qe0a_8&0 z?`5Pv4Kr>vvqnLf9Nb*JL2;R_3`KRlaO@-fmNYWJMS9JM5i%dzkh$WzEw!b1Sn3I! z`GZBgW)=|mDus0(^wmA{y^*iX$lxagnLXnNaL5M)|v%%gZ zR?=e??ZsxY7H0@>ZX{_be%($Uy?-^#Ec`>$sUQEa5YuuigWwuIGfkEFx#dqTYqpV7fF ztDfM`ZZPkD40{SX@YRUYrEX3gNOm3Dvl-Q2G82Hzzh2lK$XH5R8<8X^iDjBmCH}W% z?AKwdbHig$%oN$bK84}OwNCM6I&9_Z(L)$UOH7W!R$^Le@kPKmxn|q^#g-}qKQc1? z&cYmHc4}bbr7Gu{E_?l|t8j*Hs;xU^6gSNV6D>er&oOW=qR!@=h>Y>Ta`y#I#KwlCZO|N=AC%wqN_|XiMIJ+UeN~ zbwwQ^l6#HD#?|g8R5G8;Z){ZGd0C?wpV*TUZ!pI0jCqDfU}~RkyqX!hujynAexYd_ zne}XI@G?;rRM`p(f}wzeZmqZTuvzQX{pAdL>?+aY?c^z^iN#3EM;}wX!4VGA^tIz* zI5R4N?)A2;Uwv|^;Pxragx zCma5h_l}10i|1qRz59JOBJ|YC0B5mhT}Qq?W!JaQBJZM)!eDBE3$`t$Zaod6N32c~ zGS_1lr5<2%<_zC9@jHe5k`p$*n0Jr9bAY$}=TW0gd$Ub;8*K7bO65!Af$yb|n{|DM z3uFId+7n0S?EdCmwGSd{mIgWfJ}i}QF0w2Atj++xRDg_~C)3>Ux-b~RoXk567Sh%Q zQomkrLYedMxr+QLhSSTNzs#J&!?D}u)=kILXK4Q*9pzGcuHX#TQ|uOsCmD;y7d{ie zT&QfdI5Q?PVD3`@gy51=YVifYPh(nDH(^GB%z@C`UF7rKgNaO4IR5~=F|1mT9DDHC zbBVHzYfB33azRNS_33x86vAFF9R;jKVc!-?SXkI>SHRJ(w3T5i0;({m3Wj;p9%g0N zVA(IN*0ehOT<`;j1sU1ea9|ovtlH@{Thp4ty;4P_w38({TT`~C1M2H{)hw?($ zcRn8Czv*Eb#$ za7FLBtF}~(a^I=A^XP`q>cqH0pC(^pZnlQ3=;hIg8B;~)Cor9pnCFu+CR7m$^?;D0 zXXDnB*7_niBY%~ughajGx22%Qlu^a~da@;*ur;QDrKU-4nuTo6va*gPY$e5)fol5y zt0tfPUp2M=RpYq)Uo|npTWPEYl3TZyGHe*28inm@G=f@-lcHX_BN&au(B&I^X+M#s z>KS@JbAo2K3g(!aAvSZ7bb>%CwkT-#tiN!#fXcJPoctBpaqMGC&L8x-Ll;WMeNjPM zznW@RS}LH!W#E-<16?c#bBm;L4;568pQzgi=Yq3GzS8sm)LkKsKLFpiH-*$S6syHh z0+$_Zb$-S@rSQ)Z9YcZ$J&_DJn`0TrU8`9H{!P0Es;`OuwkJF&&7CyPHjWLWNVbH~ zNnMe}!s8hl0{EMGEg{EiiT@CLWX5X}IEheDkjQz~$A`v|VU(kMM09H;1)*MRslmGr z_ao%1D(AT4jarJZ$ap<@Ih5Ed>M+4j{6?Ms+j=}@6OB5a6twns?zhA;PWRAS8ILB@}qmm{W(i-gsT-=&-F#I5lO`U`x(dVNvQ;dUq_aGFz-d-(8l^r&W# zpUhR$2V#_jyixV59D!tuV@X?5X3RrZ9YAKS6s0a9@=}_V-_}B#I$|nS%FmHJRm!gn zN)D)38L>(pGF!SLUZj>pFO))-Cp{k9ym57^e!2~_<@{p2owo`R;-|nWa3F6~FZS7r z&XQ{0Xv$U7pif1Ej6IsGzt43}VjJmB8)Jjg^q+c-0gM@sdw&U!PgPqFtUYd>RoIMC z3boWc-Yqb3!FiQ9aPfP%Oi#ei6B}HUQj?e!)%i!PakDNW>%u|njJtoviJLV|XxtsB zwh>1&bUEIJ6)D-0r<27sf9?t<4FzH>vzJBIsFp1D^hvm2HKnp%ffE*DSODY#mC#e3_K#!eb6l>vu9mZf*|!oWyiCA+;mTJj1q> z)R{(@Uw^@Z*-CH4e1z-#(po=E0!-Yh&P;=sKu_?d6x=9S3Ii~ogOz{{M7_ z^~k*RDhkP_iS{ zYkN?a796LoYs+>K*IfAw`X(14Lg|CDNJG^t`PW{+_f zwJ%c2@YppuT!zDb2?xFFE(^8LTZh5+2BSXWXL`@H|03jh@i+dLLQGeRN}$Mxm0Oq+ zg@AzAG)as%I<5SEeSEE1O3qd6qRhEi4Nl0Vtz&orUX;TdrAh;QGuH#eyOz&QN&Cs&-dUm0{=n| zpU~v#0Pq_`?vPRF*51DKkhb-%*%+au*;kr$hZVZsn~G7R3WSE^WN8X>A*78c@?zLe zVF*V<#aWnbloKWDF;D*OsB@vukT2vryRO?X=j(1=(t6-nKZg3&KJv-CX@z1l{#LyM z>q=Mepmh92Jc~7hWGc4qR%P6U0`Vd`4vdTx(tOCzb*vmESx-~rFJ~_Jf)J<%zEImB zUEliag4%GvPC9keP5n$r*zl5??4?u-aoR3PB!r?))>lFKgI9Andn@kSn@$q%JDl_; zu3A8DQp)*di>6J}9eK4PXwL6h Lc9jAy_FoAY`_1XP6O_6mnxxO39 z;o1#(HCwX>s%=Aouipox&2FXnBj!UwG$uO)DRk4oANV0dhx^IUm9<~E^2JkijP}*7 zcI(f1NMc3zYJcF&oZ4q{bN^?8bD67hK?Yz%_14e!tIFDHz*Ge5MM5R_CkDo{5VF0D=JYc=UOiwE^<@5d|N zFWOYgnWgYe{Tk&Tne6 zA;7V?J^JM(a34lx_jfzY zuh-BQDUALUBGi7)#B2hgMD%%5Z_gO}qoPk|UnJJ`YySIS98Ka+9zneQ& zIy)9y?rqx+SJ+PWc|G9c5$fQCoh121^RBBXm`!2xxkDE7bEsGivz1L5GaKEX=hU`Z z$V*Oqj2%5nK@Mvbr>Zk%rSr;GMpuikuNJ9ob&%)ENcCIa{r@grUv78-&JhoC1=~P?G6_+uf z^pgFm0P(n7XK7i&(e%mMHz{i8KJT{?{`GXn=f)p*JYK($_;Fg>=*6K!al|9Lv?ptH z?|Nu^80gD1n7@jUTs)@U&SMk5J|{ddS)Ma{WM&}X`HEACIIq+LAyt+AyG>?~O&(d_ zlY69hB2;fi;+3L}O}6bj_gRI06Emd0m_o10qT7`$zJUHZ%YQm+D3>}WtFLkS3ZL_9 zZ+~kcEn{%|kiB#oXR(-p&2xj%KBG@hq%&3}+zJ}?Kk*r`CA(Ogx9*o{dzIGMC*yL& zOIji6{pTAe@5kpX>VErrNjBVAF7oyBdR}nR3WLX;B?H*6Mj~E3!XE3pEfDF2r5RpT3zpPI`+%mI>A+6 zy(O9BgJ9Ji1NPYKrB=U!68k{fdE=a=Y-+@Xz?WaVJY!v(v^?HE^}4HVX}Bkq&nUaO z)3-(I?Yob=PaEV6{v&tR)|ye;?03&9`Q%$`QhY}L`vA-Ke{WUE91i&D-1cY9%1TBz zf$bb#HX!@QXpJUC znX<+oHK7KP54z%ikUm|pDVOqy90v|X0F5t|L~YMT@Ik@Mu%$S5j<~_ppdU?6557GXHj`*nKe6YM@M_ct8PfB}^UYI-1Pgh( z@Q-%gr}Xz&^T z#_G9-&3&G84YK>e#SXs2!k1e3vasT*NOPXC(%0s6v$^an+T4jvOfP>M9z11i-T5rZ z`P`k2@BorW=3~*gLvhjkKeFS_{TA<8F3n7SA!sX3R($m_O;K6fW>42#(hK(9^QkFv z!*dNYnP;4|l~=za_j=AfV(0#mN$>R3*5<5@zQ&=xEUk4s_>c9I^Q&L$;nx}5zkcj; z6o2)CU04rvVlzHbGCumJcC9@s@p9>9PPL@O2w|$YsLAp%_5BU*t6zg9f=)TvFHaOb zIlnwnvim&gA;}tLlZ=-;St%c(&xvo~7vu7E*?A{I&T!fN;PuN9?I(}r1cf1a4e_lf zFDYxBHF4GWL*N$^itccZde}-nt5dj}BT@7vcW9#MPGRJCE;jQw+=)N-&Ynt?9kSv< zoz#A1VkeP*YF)L#DLXgcvAC76)-u#WLVZ53XKdBubN9V&PH}O;mtap+Ri2~mqTy`6 z+idO2Ge<1tMI{llN`I?{TB`K_HIgy%|2f<~vx1WQGJNk&D9Tq&)5hyS@vQrR-lCTU z@M>f$oY%dRo7NQ<9O^$A9lW#|?hz2^oo?k);E-eQz`yqD%g=?EdIiH(*;IY3^d5e_ z58kpq{5(Csy=07>FkD4{)*^(C&IbMXw%oe=`rEUcJi&z^Nv#CQvl=)5J&5Vt*@wh_Yjg@ord`_iBUYID z8Ga9^bWAf-KX5L~lDrR@h)JB=S{?y)5B+{|O#R;6b}BshLzUKnwcGyTN8;IYWX{i? z;1I}HxS6jYH&o;7JYo@jj#rBp^Ir5$LDaQ_+yc7K??lNO;ty-P_@B7DFZz=zR~^6D zt&9`jxIR`lD@H{1NxV9%Bm1f??3K&&6E<-tGo`xE_Wj&jKfv|#dr7hFZj-NP+*{8-Fh?zmWk zC*X}F{Dx2TWzxr|pzciFsE0~{+%F$0m6wV&n#H*q7w;(S&%7EnXyYs(dgpGO?-TuR z%~pC*ce%f*X`KvlUJ%zYpk!KGel)aj7X7%nv(4jts$KlYB>kOj{032%6>sr$$j-mM zC*=B%6p~LXOg|t+Bs}V>(H?_00)W zt%uqf0`5D+?0st4qGB_BkM0eBdkeV z?B#qlXX=aB;>hZ>lju*B=9Awab;`q>L+6B;^#!i(t2$9@8|_tbKS%9M&Quzzof_;{ zayxp}{&?2OXQ(sCd(&UVW^Bpj0_Dx&M$h7CI2+^N#twr&rVgH1JtYy}KF@qEABylv z;P(w7M~h#jMcm9F?<|k>tKBbmzUSE|tpi0B&9|$DE=%m`=weErweqPji^lquha|rV zKT?W@lSo6sw44$P{F~8*XD4rig}p5ASB@~eo0j99vRf7i3)$1AvyC`+SKkc}kJXqV zMS}{h119`!w>;d`mL>hm9pUnepVW8lZ+)EmH0Aj{ha|!9BY#ERPAi4hS-l;tTsx`v zB79QxmXeaGx`Cm`3*qFT)`#;i40-F5kc1-$fqE0k=qLk|J7v+3qSuU38;@}W=5B)| zRxbdrpEBAcB6{XajZT|^iyJc9{MW(2j6aS4G+%QZcA;Zyo-`w}#w02Y{y8hC@~$8W zB&|VG?c~qzZj_Uu9d`p0VmP>(NKK1c;yGg}RJrmYbdK>k1GMe@_4b5dZ`PziO0+%X=Z6^NKSZVogp9LOKym z{Ay~q?j4U|=YIBW>dNk-j}N#SJb}!-cf1(RTDbCRHg4?ksXtxsLEGoj;Q=~toY>hm zh?5NMh$VU9v7J`+aFXV{!&yAmVjp*b^TmIotUM-fXf^8y6!C~%9KMuhvY9SW^eGzh zBiu0D{N~DaPSaP;Z<3qqy3<{$*fgugea;ev_ZqD(xP$nqKR0H0zdfbO<)67#sU&z> z;F%5=?a4>6(8=>%hOp$|R+<9u|44!Ne#%bZ+4$ZoHw~RLNoM>qcq|HJ)K7QUdE>Ey z=lV9%_!?$LZJ!$R%#NG81Ye@0dtZC{cl;vBHeU1>883O-A8RrECYHGvzm@YQHm@&a z7f)K1k!bTC^ZVGSOH8rT=PrJVZdWWXQ@U>LrW6R0HRVP((z7mC!bvPh4t3eT{M5O$ z#FOT`By`Y}H_bH=lkrBjT%cCRHEU=hI_=E4i(XR9D{j3$JZY{M5t5)HvfKZiJf`>a zQMSpA^pRTYN#bB_mX2#xSB`_YzOqv`eSm^>;zO8TaUwMz#7Y&Zbt`zoLh9 zT$Pp2PJgPJc4@Lpx`|)9aH3O1a@S1d9aW*z(e0H#3r7vr{9iImD|w2ZU28l1+UKww zcaU71<-nLtl!W7i&yh9~cm5$2i*w3juz8QWg_tP@J z8VmV0bv1Jr^Y)O{ipZP3MyG)k%M}LVXlI zROozH0O`5&W9ApzOq1%@;pk%>?p;UZBGKVPFKn|9nZ7E06njOcbA8l~c?an;%ifv) zj*MN=?5gpj()ag%{gw_zldfMxmBnkH5RANRW3k(~j>qzCv3QB}&g(k{-q25F<9Qyi z>3+3;@oReh!^PMB=xjnf$D{Lqxbz!D6Zi1#z`iq_UDj`KC1;0eUlz(}Ybueo7v@BM zx^Jx}t26Hx56h|dghu!3`YBo^OUP(Z2SK`@>h}`~7$7i@iQGi&Zn#iZkJ1OzDmrzof#I$zOTpI-}X&rZhf7{xRg6`TY2^fm64Nem0-v zDG68e%*50u22MN8#aw(Q_FOYa=ab}@xY?M?ytaQ;lB)$FYs~+2z#{VmUs=%^(Nh{H zGcb>53=;SxH=8qLjSFLc>pN+g@rZ}-Q!|L+lU$ez({~CpT{$_b%yH%6`0i(R=Of&H zm7g@-&+Fqi&F?OI|8EuYpxnWW(|%~DJ0?CSw8=-_$a~%89C%ZGD#0_3{`+ty&)NPS zxv}?{wKuwEaw7v>w3h3A7Lh;a6eGjCuDlrLmk;$TYj=KQ{U=}NURAsEsZS9}K?jj~ z$5RKpnF34yUf8oob@-egQ9Wj(*N81#q+M4wf&1b9+*`3uPKw@{r

AXZr7C7^j;o zB)5Hxw$F~0uS^iGXt|*{bm6R)Bv$h3cbER=y+1iKy0gzF9{9d+EIv=?K#cp*3(6-% zIcu~tPXBXZ_LxmFrs47A=#q5h8yE9kZ!Z0vx~|P*o3ZbM?^xT5J?2laqYtB(LPt7x zwz?E#jSS3mlNGTat1iA%Ae9ZdEurR;f_+@Qrx=sU#I^LbFI8*Dm}q;U9@zx>2hWxq z2gm$KC*l$^sw?Q2A|-Kj;D=iR-(_;V{0r9PoJ8CRlYPg0Z2UUP>HewS1E{yS^P!L^ zMjH{?ey!8v5#m|sh;zo#){YF3kozd#z|iTleojrc$*ohVzB70a@6HE*5B55{`Ddm4 ze!Z3zLJXQ(s_9-R$LDg^6L9Dobz4kTqGs-IEVMQkdOE*Uq`FMQB0qF>br&a*{nCD@ zJb`GaIY#$DL-QlV!u2Zyg*kJ^y6QW8BFqnV)dEs?w(8NGmh#Gr`&ur)ne> zx^%`Wc%iJP)4LS$UN)}ZP5xp5bY8tlNu z)3mBCZQ`GymL>%6SSvxG;EOhUi10bgT%4i}URJo}VTnk?L%A>BSIcHGTvJ>BocH^v G^1lF|iId#` literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..d087a7806b56259002e9f5496b1a62e4d6c38f45 GIT binary patch literal 52162 zcmYg%eO%I4`+sF+X35NzD-hSrc{``x%au4m?yYTRF5PlT-9o7e&Br0`CQlaemHMO ztXM%ykPK|Gv~4&-c~d;A*_l_ zmhOYuKRxa|sC+G+Js8xE(;sc|#Z^bo_I$kHGp>#zB6*9Rh73rnk<|7yUcZ-AUYj{d z*qCVe_0Xem72Ucf>8F=Ttq*TZb+W!g3)`&`l!ul&;+`nu${|+$o;GS@=EOFL0QJ-#(O-qw**u^!uga zkEc&}I(Ha02UPmxH141>^QtnDicNXmmpB72KH|INhHQtkC^34fU(V9usl%*_>e!eb zS~gwjk%c&Mv$x+ZS5M8#NjakNmSoI??i8Im2E%@=*+W;XtFODl>GKG|(}+mL%I3OS z$3}00(DcH;(D|03NX+w#n?IdE$G&gPPFAe###}BLs1Itu7x}X+;fK*(mEwUQ4<_l( zN?A&=;6+aQDa2E#!AmPNoogg zhaXC53}|Z?g(Z$<8CKot?TShQ@3??>wkM9MVXZUyrK84sJdIV7d6JY0{vi*1eBJ$i z$x&wk>p3^G&7@o1YVRI6N@-_3Z;Wm;ncZ6L6YPs^3{#d@tNoc!V}qs{?T_Xo#zNcL zvB8OBT#wcn+38VZK3`+~2772KVGQfqI+J&1)EEh7kpgZLgW2VP9Gdg>OSB28qmE4a zzYIUyg}kHA1@Mgr+DzOHt#(HLQD+Y8IXbJ&BwN#JAA2um>YID;X9&XWPr@fQY zRdWZxb!cp!mxpY-QNaJgzPg?ujOvgD8dqv@CHY=eIBGscqPF_io=hWZy~;{e^svY89sOqQj$*EmZu= zQt8D}_(axSwY0_W>#0hDsa6Ic9G6Eh2;Q#U=wQpa6z~i}>n<6+bCgSAk9Df`u3~%J zi#(g^bq?Ys9>;xcTygWO9l%TOwa;lU*zskZxTlc}L}0 zkGTwfuVU$w76|U_o>^;+8qv3=0bda=Q7wG;n5$fyCq~B}7hbWvF{|7x6tl061h560 zwYX|tcC7uJ7gFH^#nc#Y&+P3vpERpl>5AxWAIU=SB6c$ZimH4h3V0|&X}+i2JdU|) zq37M#jrW7~IFm^y$7*7ccT>;a{m&E>cRqSH8N1-)4HK~|A7(lkFc}YS(w|G<$Tz5+ z0rrjUxT=Y~*eKPCP=es;&`weLvE-1)zRI=HVkdQS4Hb7DBgs^CA3)2u<*|8@9^3$< z_TZPjtBC}mTSsrGF)$Zj?JAKkx*k9)Hi*PaKTJ-IE-t0HgKX{Pfw%fii}0oHIgFY@ zF%JdTS9)_4OTx#ximQImxX*bGicEKA)j%8CeAB%cVxd6P z6xFwD7*~-Gb9|slqRTyWg#M?YO=Ny>NBkwQ+2n*;>$)t2V2ZILlvNfaQLT(83{Eqe zD8eTH6lcxdjsp_cMtETL+iM8j#t7F0}kIE zp|~@#93>puJpy41pxSvV552F4*!8e34WdKzKW)4j#Sd=RpMt`ihcy9o#nyVtB?+gz zP4|DZ#r!>6=l%r`B3tN}s?UKHnab}dX4kRu%O8%Vdsjs7gR52t5QLjej8NOb>HuWM zO!9G#Tc;S2@YI)iUUlQ9RJhfHS@g@~)&d-rC{eln9kfdl)0a_@NW!3J zD(*slf;ASYSl=w%7bRawLqx?b_+*LMKRwKBh&~R96bn&t`ZHnl280l$T!}#))iw2p3g9zfN#meS4QR} zf3UD7lE6oGFaivZ#Qo3wo6rNBsVrjPP%KQjK9tZ`?q~FC*Hei(tLco`*q(}n*-X{W znr5+Js}@%lAW^=&^BAq#6e_+)pL}Zk67D-r7}%bQKU4J}mQtFXoS|D^V@B{@cDMh_ zQhto1^5_n~X!&?nF?hwXT8-d8y|RPBs)8crZX$x<*_9?4=Z7|IhI=ID60$GK19U_j zzmtnUUDg_#s(5XT!1LRUYH7rkRYMUcDo1Px{z|Yfk-Xi*_=+T?(j%Zb#(6rQlQDB*Xs-UZl8}P=Q+`O*YguC#9h>Ud z1H)TuAY!3k!#ov}Bl&zPaQHGAGA0%_gcenT24zh+K^WZL9%v8C)t@fAyQA}iYXO4Y zQrx*m*)gOuVA7?^S+xUpv+c4m5G!mm1B>qPYT@87|| zUC8DrJnO@+SlzV(Hy7mkTTYRXN;e&WFSz|>gYxNPono6!++95x=z%Zy2D`J9U3rrt z2u{V}l7?=N|Au_0LtnlpXChAHCsD4Vu?L6dntFvnqFR@b+}B0*P_RvUp!>8R(?hB1 zbeqTVrPV|P->;pOAKj9NqXi%pTbm1OiVYoYwBQ`s;e{1M#Gv1>{H_%1JTZQFnCCHIGind`%C}{) zc@ZAE?Z)6ZTty)#ZKmM>SGi@p@ZxgTT1-9QtJokEf3hPK|G?6Q!(~QrT?&5o#nTF5 z33-ywrk*h?9@YP*FOkS!H6wU`>~HGr56oqG?u*KVhO&82uYb|tIgYq@?PUc*=-H7Q zQdAmnvKLpJ7ePUV%%7UG?d?s6=m>+_>tFV+AtJbpmjUd7zye)BQMHRizBQh}3k%VO z+P`{xCR{w=aM=ODo!P@t!l01L)>UI{o{tv)?LPb81-bB&rOh?wpMp$g{#}rL->{tX zK`P+T!Yjsr9OcU&KtW#RDkgtBxzz-^U5Ro{A%emNS!*Duz<2vSQuwvdK92_cnQ)kD zXRTvXID5e1=kJR<6U|ZjKxs9_D(%krYLq11w}N)n`f31z7n#d6z6R1kRTfgdMo7C- zJOkeuUw$kHWVu%&5d&bBXJYA5sa9gLeC7DPNvLU_8a*pbm`Y++7y|ZEP6yF5%;#&y z5PcgP^k>46s)d-xdD7UYdCaqR%0*eO$BK`sV6&pSs<}ws-$-uXZF*hQmmw8`HFGTMI;YCtUs5;k$b2` zmmO;`1ilu%FIN^l<<2b2f+;o%*-HV_q4=_(S?AqJ7wY^u3@7u(*bvN~2|IBysBhV*p7!#MUCjAQL&k%EN{xx6l6#lik=l^GQoselP?kr~3 z(L8A?`=WP6BthUQ#a(z%w~uljnw;+B?)YbUoxw&y*_RF5h-_Yz2lmZ>=G%J7x}}pK za7o229dGG`zhU@7ESL(~@jugb?xoP&k!iLoHF;uQB%EdY^jcm&d>HF*cWcL0PGDkF zRV%^?0x#+Og$HAMxt4z)=JszfB@Ma26t6H4LP2&@Z{IF0u7U{bjniQ0@{Kxi5&L0Q zPpT$GqI?a4=+{GU_6}@qXIVZTik_9bnZ<-NZRm8b=DZrRuQ#I4RPQfhR^%gU>&5^g?B$b=M%g^EZ} zJ>7=qsnDFB1Wl4exfMd_*LUBP7GHi?n+~n-ncQB0I|KHxTOESb`O?hpbLo(QM2+I8 zJiBREEn8+4OFbY_uWuHU8Yg#o;L5U)ij5+63HN0^?UE6ilkRk>aYZawH)=IxAE`83 zqVO;yVE=4p;r)`Q6*r~)V5(hVJ{H#SM+&T6X-kxZJmkPWi!-T9*Ec!<2zH?D zuby=Am_L`rQEh|*cI7hn3K$lv9vPfX1G!1zJ^WNTMN*hyM%uI=rK;gcup%unYgqB|+riGKTu zZu1z*mtAtIfd&~tHFc?7r*q+LGUAOEii?#}}GQCSJIo2nZdSP=W&j4J;)rOb4z}3jO1_qBd(kz6`LhGt(oMdS zYunW|lUQgtGBG!EqL48*!F|6_|M7&P{zOvV(_@9;H`e9-VR(7FtG;uZDs3l5;T#^Q zPFV{va(*!cNv3=x;xRN*h=jafq&nPbIk`MM@6UVo{S&HE zL*Dc~8@EgCQs-<|J33D?T-&`-5bg~k8fQyE(YVdyOTBMAbw=bi%lOejV`_ zON8*<)Js-Rz`{ihivsL{?YQ!k*oC#OY<^gSzT7*x0c#CTnEHlUZFk=bSFVdD@TIKB zdC8w@NXe>K3lTiU*>8OV*!)OxTJ z!ob$JV^hL4xh#_BP}Zzs4NZ)Af9f#vyrF6zmlgy|GiTIfA^IX3@Kxaw#e(uNSH6}Z z=AM}}S-$992SAzU?vOy^JMH-Mk;#hr1Ar7*O(O^+J76v0i&Z{HW)&-Kh~8gDni0IM zyF>ko%6ueBxQH;|9v2#9=bwGC^eTJM^kRpORpE*RID#Owyl9f4KBHpOA>EjZ$o`da z`ehukwhnXI;RZ^3Z_vG>ODU9KEg;Qrp&=0a7v?t|UwSQ?z+1CBzRn8zRUrzFJF&4vhN~>h zp>MxOj5#b5z_qy3(RAhHC({f(sPLAWcriZ=&MXQ%Oc87u2C(e9U(tEuEZyHyN#ZEn z0Jr7X+|6cReJNxM+)VAkMQ8UUtJZ+~=hfcsXBT|=g?&psg55qDMa7>Ar>7f=4>YRQ zn(MBp%!IzrUN=HPAMt1=R+}1U^_f+`0j3U&%X{6vD+E_I2i+Hy4iOOs?*+{Orod8t z^KZPC`43*Jfl&ZF&3)rjA9%XwFL)Ycu=T%5&3)4t6^B1nT_4+!4pp;x!Ek&< zZPMPq;px?yam*!4@~nJiG(qsraIU}IKLmgFdQxmunlFto5Shxt-+7oI`Phm|&h#Z- z`X9K~b^E1=&3E_64Y+sm;D7Py?eSRBnIzD<*CBe#06yip?A8G=?!!^RyD_zvH$h2^ z`@1Ce-ksD2KGvl}Xo!CII6OR-QXM2oGl$f~Bl^M`SVgzm@?-}A6`@!`Lktd0+>8=N zgfLGQ4n@u?;Sd5pOo}UjOc%!0TK3Ims2gg8Vxe2Z{AmnFsZ4#G*BByXu*!NqTv%6u z5V(i1^7C34I2zz9J)6y!tn=IMkW2k?WSE6jME0PcRuA%sEFMrKDxYX`odmV#X*|#p zT=zz$8O;F~4%zK)k%<&a1^y>kyxr~-*xqutWa!zO8=#N{%I*)^98uJDtz6m-VUTct zdy8)Fp$czg+F13i;= zzLJY_P?nn{I66qGlX>Mz?T%ZK=9>$Inq4~t0LKh zD6RgCD_xpk1s#jexVc8M@rx)3kud0%imNny2=o%wTB7-C$-wpo{pm+D53G?LxRbuq z5M0$Bm;|!`6SDiDT4oWtMTalLNK_la?JicY-H9zv$%*>U!fTO;epLJXnQVI0r`E#R z{FI!$f6W96!yg}$9x9~BU%pwKEBo9`kRwO(&0j5-xA3ui=a#N9m$A+f!+c_w*`>4d zsbO(<*Q345!#lw6I52$4RIHW0Z!VE9fNCp?S+2Ij#c;v$@WMX~i|t*Hx~H`ex->;J zNBk4c>_We$fBj1VbyPjdZeFc1XwrX`*$&xBZGnlhiVdy0J!PZj7QV*zZstiY^Ji=3 z3tPrS?9^v647633eQwnL;TaG>?f8CBQ~Z18Nz_(mS3}OB6k0)Bdvxv_hL)LYKs$N6 zUsL>T#z|D0K4L7PWZ3xmXg&%^G4OixRik@*=$4Lz2+GRDG21JxGo*Iwv(WXUqGBIY zL@Eue@8&!<;bNOkB1GD_BVxX^%%8@3F51*)y1TyB&J-L)!&uMb1KLaps@^-j&uRPH zOqsqF5544TvIxS6c7Vq^4^2Q$fOz}RM0^_vvANbVVn0_lYTpZf`zIGbv}o?hT9{qF z+vX$835#@FV4GHW!S(>DAwT44$iqSnIfNgq_T%;Md9n2Fp^4BA5W|Ni@4498!{r>D(as=<|kgJy?%yqw5OUeSRVMg}(gj zJ^!KIR^Kmn$pxAyN3~dVKo^xb^#IUc>ez-f*JieGR|Br>iT7UT8Fz5w&(_q_YK^EI zg{Lc_fBP_YhdrVlS32PxD^;v869hrh`SJ%xtk;DPN;Vd-oSTL+VT#oZ_JDx0msFh% zy1RJ9QhZDJ@hG%-Fw(>_9st5jRTxqZ6FU8k!9Ztt95;aCgUKZ#4$)mhG_bN*oidZJkk{@zE@I=+}Tk+sXa!@(|_OEcqXJ^*@P{OyKr#^^F4z20DBjP=0Tm99tgV*&x*YajX}! zT$@P6xm@gWZE`8rl0OBt={Oj^XL-2qQ^UMpS9n_kx)8(!ZC_6pWuDnZ*$WEOURD?S zXV!D)HXvRDef*f=xjRoMnU*XH&nhJCibc}R4f7q)g-8~#{bwv3aKBRb$6ZqSu zG=ydrOE}Z&$6u36-Bc$=ACaimfrbyddpOt_+0L?kb4{csKj3-A;i5^EJYdb)l?oVt z{T9no99s}(lD>7%vUM2JF<Tx|3q8T*-^*tw8+aM z+qp6v`4;|{s%!T5P#x99|Ri$~vm38xuz zkjhtD?GeEH5iAWHS{t_^q7=Y=7qbM&boRy_c6>>uiE%s5~QObVYhonkOax2~5 z^%=n1d`?&a+TTzwyJ#GBA6So1)1^$~{|c&2Fu>09Dc*$0t4K?-K7@qvF_Dw|VMVUaa%C ze5@s9V%&UU9R2(CrM-o=%!#S^lV;aA%1>&OXgLv4eo$*emlIJq%1mh@qHy+X6om2@ z>5_z^Q2^=EvrM}9zLZFphdX~(Ya5qMV@^Q2V3$c3Cm>y9%cP65UCqMJKEoy(i+0j} z2h0oVfM_ls5J4RHN9|fHPI+HF5piSz)-CSZB#WL^u7A3jn%t+h4AS((I9$Tc;QKf%y~5Ww;8 zkJorfE+a97nP2{LnV#Y2zHg*z( z{fBFGz)X~pll&h}I;4KArr0+e!AA|_P8Hf8^*?e03ER$kExzbX|E3w4=>8!z)xQjP$^r z1eOI{RX$8|Xkm+x%>&Y3kyi^AUp80uTM4QFcOs#MhO5lX!Et*tj8Vlr4e6Ks&mww@ zQ}bEHsa33E-|K0{sICDG=>*7`e|i@BUa^VXTGgJaj61!>(topxrSl-%n8nf|W^w9$ z@J~nezghmo9S@73{3VUn$L3;_rxHZj9*LrCPbooKgcoKK-*6e}SFf!}cM_8A>} zP~iUsTz$2MC>BOF;3_e6g);H6OunsC-2Ln1-CIKUjy%76Cu6P!ys$hL2!t=pJ{eH( zEUtm$&wDla5@%V`?=^s1;;4`{7D?<-$z~Jtf#J*3E_CJLXO|rBlk!4tba%ID9ml&= z4`y~VzVAlkj(;>X+SqvWklhjkrdGQaBzUhx3VCOyQ62D8kPt&5XQYFdr90K=L5&3^ z)L1xuAb1)}H+W6tYb?b)OTY_*GC2lJPZW|U61|fs61sud+>S`C9yRFBjW#kq0bfvw z|MD;v<^1jt%4ubHmzDIcf=NH4D^{z4;N79!Vf4ubXq=;596peT{Rni6WseRmtP>&x z&=7o|?bLb^;Zq}F@I~_r^Ig?iXut!cBWs75S>%09DBKu_r-i{L4r-uu{##bH zwY;(J2%;PaX^V{ZUoLy8A?s|MUHf3J`t z%Fax6>Vj>zXtE^39dH;y9{5XpDb(!bG@TSCt5X#&rM6{jc8iqiI$=Oy8u&I_ru~K(-9ykujvIFiE<>y(U!LAEafGe6HmQJcfJ!W%mrh zU$`C`lbYd+0sLjE{#;dPtdp9ZlZ=_t_r7tjV$ZB*f|4eT0eUgPMC5+NMu7f=pvqB?hnFkyFYTX!Vx zxEHE3_ZAU>+oHLb9|nYos`{CGh3*p%N?VgOMYy1e@zVOFnW7WWiH~nC4^INao5An` zBC1gPJ@GvpJ{o1#-XuogPG+GX8Oy`F|13IznGj5zD0Gd}wyUR5gYC~5`Uu;u#75yO zt)fioC^%kPTA^*G z`Yo;YuLMWAN0`qUZMNT#N4a-d&vl?he6X(7ey1m^&4jwLd0XLlYHedIrE1iEqGHtk5)|jf%27Mp{-0~{6QamN6QbxtD99j)%ZE_$ zpM%(N2t~U9V)hV9^jgcq8x2`Vy1`%-ThA^n1s34Tfq!jlC&JbQn92e7g7Bf+u0zO` z9r_D zmwM$mKU-K&WDES@fTW{4W31H`L)Oi^SvG*rVe%Cs1P?llw|GwjXGA1y>EObe00KX< z!#Bimm{MEp+KCu&q2iYcTSD>Fpq#DI^pt<6L1=`*$W%a|Tnj*d0O;9t-);c@l&@qF z`Zr4PRjv6k&I`c6Aph6U?N6r8v#P^k88csl5?AGply4HMuUg?*gubbFfK1yTsb$&r zf*%Wy+dmzTJD)HM3o5E6N#t(vfN2e(){+gM-62;8&t8vSSW$r(bO%1|)Rs_ObtG^q zRtRJN>nH)bcAMA#iPDYnnjqMMejT6<4Tx|`;KO&XmD%pPS1_kENzL9k5o2Q_twzRkcs5sp+Y3_J#IR4A>sXvxdp zj5|Z(C|`vJ#E{B?KhvWV@kY=OI0UwPxfz8*VdCl~475utCfVGvNz9nvQ2Z&_yQL*`L|j2knp=k?=w+Cr#a7 zlN5ics3b?_Rsr;!5cnJRH9*hlsn{n~y#nkBP^q5US`ovweSVEGSwaxwUo7nq3j-T) z)fkCfx$iMru}viIzBBpN_L;t2ksIr{&I}HGJ%t>x>OSx zUu(&kReA^k`5OoKw{ITCSKp3~jZ$7PypwKt>p1yB^8>5Kngxv52G$$R7gd zcL(9eUf%T39mhZBZS>EOumZ8O30Q?2@NT1lcUwfoXsWYziblU6 zL0auY!lRU(u`O6F=$joM6~5GURcB3WJ?O|J(QWmga1Z*yVl5J5J|R~Y6>Cvg^KrRm zO);GFskuNt^-(dr=TPToGhjI9L(y&L@8D#EEgn^vdJCj~J+@eD?*#7n(FZS)Ujx}V zH?>{;N6-nV^9Cpv3;R%=sZF3pdeD92ICANC|dD^p!?+V@Ehu<#FG%$VQI5^ z*mg4BH6-eLwbb=wsB8OL6eQ~A^6+#N1pP*$sL>O|nnW|i9|S7V?CJsnnrM>&21QN& z{3*s(Uoq;qn)WND>ChZ@SE4PUY}D~CKBS?<1$fbMJUp$Xr!AYL4raJ~xS z1bEK`qWw@0Wt<5ZfD+KE>SW1nQZ6676${=<1XsBYt}=dZ)PV$83);Ahy0Vq0?PEfX zJ?0c>9XEj1F(OeHe*`c9g@=03semHjWEdS{xW%p~|K6{$r=LmD3Nt_kiqBJ#J(}~p zAqZ!%IUnRv0#Hk%0s$SxGx!3p?6Z(KygmS5K8LP?&N>cClq*F9YxkskT=fkRnR}G9 zZz_;kJ?95}MHPNX<*Koz-q?ebllPn&{Rj3_2EP}JP>&YbK~w=c*f1yNT?r7z<0=wh z4Q~`x1B~MnFMjVJprSGiVRy)U&Xxj^zrmI8ebk`-o8ugqD{yrPd;m@T;Kt-)Q0{!W z{f2*GRFL5#F+YgP!soWc`wGV3>cbITR zfh?L&G;r-_5e7D<;;X7+W1T=iP1EhHNy1(%`XEQOrUIcdz|svTEG6FJet#_&{nO7WmlC{|R2U$kxrKnNpqSts`ZqPof(VEoMh<2!CW^p<`arSnL|0Vx0r zKsWZh8u(^659huCXFf-R!yY1^$j%yLHDd^gGBKaaO2B`9e$BXZR{A;c^*wJ)YBQ;~ zx{d(y_37K-2*)!(dwJ*wIi7KO!RYwXQOA*tU%4js_vAj)v6~cdzC#MmcT6xIlt2x| z>SmgCgl!B-#- zh0Sa-&Vm;f%Pl`?qTG)Ehy2NmU5|%2xt( zAPCYjNx9eZ20h}Er{k%Ykix)@_8mplDH1ub+6%&l+uyeT6etX3oxR?=7oO%@K^TYt zo{Xy6CNw&cidC&5Vy^$G>~ot*&*zh~oEB7FKry|8~0`oSRD7V}-_2 zvC1uxS$m^0eM1QYPc2Op{_c?B%Z^vZ5Cgy|UATQ30?us!r)eI$>!+8I-d7!4x)F%j zh1Z0LerP+hD7b}zFN>C_+@i;>7OOryjOM?&yWQ^|?HEk%kwqAch-(kB2Lr$M?U|U~ zG;ao>&lIy$cEN|f=CQA0lTduGchcX4KMS6#%``T<^ren1C=WIeBn-@<9$Gsf1W-Uc z+6}~`uaZBcHcO-H!P_SAwh!P5-UIs74xmrnJGJ;nm^ROy+gf8&qEyU=gQn@#xnv=?qvd}TP{d_c0|#h%Y)ifv{@@y`p_e-#c_ zM_?fdaOHQ#bGQ@O+tF07WO*>~nxlWksy0jI=ky;V({yisC)y&yS#Xc?s2D`Q!tZh& zfm%m+SN6G`hHSUi>xgWAZ@8k@yHiq#YbE7*BukInL#5V<;f!GE#0N8@inlHYJh{U!~75ZVx4*p_VcKo#QC1Swrayl*-+@J4Y9#x8Lop7()oOei0PV zM@p2N0%!+pYt?N2@Qo{Z`VBxCa?HKUg}Wk%8|xxR_7-V&keJIsB^F zz(!`YR`$NpUEt0%AF6ItuE=8ZHd9Bd?+!qL83K+wdH9hq;y{17BRLrvr-~+=2a;%N zQK=uN&m~m_c;Ra;CWzA)G<*FAj&LO$ zS8=`j8`5qupMUKZZ62fmbnO4k*7E8ojc~RTflRW@YQK}g!0975sp3_P`)H_s8wNqX zwE*TW^pd8Vzd5Rt>@l?9&n3YWlha54;w_8H7q*G!w}lgyH{L94lxQ9)*+MAq$$G01 zoRnho{H1dLkl}-*sya50+)^^&DOId=H9ubJKn%XP`+1`RG}wzdFM^OlAZwoj&r_@V zXK7ET0GkOBssm~GN;Pm}%|>DVneLEbgba{gy4iByZu=TMl%V@TDmR2)y~UVc&%iCN zdVy+Ct)z*sWiJ6b)%d|^G@&{Z#@$;~n!xGvO3gI$j{?$>#^xu1W_d2lJi2szHd9^l z!mUB^DtJVQ$%@&gTe|sGW^wCf9q!b1+c!}@9{RP>Y{E57ezIbfYbXY&oec1_&V$1M zC1*8%rGf^oYGp_|I&x+A*$nT5LS%tFnerB>F!UKM@q*M(|Jh{x;pGj31Lbj#sO8nJK zXX$s?Di=EP!?}cH`G#)D!BoWx1~80+oe~QFsaXB~Hd!jRTbzoGX8%aKP*%~}+h?*C zm(CfD+eF{UT#qViL>2bxG{>Ym@^j9=8P5( z?_UbxZ5DQmW={@7<_97l_Iq!iPdm%Ll#*$)bhGHD8!u=hi_$&s`VyUCJd-0U_b1Zn zvgnrH!2(B}c$iX^`5Y{0K#mSwAeK^AL%t;c0>K-{%>jn0zJH2CJRXm~kdcrQ*TE_gj zIlKPpa|5~1gm}bg>Y>#>8Nr^Ov*%aN*$tb#e_=3_z}+aY|0pc5XH<}$N7AyQfCtrq z8~`DNKKEu5?g$vH6yL*{-BEY?W1rK+=oDk>PZqJUb!*2ACLi`Bsvnx1(M@I!7TCY% zM?NoPzqdyfFNUKd!Hml?-{qE@wl_4kST~HT0)(c;!wR}-SHbWB`>8qWA8W^rejtyd zZ}fmuec%h;6&8@bE+sy%Ll7$kdCU~&H>?!rGo=ypa`9W-;=yN>(^G2l0S{bt0tZoV z9FTs{&g>y4r4#K>Ri{iYTBhN{>bx=6l$dz(z4o8)ig7 z;5&%)KgfNNv-CFooRtp#CHYK`;ZP_?0PX_TJ!g#jl-*1!yQ{v%{p0z#@jy-|rJ!;O z^H?gpt8R(vFR=fcUtq_b-vjQ2(5GXj9t9#&vQRRMj4{MSHK}J_88_Zu2LeXBfRXbJ zyPVs`jj~-GGh8U=NBcQmlcpzOmv3uhdTXQVjA=P-j;jjK&*{fA)N8gec;H#Fm84b7 z8A|2vg8fK3SL=O?yJ6gDgn`%`@-;Te=G_8-k%hN*Tn)+XxBf2W`A}J;sBodlB3YFO z9uy^i8@JzN=mZ&{u&VBtMy7Mqs4f2K(yaA;u&3Uy^aJ;!Z*#|t4crVfk<(;9^}w*J zH@{23owuSpW+0xae?$osu?Mkfwx!I{IRkB19LS(^&c!nn`h3BA^J_0 zJ(Y8Y3V-d_*u%EE7Ouy*ohvMG$`q$f!`Hr}*^ZA^h@;SzOcMDr*nexlX=yMo=wRz`) zBYTaZzg|@ZYrjD&a+(}VXQ#3n8LP!n*cg0_ZG$Ma732+dcu-EG>?Pty`zhC1K>KWiKL<% z>RBRDK3Zh6EZwt7eF%1r9Z7QZPQ2(HcTtCmMOmQ|;1=OmnGExM8yu3{^97nFk^y$h z+GHy_FgITGthe4JD^w=x!OQ}dN`K!jp^WxcxYRMEBHq|65sCZtxkxpp1$>pg%Z@Cv z_g)X5?T)~i1a=AWNpH7{cb-&aFP8C2A9T*D6-j0koLxLlhI2`>C#5rmv+AKp^BgBz z_Ner(k*w_@$|g#y%(H3-(TswzOJrntFGZ#*ZH3IL^{!@sMsrPu$vw7$D2{Hb?^(1d zUw6Z%^++zsL7UONIz``$0F!|^0cZ(X;Alw03;X432s@m&j4Jf#oSAzh{nzGI?`;?^4t=n$Ul@ozo~J%6=zoHLT+XjytN?fq>;5c*N-A`@MLsdB*MODCYEcpfd+SID)Hn z$4dqZD9_TnxvOP~w*SZ3pGPH~y$|5{lv7TdR8Bdi!IYVmqrA&;)I^xZ%F5Ep%F2bx z8k^K`nFM63%(P6Y%&c6PrW#92GAGeQ(A01#nHI$YSp+0SWD^h&_})I}_uuz-&hMPx zACI@-b)VPe-sidZ+3zK;It-!EPtA{c1Lrh|j^?JKHW#L%+RkCA?^XPxe7Kk#F6Ao> z&<3tDF~wS;7&L~^pH}kwF&}9|4(AjTMbX@o>NpR^zxiS+W%E@4=TjZ6Gx_%O0S(+L z_Y_(bbr4Ar9=A^8jZt@LD!8Uj1>gQm1>0Vyf*m@}hk;)U`|p@_ngzB6n+so|??csB z5`|DOV+)UgS&OxPFpwEwAKCnsCjnCDUj}O(Q~(6W-{wJuyMGD|^QKNkiF`%^_87i{ z*ogYN9sqk(>;(G{ zBA+5V#;1Ir$af&X35Exo3VktOfnH!txUc6A2ME7L`^7(Qaj5U2&pVB?4&twn$|Xx< zaIHS&>_|hXpY~i5J!azSa#V-kMEXTgZl=?HKUzE&o~GF*Pxg=kku=|%+1VTTm~4dI zMHiAhS`OncxRww5CLFIiXa&-79#DVGu^-8K{^P_b{U^yn0_K4AJCF z^g3&n+ zJGCvVOe-o?AGFQofhY^YO^~eU&g`6k1hDi8FHD-boW{0H1cmk)o^~mM*}lLiq#J#K zsx_gx_yO{$#o-A^YDO075uy`heHHis%S4}hzp1gqsgkt4NuO81kBOE|4cu(5^&7!c> z##ZycG%mUB>u!afP_)sFEx{Tc&x)TcBViy+i;9eN46(4z34kRhP1Y>Ov#(toX3~qJtU?c*nPSDuzPN>`h^Y|f%PumK{pD*nbP6g8&cc`$f87|-87Nx(X_4)` z+XNXJmn|xDg2U@+;iW?+V{1*XN}=yn6JEKo9BlxaE_~)&a~A@b&nI_#002yUEdT&u z;=kQftXqeCHlmF=*+IuqNmo@SFU24kopC} z{mfowo7LF%_>Q7)1d29$qi11j@! zs^erXfV@=7Nop!Z?!ve4P;6SxHAY>+y(J62%R|4jZQwMppR~G7z}wHimA)JkkzqYe zl__k=)Aw0zJQu@t}n*mG*@RM^R)|8P$FQ+1&*>|iC;JB7;$0nldXr>SW3 z!C>D!Q&GV_K#e=bcN_u?9CWnQcGf*E(KLIx$cV}wG@@P)8Uy?4RG7GxmM(>sLszM$85POgntLyBptL z2KL&kKL7x`-JMwtHvi<_Ph8QVKJ`56pb>Hg>~G~m+x7J}FJyzw>#|%is=alj2rNY4 z0RX!jhsm)+yQH7Uy?~N#f#cvNpro69Z^6uZftmFJGyCE=fVo5o8Tu7ctg`?a%xZ~E zL*9v5++&>DT^C9QHXQbn1`Z}K)$uzu)iDBQdY9ssfNYGt1lDmIfRS67l(j!8Or2ke zi3NyBI`OoT^b0+bFa+9$0m2b{*v%pyUm&8`$YZsT3)g#?HmfFlY_1#M@{8{P0A6pL2>@Vw0r>s2JD|~EK%?8$wRfp1kW*zvUTC_b_TT=DB-)%4 zHsLlj1Sk?S4(Lp9jL-fe2vemxj_0eaRjYboRf+-+zA0kKAPZgn+Ko(^$T29(#@JbMS8Id*2PEdp?&zsp65=V?Qw6*-q_HwCn#Ps-k zNIW3GD%AM;WL368I7aEjAL%o#7{ZG9PV@-|jjLv>htBiDRf{4Ynui8bnE5&uqxBT$L#v==P$A9HW&963!K8p?_;trUP*) zZ2F>Od@jJsJ#y5FECzh?PjZU&Fd*f_EqSM>^YyXOTWz9Q`$(@K7`-K<;L>e^0DLm z>N-=>a!R4py>~$*#)~m{S?-cF?XklQ9k?LPWKKUG0D;erMYC%E8J+YHy<^=cg|m?8 z%{f?EpZ0DzxpshuN+XKLTW1M3+GjRT$LcVx>9&_(lg-24*^qn*6T^}+tnEzBb z77bmy4g&7ZC4Z*-acop$1aoDSAJ^1ZNw*Y_AV)i5u51F?hz3JTycC>Z+%4xOw zHrpGRI+r9&-_b#xDSjdB7~AY{oY}2so=5x+Ag@5vNhjs?s7LHS*LV*$-(_|T1;|}W zc{c(bkDVjWV`cUiC3%kfb`ak19+(L=nPGx6Y2DN@H-^pDgYvIn6 z{gl21viX6)nc%(-mX_}N$yPk32rP*~y;D)scCp%LDC;}t=?ri8vj?lmx$a8GNDpI> zor|#6eI^V#P;aXgKt*tq$1+!(G<_TT%|XXXXPiA0Uj(vhlxiRJ@T9YDc{A!JsL15o zM|mK48$jkx&ty);$TK~r%gUt-5{Bh$AG9=|&l|qPACk;4f$%xwZN==oujb!jP`~3< zh~TJV%aCwJGwv1C_^1rTVFk6#@Jq}0L8MVm0lVu2?BpYBl%0EoJu^mT_bo96-E*iI zr>HTaTRsR!5pYLCHQD!xuST&a)vKRUtx?aZo}Xt0b*@YRG1qBVV}a$UTnvJC2}vHJ zBHkVW9D0xolj zb!>g09q{04F4%t=5Y_x_U&^;kVfT=@>5#x*(9F)x>!;PuW8C1wCici5cWDK-q#h8L zH$QKXv&bdwY8ZmEC^GG8G=j4%GOg&V6&9X`3m;;256r0&2xr7JGF_E<(vV(XMPNr? z;lBy<%DC`4+S-I{MbVbfk*@5(Kah z8`JDd@xPm6Pl|Mm-t%(xwXj~1mje4Cd*F>{XTR#-%FNHzVHhIEjU|5~lp z9ebllIL&TvYmsXtJ%K@-Uh7(V`p>0-xPq4ON940;c_xBQV{juLfOGJ!|_PQ*V`|FlPb_WJIo_sbnu0kUZ8B|F(;b~D&)Rv|Q z=y65lX_RDofiB-uXSr7$g|1@0nuXW>Pp;&xr@oc-wLfp4Wu{9WPSZkpa zelBfXLxt^j&<{d~3k}qk=Jj;wePd=h;-HYZ4}UcxLy_L&q`S=Y!gRUO_mB#`b>rG< zHM$njw)rQ*9i5zW(m6>MDkSd07sSX468FQdDR4hRiVh$Xr+R!?|&#Xt*FjsbdBx` za}Acdmvpy=lr!Wi_dQcbdGcA|y^dP>BRBHaJb`pNNL~miYQ ztY3b{m-WVu)7H}G%GX6!{uDD996ynsQ&GI1R&kHmA-tm-KOtWcnTEfmlh@pI`(3A5 z*W(GLASb#&hMqa;f5kCLH9}I01)h$(;sL+Okge?N_7<@Bs>0)nc~J*!!@GNYz*b!O z4+7^eJ*M9AT>qz>&**YBmorzQTMpn0BXr}-s<8=wA=-SKuzlnS@nvR6m%B%KwS6gf zKgr?}%vpxV)#^IAC05*4kdv z6_0F7n-$&UdzPhDL6lnETyCW|NKx-AK7bBI<9mraJ+5;iMQ`fA%Zd>Vk zAU6aK;@_WypL%9{I2O;el*ApV7HPBVz`XU4K4Vzkc|neQ9_(EPC?`y?4|R;dyoy^z zN7rWe`goK-v=_&WD>n3XDXzLZeg$uvK9rU4*pe@GHTaCV>r__YEpfsd*Z0Fky~JnM z?}MvEl7UjzU&qetAwH&rrFrr9pQlpgKDOoGV=U&a{d8zhIJ`!E3_rdTx9tMB8z3(|FVdVnmq94 z$bL>xHpq~O6^Q$fn3t9csJGaEp;D;o$)QP(HW<{qYX)|TEv(7Q0ODPOhjjdr9f0AcnwK6 zrx;L@O5m@)&Qr(Org^8)lJVe-=^1h-p=1gnS3=ff(mr>!xs^1-ZUB?@A063}^UUdI zpJNk_nj*&F(_Q2Vz-NdqXXcM^RJFyo@=#1HZH3F4LPdEs&08tz(sCYE#phSi*O^XX z=rO-H+A4IHjeeYP4`MvtkZzz!rd)M%L!L6F#N9F{eAvXsgjD+_OBC|4<%ba34Uqmg zvEVFux!{@`?VwfnOOHR(%Y?iyaI8^k4+jTOB5U7Jyi6mJS*)!#bnkUDMm7^N82p=l zRCAX(4a%UxHQS-~Auz^Ii~u|C8YE~X+TJqOlKjW?7nm#z2@Otr`fM0*q;*y z)QUOAUwT@&YK4nmcXJN2tsOh+m{*M!%HF$8Q%zf&+E)lAx`>{dBdU4j!o4o+Cq!cX zxbzCKW2N~VK{w`84Hy1pSsa4BA*HW3p*XmpRkSqiVfp<#Oz3_QX&XM5C+p_jB*->E zyNBpLT;x;B3iEhhMue~>AwhZ(;kYzb##L271Ovn z%5m9_eo8Eg%`nkQII@srjIG$YZtMePFEC%*54fNo3p#ytfVlSvhtCtWm}*!;ErK&K zBom8DtTKO(VvJ^L!wa?c0(1Et82+-mZVGj?6n21=&yczLCHzC|un);CglhHrrdR9m zI+7fUzv`2raPFxuttPKhcf0E@*|r5_fdlgs1R%pSoOyOq z@p#3fY_*%t0~-{y1FwycFEdiSfKv`cF*rfHYS1+#!+Jx^anp%ld!g=xS6TAFc_ z+%w@CkA0wpqfg9r*U7Lww!n>0;f;_Gxs&;XDr&Fw5|daQC%uWVwv0&`YopS(PS`e^ zw@ipDneC%Dw9oXbo2Fp>v6Yg1fkR{yw9hin4#dPzLKBK(DEnk`x5exd7=+lZ(ig1fYR8Xf6cU(i1qD8) zxg(T0_#*G|mSTQRgwAr~wCH+FqZbwakoer5ra56?WR)ZZwfxI`HE~il!_%X|}hR+(XcEc?Mcsw2`(Zgm)tvB%~$r4hj>wr4?1+AX(%`zt1%PrJLy{lbkI9o7jXj zz`Qi6P`3cu9m9(z>1N0y5QIwz)CKbf@1nl}u^x2FO4DE^ME9$~mlIvSmo1snxb{S)PW#G{7|$ zemc;;ESZrX3?=qk)!4dFR`!_p*byboKIWc`$kX}=P!Troz`aoWuA=nGl-KOXy4RJk zZk{Z_JRW?SCfube9c*c0w)^_A|1z3=Z$<@ucT!<|;^xA7WRz}3imx(X%E_e5F*5B_ zqkbV|09C|(p#A*`<0+L|L-T<=Gr2dhURX>|R#Z}U<2%v`EsGN!*q&nkBcu#>HDc_m z;?w}7y78?siSxb$_oGhZEkV01F7e+(4R5aZ-9+k&9R7k%iwMBVWA ze)t>g1C+i(_SD?>+_Bq$7Ho}ti!JfV{K*u=EMu~2N2H00*f9*)`8}@3U`2Fz`evvo z5e0cGixnhVjp00>!PJPP&0!cX~&(OprlP(3y>_n6)O%re7 zND2eU>F5{SItr@kwdVvH49lhHMvN)O+OL{KG;}P(6Tc4z@h{Dg{go^3S%#cWm}ZQz zze+d7aOeYLb8-!mGcN4uk|R!e=&#vesTLD)x>ZDBtrCYqERt(&~p6GLR1 zJYs+-dlT3gjMxb`NKB@hK89hoVV za3M)%SMjg&MVB#MDm5#Kx{qKDnWzu%HDW(G`-|!;waiBQoq^^KKaupXM8IOWIujvD zIi*DP?|D2TX5?Hn%R93pSd z-_j5I0<=yI26y0ey_jk%um6*fYD1(b6^XIzg?vjV=-bvnUIu;?=zBTUwjT5aU(M!Q z&VnD++CcVfFqA!eN&^{vF_$hAjH6?bMHAITrVSl}3Xj9+Ql7G2K^#{YeR$n`q2>BGVmVI zc!36d7tp{7&ruQo9PHzqQxUI%=Aw!i#Qjgj(Eoq$3J{g_XChB0bEWs&vXGln6p5`_ z$Zeo`m4z(N{F9&p$tQhzR2A{!U|;xE6>&9aE~$v$gXXe|xF0lyD&o(e$x{*MgZJgD zh`T@s{jLc$0&NDL=c^RqDXL)D957xm!v9~>Q(nVQR%86+B#bd>N!^OUMN}flC~CST z&7eMEHE$6X2Rh>m?}tA^25&PYgDfp>(Lv#{GIUOF9c>V>OqHj zhZTRtO%^JO{oUZ(z)qG_JhAwyLducbY^7Ce8Oo+v6Wy_Pmu-`WUO=J_Sic-O0*3+1 zptE^47g}Yp{A;t!g?AVK))Y@IdMleKHtAR3?Kp$zhBL2!dH@%eP!4oBe}tmo-wXam z{jxLNoE+l+VE46wXjaE8nljcA+Q8O7Lqyx?r(hLc&75j-o+{hE6y9Y87FFK_ATvzP zsiwhYP<7hm7P+!&Jz6^Xhi*JbKJh{Bbw=yr#@$m1qZ`|Tb->L#{jJ>KUy{W5&-^GY zqO!c1#tG`W6V*ghcg4sSG!g#(oW$K{omCkcD;%Ct<^7ms_K=4baf?;@+Y7_JYitug ziiQKsx72$XmIV#!!*p7WJ*nyuTZY#yCU8s3wkzf0=^dvH~qQUd)(PSskNW^O6{;6&w9M0O#wHN<1ZQ*(;; z7W44q7I{FV+ZddpB3BMq3MdsigBpIeP5&TIMZg9QDvYK<78a|`?&q7vUt_L0ea;(X zC1LZDh$2wx+BE(PW<+%Wsjh-%!Kha1%IhK^c=f|E}LEbqYVf)r6fbEh%% zMGa#;L;bLVy}LnuZ|Im+_{qR8;hWx!sITQX2DrK3dlS)L#w#YHCWgK682e>VO!qo) zn`hJ1o^R9B?nI0!1V@@kY~K7N*#_lZ8XZ`TnEN9&DPEXI)F5G^dVNo+dH}c1Jd@jf z-?Wz7k@ zTcJly)ypZbd~}OAGUYZyfO#&ws~)lrUF_Ys(u91%T#41(0umcO$5!(Pxq$kJ<;hLo z2_^5xM@@Xy1)cs+Eu%grhb0_Wj4h|w)8(G{i=G(@*B+oS;WrDfMacpZij$s>VP$im z-AT0l6No$o7SViZB=Be{vwaR;yRILeKJ~|^`z_QE-6Af~u07Gf^@KDp&f|R~-r%l> zbS0J-htQ&-HvlSp81y<17gp&g8B5fCPvE_uB3BV3C+>7RmtVs+u7*2~7}nv>$LhXd zZYIfQRCaln7gK{88%AOrPsirz<|fHTMeESlxY{cMD?#qnl;f;(fp@!)Tb8q@ocm+I z%^Tf0l>Mw#Gzl>BBwQdM|4fZ#y3jYNMd{2qm8ke_DI!)#Qi- z@kNBkO)mREHVob!&b0ikn}L<}^Y*jt&vlE{T~fM_=p7}$-SZG-oP5CG+1IVaJVM&8 z=;i{oI87qgz5)@s6ALp$j zr^CYkg{7VEr9HMS&-?fmI|hFh;^Bx>IThvM9*|#cFy|*RJ`$sgoV}|Z9(>%q6ls6) z>#|Jdt2s6=_MU_r9NZ4p@YHzea!ldM`Z7yh&j^xaeX_0W?0y>nL|{{*qOq}1HX3Cjop|qmk z3FOztsj_Dzw!sC1e85*AqD&NaNqmaP6q2w-;rY**R;0)+E`EPgc>LvP-PG}(Dr}8o zp-JW{Yza5#SMZhg{h-84pY>);(5fiEdjb+$_k)|P&#MF?b2Z-uMqbjdh5%?c=}Zh) zAA8P?z0bPPnSINX*|s6UzRm0r&Mt9fcCJgXMdGv8y`{X?c6j_j@`%BM5|ACiXUk$A zv1h`=@Gt)z^Dm+{-e<9{W+bB}EAYg_a>-CWnU#?j1HVZaXC8v4*%#w; zs`TMpj=UL;6pKG;zn zXPNn`bP>0dRTxE?(O?fB3oU}+wo>}hr+l>`UB%4PT06`hd#>XbCfKz zhzi0yU#AmTHI99@Di6Bmg0XY6Rn~KwmWg=1KvhxmKlDKID$xy|%w7@T`1AEt;{!AS z^>2rfc;pzt9(RnudQumPss-^YzYJD7j-;sRo8l<@O>U0ryP^wHXzguvt9I1$H0qkk zL;dDoQHJ1*=!9XK3B)8)-#!zzndH~NSAhTcr!csjEbB}Uo&J9ycwKk;WsCdB-lGb? zO=x?6FdG-y(t?Zt8V(R8k>U#$dB8`}at`liIJ3RJ`SkS2ksjA_bZo+LQE3Q>C}1*+ zbpJa@d3RRs5!Luwsaz)#Pk$FIH9i<@F0*9P>BORl&s!NEZ1<6ASh2RXZ{DBwr^5DN z)$h2=B<5?7N1xAVOk(+QV&gVCf;lP!?uv>Cg6rTvJ&TVz^fi|JRaVQU9aIkNh)^``E4=8x*S3fj`Z0ms|hbOSci(p>dg zBtB;+l;413?ip8_f#-AYBg865S`@2ugL!s{>9`H^*b)pb6O+ArdeaS;=lU6V@QI}4 z7g60YxYab#HuJb}IVI1P$$F~uio|$h2U}X0?V)`?&^slhAolgoil9cPk?c3~dB8Iy z{_2c;+mHS;{L=(=MrAPuqFdnCU5edDO12Fhu6zP@HudAmn%8pEC^7h4{voKwa^^V! z)nA1zO=KRe7&j+gR+$rX0f2Cf`<=$=cZmf1;86g_3HIAVVfyaiiQ!rL02+U4D8|GQ&O$+*Mzt9s6 z9AacNn6&TQWFh0l80}{Zn>H4%RNE&_-xLA|${FguGiuBLZbv2RC@LyFd;+=0>|z?U zB&SdPTFAy-`|SJ%#R)e5v^PNt#XPaM^|UxYW>2lm*iKnlS*%Vwq0EiYU6ks}Mit?g zu+uI7jNBVTjo=D%wn)7p(Uo^#etetPYaiVOvL6$AQDwWL|E^yFcpvr%4gDB1SX=eW z$5Iav*Ld_TW27;jdjup9@I59=fyDSEs8W2}&b-Oz8rD0*yW9ohO7gfGo%+mXdpvx7 zj4sDr`jB_Z?#fZAPX@yWq_|#DjSlwEay<)L`-t{VXlETfr&7l*_!kR|I+d2&QlqJH zF;lKSRsym&R&wTzBlhdL!DlG!s$2A!?=_8Dlt9$R5G{@eh(*t~q&+cUn#yUz+cdbPrYTd z51@1Wb;Fmfwv)K66Dz8S;06c#rtyE4(-CAgdnQL_pVQ+8Ze0?cbJwjGsp%cDx~VPl ztk8`ecFzFX9KNA@QI*WFqne>C0n$-%aS0k{TWpf`t$RaN-f?ZxuPqc^;~U<7-c=d8 z!;sbD-!`i$vs(AUkQZKq+HD?xx18%E`xcA4T;T1aenxr8Y$NV1o55@3^36E z-!~Du*VYOD2L*0zg&vz@)T{Qm=Cbwmm`CQ02ly1LL>O-w_ z%*CGvWm|M5J&Yh?$v8l~t$cW_zsINCKZ-yCG06BGy5%pTf}zsN?4*Z+N|bkocM_>f zWv+j{2yZ;n&(|kin3thG+oLjnXiL_C_~XsMG+e-|5muu75g~i^dJqUui3$a>GML@K zYEqH`a|7FWyrR0^kPQxfa@qq@w{}LJ_aDgqvVK|%+BZECIsp9N#|Ws9;RSfx($Dqm ze_jc@m&5Tj83eX_S$73v9mB+S#qSVa<69-m8M06O0~j^c6>-?1-UBUvWt){0M5xj& zF$%pPz`<3#AN^z*#HzmKhHG8mC%R88keYgu`=at~(ftmv04-4}9}hPA>k53>kEZ+z zRshm7D{DEv&>ciJg!LrlTJ)%&0^jg}=8#v~IFJZvk4MOR8S(u~4z zW?R4aO+r--@{Bxq;!c%{J1Bm;A)6UQ+i$%EJf&WS7xEzU&CgMT=6^lP?`hpZp)r3j zX}4pc{~QGo0CzIPL(KWjdWn`?O9ptf8ND`~+mW?_((UnXj~>p3ur?`tSRB zlq$rlZc*>7Ec@mJ>1=9{HC$d_-%sQ9&Y1v7oU|C>F~>X|NZ*Wm#MTw`WO|Ty)N1ns z8!gW>)Q_vF>{TFFGa`<10AEnN86XS@#@)3fr(LS2`=F0G+@BAjLJ`+M66q#}y6iM6 z<7*BNlpJBcCE&~h>dAnJ@dw5rx^7E4#avX?_<1coZ92Y*3T@hqRNk2|VB z%N7OVjJx`i9My<6A-g*QT&Uvas3qoMpXAtVmbCrIPZqHzu24})H}M+Kr&eQwkm7Qq zobrgh09=hncxcf-u4g+uj#~-J;ungke8tBYQ4Gbmh|)T(y_l2q2XiE^N2RwdPm*<0 zZ_=OX6Yq~uunRI$1tGEBjs=AD@l|bN*xT2GW2tA zg8M|8z>P8V^w4#%blp6>G0vcv-WQpcQyOF~0r$?aZ($qTCHjwOt-CzfNb%v}T5C{W z6j5g2$a29>I>O0FTY`&YH3&8N1p5MV4Lvbwc`c4;l9t`07voG#YQ$#Zx+ z7ui|w^Znz3-I|X&L~}WA*PTJG=&EWuyc+SkyHhqR%g-;cu`RYd{Fd)e@Tft zjkv_ZexjSBXsmpPimct~7;L&b9=F-BpeQZttbCTSb|pPMFFYk z#B1cZGjaJD>ffs&FyN)kkBAEeK{wL8Uf0UBv`j+`>NotQ;`?&(t)7aT9uCxi?%&Ls ztzYXAFk6xur5_C}-Z#!!6nNO zpQ0?nvFAb&8gaqhIo~7+!_859thHH|KNp64XB}E2ygijZoH+G^Z4u%(Hul*QSj$>{ zJWjP*`+J0CsI()rk;=m7Pr92Vh{=#Irgt!*rwxh-W1oHWgq{=xL2hGb-JZ<*QkJGT zaR=5K0tavKo#f|9EgJI+@f^zw&j%P%CKy!}~leJwI8` zYSeEWVK2nAso2XI`B%H)$!IlB*Xlvb_80y_)E2TPO?{ z-8o>nk9mM;kC>c?GsTW7G~xqV+%Jbk{=d_*;uj%EyDdfQNY=C3nZk>l`Uupe=FEJE z*h_#58}QED$(~_SEQUy43x-2+bK&AP#Cy7Jr?GxyiAl1I=>+i|P11}IhZ7q^*p0=# zWP|!LLu{sYy5b0Pw2~9#9qG#5hfx;^n!bJSsp7(iomR~v;?MO0`_Rit)!~kolO1K6 z4TwvoTP5~ygmICA+Xn{9dJ6)@B;0qHr$5?^DI3wTdl9)1u_un;HOl|z4R3{Z4e>jw zq@v^@jO(W_Jka5+StPg}W*Iv0P;`z^bJ;s{2W39&6`>|VbT`)WHUKPS(;CaINoyKJ zczQeP0&ifky4_i`SeOuNk(y7iEt`h>romj#6CRGS%wg75Ha4AmLlyfmn^}|mG>nt> zd*mgmI5fs$yzm^I8Kzw$5NrnnmN?;(6GzAPBCXt-hjK`1yPIDE)5^2@L< z4p!M(oca)T(V2gY-Fcz~qIPT%=&FnhaF@xy#G`U~Zr2SGV>HiM^9_mMJQ}E(KGRk# z>Q$Arx2QY(alzkHvpVcw@-$+|du-MXa^~zNsQZL{k+t2ucPHxe;gPV$`T`szp>-aP zSu>g3+il5;7UTtHwUdh`m1#+M?Ylp1E19`utm&~bMDr~PLw#(UP0Y9daHcO`FJ6aq z2rEH_S+d(1wl5bpSuY9--|BDu>lg4~FyU3X^oupbkG zY3EyaqM8nj#A>DvX)WaUpzJig&G`#NGEwjz)|%6ROQIY`|1G+NeTVV*(KeM(?#z7$ zYpWnUKwT{JzKn(3G`!OXK_>oIuUJj;E+#t(w^8Q9|AVzfPZFG^mV{Qk=G_U$t;yIb zu<%jh7ErwXVjyG*BeE2)|6e*pm=~@Yki1v7Cx_>kYGw$oo?siVzsE`p_dEESm55yI zZ=--P?{}*FPqG&==cu8cwjU-|_)I`fu!vijPHOV1$~IdRU{I}MxUcs)(!7s3%fwm~ zCBC$w_g}TY6rL``zK8uy`x{NpwTTCOhTG;p7do~H@(5pI{dbC{4q9e2spQ#=tHJ-o zhtCZ}x7+=@Ex!ov9!BIQX%->Mw_1$K=fcVZ%sE`i2E^Un7N^FDaR5Qt)_y0uK7pOlukS-XMB$p6ZFyJMNN0^OEGiAhrng-3Q- z2FjkZ8X9p3z->-b-v|?av%LQ4JsrMIcn%_2$*jLQNzlB0KRk>0E&c6!>sjS{$nUPE zTZ`1MI2sRO>_N*v>-2Bs9r2A#Oq{!rHZ{IcbY!z-9kb!@sqc{I_?cZqz>wIH#q^Nj zWyJ4b?IAu9qC2r8?lt))#UfZ+1P*&(l&2_RG4A5Nfweblgk$fce!7FHU5UI{mV6~v zzk>}iiJxAY{Gg6tH{AL^oTzJLLh5Ls#BjDFacUv*9Co0DRd?r%U6!fF-a0}gv8Ni95T5rncdE7U-O1u0$r2S-Pa!8b_EG;>B6!MSK zB!OB~@&D9f+B_$f%{x)$GZbxaQ9TOOMZW(q0_Sdvj2=*YVMshD-J5ry?(P`iN!~K* zP|TK>lOfH$#JQO9mBL$wwJ5qlH z)HF~=h!9O}8T-NZ*Z1oCw7*3;0JZUYlQ{bE?ndi9obR>Mq&58)tG+8q0k|>`>l82B!`Fn5Y77#=Lm|+;o!_TZ;HA>o(hf$Ad+$ z>`RFk`S)iB*7aTDNzOJpW5vEWC*k>K4OEZ=5hID;!lcHH-oeCtJ{|i^z*+~T$2Y#H zB(fwjW^_8CE!HjHThpzgMS8rTe!e5~4W>Jr#L&Lp>r^f7C-J-PjD$Jx=yd(UPw=uQ z?c_y9e|WLAiUbZM@xhHoDWNMEY~e!Ue;%Gx(q>6#Fv^MY$J8= zsS~Ao#MCz=eb6YM0ZOH_>h9rSwl4>@Q095E5_ecFTxnZ_*uu`bFj*Y(5c7%v=wl!> z2$JxZ2)TE_3W;FPqNlD-z(k%9n8{;xqxyiCaymo!!JgCS|FMRx57$!XDeF$}_ zw2`t+v(nP?;Y7>3c0=pa-5s9e{NPx`r6~LKPVw8K$+D_m^2!Xz#4(h9{-}4|8|pji ze}KS;mG_<1_eEDuw3J8?xZuOo%=)s2sB=|Q)0Z^wxDU`F(MIJ;u<`7!gF+GOg|r}x zsy;20x`RDS{Wq|NSYFIaha$o$LGhGt0t1W9eZkMkR~6J>1Y6nZwUarDlPnj^ebj}J zDPo@Hd)o%2dU!{iXo+t6gj6d$mq(2d<+vSEv@iI$rn>NMxi?SoDsk#N1>?Gv+hZT< zewoxk(0miHwQx_FqSro0ki#$Q&A#txTSGk8q**@-kr)exo!*$PnVFagHDKyJem@XQi~8rRc)XLG0>?#-%ZEvoS6m znYbb@1H0}FMi!5|q`zA?86L#Vp}vD1tH_~qH!uxX%99e-DD%2Fhtw&Tm0@gCg!X&k zZ>Yw&0q->HT(r?+E zWQ&*`A>LY6&=5I_~;0yHMu=}(~UX<8r zGDlfeYm#hRsfZ2SrE=HIwtV{2_C54(m@w!p=^OPujOgbVn6`P7`cca=rZKRI^=0I- zG7P8@#k*8|jfOJ(y_YS<<(#`)c8)7wXmuw$x4oM@{S(Z^rI;Si9?_jbCMq zpIS(g7Cp8tCMjVVzJ6<n2^RtxhW;$=)*-K4Wd z;tljH?HzF9UU1V)DBi=`TIz6fVC|eVz0at7Os^gbJM$`8v|V(8!CpddQ;IGF!HMaj z{^2>@3G?R1uV=F#Gq_+fv@y7*5u$D{(+s4%hlm3}Nh#ZhPQ{(536ub>B}J>b-wC&g zS}3PQ=c~SyelX0NI;F$mj*eM=IOB*t19!ANCbh_+W5BPJ6kKa5)D5YLe{|9vzd z1yhg-Zr)cp*y;a%H{=}z27cc;(|-(D#b<_imc{(1ZGM7#w_z(4%;GE3WCf?nn2K|@ z{Q`x2nyJHm*+txpK>knkTV;FM(h=)(c4k>zlsk07ONiTN`NeL{CJkF7DBr@hHp@aJ zGR`umFEwSI;vtaFaVXuvKulOem<&C8R|(x6E-?i(-N)_GZJ9n)0`+IyX^$6+Xex+ zhzI+WyEAYw#gZ;>MIk`Cb4teCK-8I+#W$hXDDH5(@nLTY(-SW1e|i(uSJUQAjdDlg zi35^iPL&f4fwTs3%b(=%0!x$`*Q5IM0Ks(kCSvH%l4AW#fN4OlGY726)O@4J1^8cp zPgj8fDt8&%ccl0{PpR z4pqxZl>PwF_He={I@8iK<;RZrieHpu$C-@}fU~64rwxgdnwjVltdJc?;MjTE% z&32|Py~Z?$JvJ!aov-XQ)r$y!ZUmk?96f^Rk+P4I7wZ3D4fI7<51&vDW6}WusAH!z_C|7Qk z{+9{b4$*7d2JMV9j>~zCE4&jpsz5Dq6U;rMVrBJ)oW`8bVX1%7Ud`K|x#kXx=*=jY z1=0Z?Z2&vUmZxu5DN`p?8>yRqT|x4(D$)`U9_DS^{f@e(=m>2==9du7H)r$5{zkX@ zMh~3bvwJcTcj-u(!> zUS^#2%SDr<^LR$+Xvf|%crWwh*W>RP@*L;Uh|fm#G1hFlubD|Q3=1t5Ty!*s`mpw{-x4}iyw|F>MfQvV#-k%NJteipA z|I^gB2Qt0?{}&bI*2SfAS>+bWHJ8k#QmKT5R4#KXC-+%w%Vo*!q((@{txh+QYlm6p zHin&s)5+b8nWn;A7IWM7d%gR7|M>l*i|3xN*Yo~(K40&>-VffDESvg!DsZJR5BSQM z`{rl64Xhs!^bsxP2x^nE3o4Gt(52tJYLOgJg7+98=JFu$$hlzo(z9?(Tjn)?53n9DV~#9N!#)* z-4w;qhXkj6pdCpa8FON9F2B}TP>LjFO;J8w#TULYFKN7Z&N@kZ~oMi76#b|25BY?4-f)wnU)0_1WDFNR{ z!(oy4Z~DfG!~CpL6mz%Hnf5-{5wHBmX>y|ztT!+aQdznoG^Ji#dBi&c%| zR)TLq`g6Y~iFrRO$S$A#3$YJeWW0PCNhu|&E(%;fjOCMMb$XKr>Cs3>j0}gP!-q`c zcA?0(X=j)p=)z0<`k2)rdUx7zg$l-J;-(mPEo$RLI^Py}j>C;}E)pHhkY?Tc;vTVuPe)_B(<>X8k< zMoZXDlgjncPl2tRX3!TnbE>slWzuBP8foTdYk64$aYlz=JN=XMx^kaYlh>z(jxYbE zGl$ih?Uwd(RV>;`aAe<}Qhew1{vzdsSPgy=MxplMno7*$-+_c?nQNxw>Jz5XzXgl6 zP@zTp$4YR2TWdXow#>}J^=d#q7=5cEVNE_}Y5LZdbj`I`Lqx&h+8xE4(dP2>b91i- zs)Spt*0^xUUK{Vc9HHDGa{(8zF~DqnpZVJX&9QL@$SB%$IP3I{@$-ci&37l*cfg2H zE6_4KW@+`0mYJdPc(D?jJ}Tex0onj0hZO&D>C#8sh6O6u9Ws^-i`zmHD~_>d{mu=r zUOhid4Pn-qJZIb9P@>L`UO3F&he`WssXg=4_EHG>xP|p9lpjVYq_x}+5+eWeK{m(^ z4Y`iY?SWk6aHqwkK#3whkn*yKn*O?!7%4CKsR#+qUn@?wxv-X4TEN2I+Wwr_*(-sH zjMm>N&+#9RecVV)^8Zqv9}PGlB$QS`x_L{CSP>XFix>ShQ2Y$s%p53c)ea`68Ycr~ zxT+YQ_YELaKs&r-JG)^)i3?>F3!Rz3&I`*Ex9#Y^!wLrS-J`X=q1Ku+4JFDn-b4sZ z6Xb-=tv!6a%g3iTo3#4x!%OhhQ?=t4BY(RrMq;C7POrUD?@jV|kPVGTuL~Fm(a8Je z=cPL{X4?auiZ2i@%$=f)FFuQ>N@;akO=pb|2cBY(Ls=$6)&|N1QT zdSF5&FnPolw*~e}9^Un7VEwA?!U(wOSM&Ywy{ljp-ZQx~Q0zC$`6DQ+b$g7MG2L^$ z3whhjC&ITPeNFlPoh@TX!QUyDFuz)l*V5 zc(?*k{yt+{Dc~WaxiE6KUAPrcuYm<627Dw^H#>4W+jG@B%tMo8oM%%G-d43YbXL{s z`7gi4{r#OWB4OT560jGaa;TrA*|C^Eu`-PF$DNF5iaCCr0b#vP_xTwanV zJqq;1ukCw1vJhdtc#v+>eZ^h#f_2IuPXX4_S;92V)XYtvm=jeCYl|+4a$Ay(72`~< z&n##JrkS@3D6erZW{nc_2UBfJ1|CitSakfBsv?WHbzPT)pSjcGUa~z9ycbr7sl^6L zUN(dEw=JtJs0^T)qAt80HxzsrDd{rL=m`WTJC}Frv8?m&RXuw5^UasG^_!n%U#*X= z$H-Wn1!v*(wwNtpAXNjgOh3oK2EiEWgDF$0Pqwx_X2-l=lML+Tj)${|Fgq!xI+*0@ zmPSgj7nl9pK)RLUyu4kqm5o~6!WCWJZ%lGsNnco&ZPgSuT~lP()P`lPS+N1Q9Um=i z%P%=u3hVkL!Z>*~M%*;4Gx9}8^rOxN#vU*rgY^?_mIj)xf{u}|m}M0hA9z_sxN!98 zvMOfIVR1jm#@iU3F$z|vBIn7=6N|HL-F|8yBKr0kgD>Jm8&3Pi{m)+QU>4@rWcuUP zI{I^*r-h0LCUs4Nln_WySTlMJfOm7iZL(sCR$$tiB*;-UkYK!jnj&c_;8*Vk9-y7d zH~g(yNK(a{Yn}^-P^HX5Qu4>H6_NXV&-M3qx)=YVNYU~HYZzx-d*wnfy8|X z)8&hB{Nlt-VNc@{&9O1<3%duu#`ByCT?XtqVG3SQO|_5clkU-DW=#|JkXD||D!#g% z>o}1$AMj~mYw1SS2cxtnKih1hA4V^j1U?*TAuaw%8(zH!dxfwqdXWrLls!lQHZDS^ z;mQ^;R-04B0^nw>l0}Mo?IPjj{o4y&VB7 zFxT_A!~E8;H!I9n7vug>`WhGKzcf#g1oa1|mZG@2vC;O`zlr#)Z%@q9JYP0^=I#$( zZ^nqb`%h4JHeLd-oL$V=6bcyRbe3AFYZffSK)WM7&z@Dc`L6JeM~>s*_xE`s9^I_U zImgeIYkS7-1k>I;|M}|qe2`L)}DqM zqb%{?An z;kAy69&@aU_PndCb{|GdZ3gfa%VmA_gnQYS;$UWOD&+>pi%jM}T+}_0rbrvB?RwKe9ij0fyE;Bixv;z~*#qlS zms#PW?8qF8zWR@cxgF=HGCIcadC~b#IuG(pZZYVOgF_uSLG-2E!MZ~-Cql!hq((Vn zlQFgg8KB62#&+w}Ei%ZqY7w zJh{Kb(Fu#9XzwN z#lzG6?g`ZN{)m^zm}4lOS;Tu}gat~ttp<7IWWU)TMg)f=0S8kwjR=m1rw`h`GekS6 zDop%DfiLG`DS`t921g=xRouQAaLKDW_F4E}mnx8V>!$iIvy_-;vw@mWdC}85-MAfV zG-rH*G-~GEl%z*_2%?1)cNnN=`Uo5UD5fZc>G&!P26%99r zN#(-zvLx^!xOgntmU@o|i0dLv`3}2b+-Y;YI}S*>XJU-f)js!m0-y-FZ`Z6CLz7;-9{ z4~L&^vBPcp?RM@&nE%W?aOedXZ4Q@-!oR{9pasW03XMfHQyYXCB;T;JDnaz<6fC#d zpX(WcU=2jmf`qETt($vEg81;rknO<3ax&d!<1XkLJ6QR0aF z(+#bge`6`oDmTe!-~$wMChR(Dtxb5OW#RD2uek{p|JB1a2iH-^j@+t()-m8IF$2r14Y?p?$gu}Xq3s*9SAGIT{2|5ec@7j&-%9s< zp3u}nsZRoU7tr#Q*__yHu&$L8T~mnRGa<(9 zg%W^28}Hnu8Xj3leV^$@x4;{*f6$D8#hC|aGkbt*7%k?}8b5Y*43qmWgJ_oy2%OGD zf#50ZtnFDVIB=)nt{F@C)QG(uD10TUpb~KwI6g5K%i6mnUQik|9gUaj%|F*a$L^|F3HEFw4aik2d9ZqF3y_tn>Bbz-UW@v8 zkA{qbpiTGi<>`odjh+)>8u>ekmB<-+cC9;A6hBHZs2q2IR!qRM9H6lDq&2EQX*QJI z9i3P<`tPS4i!GYkVdI^2VzI@4X4v~!?&+Fr;9a&d7ro}@EiUV}^e^9qF>eZ;diJMh zI4}I&*}*Cx6i-~PK=w^vW?hWfSy7>hO%39|qjne-fO>89`M}1>26KoG4Au{n>r$Oe;$#buKVzlr#3h~XVC#XQ{ zL>eN1({vg{NgRdVOYO*qqGXU(KK=wm$+#h59Ey@=Kh92HYXwfVig?&b)K0 zCIPe786a_38=(bI5DEXGABT$OcZ2XrXZilhk>ko!k0s`fk;2o zV{?E{kvi!@*f6FTL~%?DT^sVg6xv)m6vdsiLdUM8j>UJhv0jMlG>vaCy!rs5q|(<@ z0n@l{x*!=iYOD?xeSUd-^7a)_3QsSOJ|;gTgXq&>5740KlV`7dfTGWY{W;%X%%cRQ z@Vt;HRS^*+%?8UA(B_EHa&%fD7Fv!(`yHFKPI_^1a7;S1TrqK36a-WK7F6`<2J>Ndz58Csl~?&@eG0!O5Bbm2u{f5M(bn(IC0IFakq>84^*CA za|f@fgS=bWL25FGu!IyFZww_HDK_KgRCPyVZJz1i;ryA*je~>%KLLN4Y8?KOQ<-P# z9r~bbbKh}T(3-VpPkKxLX1EQz5Z{HzLm$r9=b5Mj?+)o85l;^Pf*_r{er3o-l|Sf= zRBUX}(iK*Z2~BY{)Ak^m;Kx~m7MfG9Y+6ZB?y6O$o-}hZddF#xJre$MDLjC^q6?|m zjqQ*HWsDB`SRt_PY%6^{D|q&tgR%6=?a(=!o)gld?3E^n(wf~PfNH`PHYXk`=UAbd zZA{`Wf#r3*Lp?8m<@J0+5tqU8x;`9_OMs~#8{9_%mXBZyrx#Sk=d zG(ZsC)POPu4rB}{4cOAg&=4suZ(}|a&w~(; zFxP!P3*;V|+);JFb^QsEyN3vJST1p6C$SGa!c7z&7+x&^ars!iw~t5dQGk+Ivp*;9 z9ME~wZ4S=Hq)8oUa@>IMIIr)=YMw5>?Lh9R5d?Aaa^Xf0(z=n`@DV~vZe_t$O+iJem^Q23AFk5HdMexpdyki3VjDC{%Qb6 z&&K2#wA;@Hgl7=Y)zK%oLDtkUKr2OTt?+`Q-EMQr&j3W^o{dEr*AUG?)d`Yf*BV1r zM~b~%+hv1?2N{KZumRxSGlxiks!mueyIZR3t&Yc-G}H!!b{&XTh1NGf1?=M(iMVat zHU0LOZ~&r2vF+1?Lr;UQWh4_DqO)|0wK71P8GVv+5M6hRF_Z?d){GIaP7`E`xkGDz z3#C7*27D;&0-3XiHs=Xt&I($g2CxvrekuM_p?d@BZJikQ1v1)^z1#@xE!rq-7TTMX zT4j$E@7v=>7%=NdF%h+8@VS`bd=TCIPwbpGSU2hsmcJXyIxlI8DH$N^$OLedPXo!} znON@8ngdXJOS|m_Pqz5CKK2-Q9SK2pR-UQDq4X1+qAq%n1ln_%XTLthQ6TrTz6$pe z*H?TujZdzn&32o8eC~p12z*;`!_29Oc4j3&UGZavGhQ@{XbcDLUXVbv)CAjl{)lK~ z3S=otA;R^5w^gfE#;G+L!A=$w(>II42VHC=GNSFo-3T_Qi#0@9$P{AydQ?2}d9WtF!G>f-5cq1>a1qp94}C-t4tcU?u6si!09l2N z8eDd$KMD<@d=*+yGz5BizFy<`P-T+go<9Wy8Uwxsg9Q4&789yW3AuyP8lW-}atCD& z%Ro?&gxrsPjpT*18GE3Dp_*r!ekA`egp~eZ*ZOqRmXv?foAWi5YQsz)npX*IP}GIYM9Ea1C8l+(A^6ljcwzyGyPFokdXh; zf+8VH7}91wX97z+^J82u0+whHL4}=z+Ve}aeCc72JkTrF?&6M=PJuqLKX=eg6A1aC zl~k<(ge0`m-5)`GO}K1xf7I_5=^ia;1}f{O-dJA8Us(HClecwLjjUTWI>r~F0TRp#15FKO*s!8b;LAE z14zUn(?_$x%7gw4IzE^KjT}Z2upy3+L|(L+Xvnu#d>GU=aJJ(CXZW*?C z0(HN;xg)KRMDpDA!;o*;}l6+rG_nL!-X0DKGENm#>SizJAzHh1I>#P@67VDBNIsk1c200=(x`f>{Gp+E-B zq(=?5D16z{<}Gp~hV77IA)*=BwBgKQd7c6U4NtJ#BQ;n9$Y1udYwe%_FyOA=BKI$Y zQNzgO=aVci2&-23a}eiDDxlIjs89F=m6n_Al}IQX-(!ROa{;h8&*s=e_4+nD?u3S1PMq{1TMuW)bJfGVQwU zaLrH1`?487@ptpr*Z;&wp{<>LdYrl`NhzAti4u!ucAgp*rc%sOSo1!We(2O zBOU3hLZ<3Lsv+& zTXm0m>u;-!m?%oEW76~$i!HtH>-9^OAKwwueIE0!7ugZvuclBsx~;B7w011+HWTX- zN+ScuZP_^D7Id66h@|9o9A=DSMu@0?thl9ldxoykTQ&~%zQc$YA&_G8?jA_d`O_ZA zyVbK?OUjBmdE717OWVWp#MiP!g)6fNRS(OvsPN?lCGg($(&R;(O&TWjn#^F-q&Jix&b@$M{KZZnMgVNXfp_rsDnmX5+v{&3V zbqukq_&sKul^yai?gHVSZselZtX$5-M>);Pt`U^%1_t*lu%jjVxf_6VBwem+Xya)B zQ5UTy`yUsgGyq7=B65QwwpzKB<8i8M*wnG{UB#94+t9L_VHX9?6v2F=-j(1@uhlb2 zTB}DWtyh*F$+_cpH=RZ9XmUZW+EV=}E2HEbt)A8#oiu^knp|q3wFKgQ{T9R&hU{8f(!(WzNz^=9{KVbOwRDqgsA zybSPrXNEy6ZOFO9#I0YLiCUMK9aDFd8-?w%er+aZ{lRSg!ji2l94291TEE5mt(oBL zQm0%)@h5`u$| zS>*f!aDsiVd;TtKMEQ0%F7tLlwW%h^i7Kc73FhVU<1Kne(+E?K8{`078h%b}mKq*< z29zJ%Nnc7rxCGpq$dOGMwG$XoR;0<+ZUqUrmu4dw8)opG!mHo5*MCx;EIi5LK;2qY zcFYSl|Lwx(RQy;X!YTIw!c}QjcP%Kj+%mE3qanV{GH*0GV?&ua*iVX%Ur38tTLRIbKjtP;r}surYbd*MH!1xgidp5OmVd(-wF| zWkBfoDL@bUG&@T#ZXH$&jI?xC^0=!3>0Tl7BN-<6z87? z=!K@g`)?$57%<%G{_!LQ1XJF0>}1T;g11lJ>itIqQ#bHjMv=EzoRtC*D0fSL_1sqtq9Xn zy^N0aTG`B=6e-Y2Gop^W%r+g`45Fy7nxve15OUpsbk0qfah%nP?*t~!gJMpYi6@{PixZT_gJd%?an}2P6qGv@!Ju}$s`*ct$Vh&$O*Zl=;GoZ+o@%iGil+q?>^Rq*9Q2>F#~%K*N=Ss zSUS~Tgzrx*vn)?uprr-{?d<)lo=_bg*?hwEaDG12RG9dAjTV54SGp1$o!R@gzA35d zJx08u=Vvgr7GDk(aCguF9R>wFVZuA9f`X-p_Z6imeD zl+}xEzbzLgPPyolna5Xo!pT(5U9$<@(rQx=o?MZ?Fj%?z`Z@8 zrlH|EmV2;fSRH^h&(EYNWeBX@V=pU0V9nGpj1Ixi#ynyUbVe2G!)TBGVc)<94<-TD zaPEjQ#2TJAIDZ7-wTak?(vJr*>4a3ke~}UF%3;P1YJ)Y}Hs0AstJD_<RT9!k84wCA%k^(yPvUM}oP?M_d0bD@h>zOG-co=Te>(Io5WsN8pRq6=J>T;&F> z_j%bb?k0VjlxFZs@6k;<44oInNy*QD#I@2lu43%7(_5t|jg@K$J0v%VSu2-6E?)Vn zUdGD}IpaD zESul@{LwDAanWs$c7mUO%PUkgiRtI;20y(m%0fuH28L{nF~*$s>lWe3AL7)8+ zW?Ht@xfH~gM*>QW1hk_gTo_hTuGHz0Ij=?>6^I>wf= zlY#qSK4|TBJL+n1fhK?$vZP0o6ERe@Yj9*@eyY(zXlh&WrKSeo4H2fL?DT}CoUOv8 zrku%+bL40p74R$oz47|escQ7Yg(hHJzAR5SI|fIwCsBvDrgnc;v%Z3a#XOlD_?8L# zP#={PZnwB4=FiEM>`Yi`eO&f}Pio3Un;g30*`_!JAC#ExVj%*1QxEzdmswApn7=0t zR`*jHXVk2(A-VUMEZKZhaad)&jMZu6%z3J#i$}x7`f|(`tBc5u+f>JE9*&6sUTiBa ztw%kYdZ!gi*N*u#xo1_9GB&D~E*njd0O&#zpo@8FYVS2V$$3?Y!uBLjYjYBMo#{U> z#x+fjjFFXrZ5`zZ^BJ2Wl;!9oJr|2@h}ZR~;wc54P`X~sL%{GVWlT&hT`pQ?7ve2O zvdIIfm%X`*(w&l6=@JaEPxhqMY9OWDR;~Fwa?XiaF}Zd1CS|Tut=R#|M>1#RWLiy+ zax4z7;Zqf3nfV|>Om#R`TG+paqA#t=Q~VanQ==X2;_@fiMgT3C6w5V%WE2CE5h`>1 zP5lZf+!3gdFd3z>W|=ypCq7<=5pQxtPM@RByU{ThSGR)bNJz~pzF4Hag45l6;>%JX z%jh_?rXQ7#(^`9#S{}dP2dv6UZOc)|ovG%hh9eetZ@!-FDa*8eQ@{9p;cKcbzzb+Z z&gKmYLy_F8C5>WE%MHe<7HF-E?~OZ+T#sfd$feto^|YiJ3W7Y}8BuTvQcp|s6{TmH z!mHK%mkXzm(s4JCzcQvOk49O^$GIZ6#@Qn`A5K;3L|Mzl*&ufcvL~mEs3?kHnjEHW z(m`&%R`3A#%53$_(zteAlWd$Fa)+RBazTfRs~i&C#FUtc2~Q(`;iqsevoSiGb(12S znv_L!rtn5}5beG0Kl*~d>bD79k%kFVNxr!A%w{c<+j|8Kld_vKlvY}%(7D?9(L%Fq zzywZ5Iv{(~I(2S1(KZ#e08dqQUJ`0Y-ByTm1ABBtTBJ+~oo9QrHk&5*ZpKgg>r(9~ z0y-I{t#X|V(+br)w27oh?85zp*|cF^uKxHWe0fMmLF3({Cy&mZM7Td#!yEt?l8ua9 zA{T4bUE3q@sBU<^aJ{-Yc|jr7U5fdW?j6GBOC>hD5Q%vUZmE8x>hFW8W5?Bm=YdMD zA#2`DjcctC_L|&w;r$o@1<;|@NL#utBSwQVP)9ZbI{tXHV(#eLht$uWiDf}5oZ}Yx z|DiRk%8ZFoMNm8zF^Z}}Zo6>PjQsN{#m29USbxq!@^dC1lubarGXW@|z=Yp~xMRqNIn(~jW zY2J#h+Jmj%N&KiqtUdrnF=!OK$QIP8(Fa(RT?A3=d1%SS5hb(sJJgWF&l~)}o*fP8(JhgOR>2 zoR(FbnXmAqTY?&kFSN^3I5_Ib2BXhfTHy>dNMELnDRxou{z8o!q!Ac+U&wVQKnG~< zdFXkyXmkcBz4vH*u`YH3R1%+J=MG0~9zFR!uKrZ43*ueea@+QaiHkMMvcqxbSpmjz z4y0FW*?qjwR0y%_7Vk<*X6ven&)wty?RLodp} z#%e%(Xtc2#U4mf$HfZwG-9s`FJ6V)J+hda^e+VuC4dV`?cq!1R#FmCnf`;{ET6r-f z=egWblaqrO(l#z5bBcY{A9W|gjjb3noFv)ziIpXMS{snod&n+blSiGMj1cY zkFmrL4q`;{o=X_Nh_HPTDCY=H#;fC9J*2&E zF)*GT$|2UmhOO?;DgoTiQK8X;GUnP6b6f}W5^|g^TE-bIIBa7=yj>wp3$e5~|nrQOs)JzFS z^4+t7Ml)xupfk^Cb}M+2!TyPH-p1D9p`743$2u7`xL8)XDs*VK(Bw`Vq0Ohx`0+&8;0P#*#(&h+L4hpwzmC&y!w^aW-)Mz8P!h)1Mg26cV%HbE|FlL;{*!Vzv}hr1t^q9SlukrJ#|upG}nL810tNF*cV9t4x-NOYwYUamj zf({$K{5j2MP2$c2N#lCx>)Sy}+f+wIL;bDSZ4L=Ko=4o`G@XY?131m6P2!-l)(G}M zJ~TWXq;bceJR)>0&UbOB93-LI(%I z=@sEc6TvxYfqk6-I@`6S&7mRH;_~40P`2RZHVC2TRpyO&)`!ZPOEz)i5^oNQ!NB7| z(351~860cqNwQ)?w3RBDt`-}iJN;)QB36XS?YgT;yWLjt-iTAarkkh#RNOpQ1v^x& zxoPt!eABkd`cSokzJ6Pq=KVdbx4q9)nI5X%m%C?D^`G=b9Q6KTnE3d>0;GB}9 zdtNFf{a4i{4L=oMC39uxgc-V^;K9L_FI(^2@EtE+5?IBwz+#&i#T#G7=UeL$`76m% z{Q_`{lFfosPa6y;iHbbVjCdFe`_>J8*>FWGR`lL4O_ku&Ej>aK%20{hH)r>Hhp?5 zuVp}^#`%qV7N*h|@a0oU`OZU0|1n({<#%SU{`ez|G52Hk(hvU~^A(hVfEuGWjW!GJzb0G_V^h zY6Dig;flEh9zAn6>iyk5_Lu;CSKfS0WS*liyq+_Z_a7q%YuZExA8-Dr;m1dChrxJD zXt2LRSWNsVYYz#oIy`bTs`PG95CfPDXn%CUYy257RmmbJq%*26 zz#ZnzL;I%q4G)-swffjHQmW3f4gw$&| zzaks;=B{Bj8hy}xJikzD&VG6FCAK#{pnh`!J@OkVjo4xk~{}pU6W;?*dlM`=PhkanF zU(SrV;%eZjome!gPOuG_DmoL*(pq_IYQA<@**Mrad%A@Y@x3zfi}wCt>zJ>dSXg4W z%xOO{v)yIN)qbL%8rotkX6>JBsFj$$Fgft{FYE22MZ3g&O{CzXiXC5l0(Lw;cD*Mt zf&U^}q|YI7{bE&=;fMCAtRc=j?_YQJN9(Ui2IJ&c9O5a{-uJX=4&XIvDeF1jb7lHV zFZsqptLBfJw{yeV80Z(UmUZ;E$ZEc2Fl(bq=U#yKVBD5DBcFq3SjYBn?td20wi0o@ zKq7y-)qlHWPYP#yRrTJV3go8Tax&-azYP~iE+mm>)w5;(h~pbKhX<(+-Wxl-FA}N|ztFipm?c8~N~H|HkYv?xErzEs|{a3!VumAZnAw+qXox#o25ZDeKgOf_5 zmLfkt15s>!6?JLnghzw9e_a2QX)rWUT_wx?Sj|VhAS%iGVsz{JOQn~ni?|LEKq{vN>U)li3D z6-Fgl@6^n>>#1MthE_fU9lBMGZS6xO<$W)2H5nj#`~ugB&-ffpuJm!QY`gkcJ2+bO zL%Y9f-Nuf-@kF5Lq!5x6>I+V6v~OYN=^05vOI>oy)yK)c`K&1xCERotv+M5LGa(0q zVUjZ+eHwdHv~1(;D5*5t@v=%U z>Y1;pOoFI^mD1=*k-*R1+I4B|6~ro?x^!{{Oe)$pTr!Gv9m@~KdP??W4Cb^o4S|ac zhvUHqWJYgnt>yY?*Xg!YlqY&t#~zM~m79HdI&rLCe1+0O8S1v1IQ3YM5~Z6k@=agP z-OYQ@cSvs3&3CZ!fu%wH!3Lz%?4!b<>iogh`2C3VIkhVdTi^Q5oSz-B2g`?bRE&#& z<#nQ1CPNBvttf7k*=`oRsu2FN?jRu3ogEniWb>^R0U*A3zNVan*lLt@6n85i6RR#v zd9k8@nQ~2`VMQE>Y+Ie*+ZMmS`TiV9yfz02>D5uuDAM2?ecux&x6JB5Hk!(&1iB$w)s2mIjz8a%2%2(-YmNEJQ7ad*Q z3S2y8h@DrpyA8aJXAkhOW5FApSKx|3I;Gi>2%xKJ-$6K7ciPAFS_@D-tO2`K>CZ** z9+fjC(DqWRf)rXShMM*_#O<7Y*!pG&{~071kF+t8h;+MIM^r`Ph%2Oczrl)lV4ver zeDk4w2{YA-JAtf#X1bgWc*AUfacP@;pu1Q402N$j#c<#;Mln2 z5G!d316p;trngpyqc7a?^xQPqQ*%oNfA7KO6!vEzzFSrKRv^A>aI_R~`{Q*D(m!WF ztXBFcR#BFN|7sQ= z^^lY>@OV&oJb}N@8*}7u2apyEA2+U@9FG16Rk9Rkq4#euxw3&j?QJGMj{93{DVF-6 z97fgk@sku-s!Q$fxnDl8f%EbdkXoKu>*-JRihZ(Kh-@EiJzMl@WJ#&Nl*N6s&wJ%W zQ;(o?agDxMB{<T08)TYg9g-uAShi5rI$hJ^H7={YMTp`u7uL7pfmsmpI%V_ literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.svtype b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..cbf47d24eb8c099acbf1d8288372ffc3e0f2181a GIT binary patch literal 147 zcmZ9E+X;X$5Cm^U!7fY{c9Aa9g~jVkK15uYd$G4yRc#Qsk-gF=2V|}c3*gyp=<7}z Xm)EUR5@XjnQOy2@80Omv9R{cetcwma literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.type b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..14a09a21be380ea00ada81606e1595c3a4e4d8f5 GIT binary patch literal 24 TcmZQzU|UGM!nrG)}M5*4JPoU;MJ$Yh`(0UUx%r3DRhn53nktwm3cR8LTkCr4XN zsU=|!b3}$f3xv50h0rnt+CmuZ^Zemc&w2i68Ka0+CZF}&_xkMXd$0Zdw)Ut859E5i zR`$yE-q(D6f9u-&zSC|!{pqLw*7n)fN1pzhv#k&Kr3cS8-fiWq-~IhlHm|I#>^pH~ z<=DF)wQ^-|$KP;e<-RvtS!pk8rhjS^GhBaN$9mOPvw45bKT7-tyj_9 zwV(5U&iScx&b6%mjcTDbRsYy|Z?%8p-k-JCo5=h-%KSS?|0L<3A^mfEzt(?#@7J}x zK<2ZpYuh({kM0BeHf!s{pC(IqfN8c zSWo@kz5mGkfBF4<Y_H6(S^um3^=;X=)(YBG z>%Vy3TkT&W{SQh1!_xnV^gk;7gVO()^gk~BOQnCA^e>nGC#3&L=^v8*r=3>H0 zTAx2F^BE)R*gq%pKi~Va_NrsYU&?%HcrE)6eSY>HQi5|ETxtdF@%fU(amk^?tqVlK$@ApSAhVk@;_t{#&L0HtD~;_iKON(fhTn zcguU;EB*J$`!DMK+U^H?zxMyHd%yPo>fWz)eyR8C;GNv*RnUS zKiX8YepLFml>Twj|1s&`O8P%8{h#Rly6(4@`5UDF)4gBYzCN|0_VbRio;zK~dg}dm z?(eDnxl8ZQT7Q%DxAuO$f4jW@nev{qr2lGp&xd-y&hsbbJ)i0Qx^`dd{knGF?EQNA zPVdiJf2FWZwGXr2ufMzVQ`@>tf6uJ-Z{Pd1tq1mgy*#YGP}qOzHogdH=ZV@5}rDK>Ghx`hO_>|0ew%(*N($|0C)DvGmW9{@K$1 z6Y2k{^mj`C9O*wx`sYgj&!m5z^q<}PdhFk;{kjKs$+7SLE+2dC&vRsdo-6(5^?u#| z&;Ks(=lAOUaOAj-e3tosz6YxQ==1ywrT&~>C#FsHo)`7`HU9EF(yaB*XsxDMYv)#{ zO|#Zcs!p3~**&t(7fb&o(toL}|7E>@)3)s1-k-Jp`O?3%_iO!Ml>V2b|7GcarT1%{ zUzPdakp4HN|1If%Tl(LT{y#~7{^e;~ckR!*I!SG+m!o=r*7`T>{d&&1L+{rr?%MlR z=kM10wVvape~;duwfXn#{d#${yytP!f4uac(EIiB#NMxMoh~Nul;|4^k3Nf_41~#TVkW>{0!Tn_127{d&(G_(G@k`5aO2-_YmR z`M-hWql|IbK&qxA14{ku!QelAl}t>+%nzo+znR{Hmn{wC?)Tl)8r z{(YtYbJG8L>HmWCe^L6IrGLNPuYKjbeXsWGTKtk6`~APm$6ovM0NI}hO8-HurW!XN(reDF^&fT}^{3wRoAUnir2j_gU*7vw z)4tsMt!u}fS)2b=S@vfA2jR8PKf@2j!?Jo@?JbM-*Kuv^%g$Q=9@2l*KVzMopW3Z6 z`}?c^d%a(eEIXusw)CIX`?YVoWd3fM{{rd1Q2H;D{+`}%t63b^k^Yf7_PuluXnw0t z?kZm|?)U$!^mcz^HLdp>X-8L9Vt=sMoh-m=Koto1+E-(UT!rGMkTb2a~`dw>3! zrTv}BJy*{fK17y1z4z;Q&*}YIJ}vt!S@sRG?8pBZ%U&wWeo>aaDTBeaZ1)HHduHuD zcb5J`rT_Hauk)biA|1=0$+8#pew~NQdcSq;c}Vw3)%nY1J)e^Pr=|ay-miW9Z130U z_0fpo>#t?|?Kb~h{H6Z>n*U|9?5xfIimd+!>x+#x&04!_@7J}f>&@iO`K;kD z%d)@K`?cL?_kJy(mfa=GzFGR8?ETt@uU^M`YFqvGnIAjnq4xc6`m%MueZBYV{oj!O zH>Llr-mi6hyZ1M@{xLt${%F&zwFgT7X}w?Oj`3e>e(lfMvfcCkH*NR&?ti)#HUEu$ zpR4~S>AzWy>!jLXo9cS)@AEge{yXG7U+?`k`(OQ>&)Pa~@qg8tSFiOwd%yPi(Y;^h zu64afe@?AGPvmEa^`6JbIv?Bnb*pXd{aNduDt-OE0{yu*KclRS@N>ypzy4hN`urY3 zE&Io^Z)Zv0{k*>3?|vVnj)mW6XkB}*{;lWNzMb9oU$<5B|3uF1pUN@5PWt3>xE2YbJ+#m8j+XQlr+ zdC%u%{$ES~fAs$M+x0qfyhlFU9=Qkp<@Z3{@j6|HPn0lXRkB$T>Ag@e$}q4 zdVkjXUz0vRf2?Ko=U@CRqsD!Heo^n?=U=t{>+|!H}d>fc=Y?&o^-9`|$G zIu?HJ*}C7gasL*5|8*Z~pMSK^pSAuikoP=Y=KmMzZ}0u@ zx9fG}c>m=!|HwH!at^ER>F0g@Y~nue&)WN+X=+Zb=fzT&USi(U-m+#4da1nswb$`} zUH1C+Yu{cc+uA4n*Z&)C_xkSVzlZnNxzg`v_4ocsn z{d(qc-$&_tj`WX=P5<8Rfx5T#GqOIBo#)4Zp>Wle4Tmc0K) z?Z4;2-^|<8hoZp-)YR+`)_K_VXfy* za-Q#O-qYT)xTaM<@1pO&j^CBiWv_3)_HC1F>)z78&voqQ_1)J0>F?M3Vb%`jmL}Kp z^C?-rmwAt#hq~78I~;w_k^Ygf^55eM&w*Y~uKoWIr| zH3z?9;SYTPZ>S%a<2#?)mtXfg+wl4~A@$$PsP%7u%Br{D06VX|GFS7fU(?-RQFCfq z_3HJntgE~5b?d&cb3UW}QQ!AftM#1l#rny9TkqgCxBQymRi?}LeW@?M@;gti_0&}J zIlc||Uj6Nlb?csS^{TEO+v?nvl>>jv_Mb8T&mYv$)Ui9Sv8Y<|I&Yjiw>-z4majdj zmb{L+TJri;xoXL4pQgjP#l^J(t- z{8001Y55;+RxSCDG^>{U=FO@lzeTfZ$$zw2wdA*KRxSB)&8j8;v1Zkh->O-) z^;f652kKs|?+0cw{&$|=BXzIUw4?urbn5#>eLirm^})H;hb3P*Jgz<{zxo z*XI-e{pW?3R_a$jzsx)Bk2)^;Cwyjd_CGoMpPct2tFFWMe46F``Q7^QYxiTRAM4IMh3i*Kyr{Lhk50RPzkcg}{Z#cAcR%g{ z`1Jj1E&r1K=7Ygs)_wZ}r{$?nwY+ocQ*!E4a_UoZ>Qi#+Q}UWmbNgRMS}iS4eM(M! zN=|)BPJK#FeM(M!N=|)B&a+o?p1+dw{FR*NujD*`CFl7oInQ6odHzby^H*}7zmoI( zm7M3V*+p6bNt^d`%f5M5=_0jt8T+grb8?<#+OY7J3>z3E^>s-&T zbIxtrzMfyVyq;g@dVZa2{dcbQ-?`R*=UV@rYyEew_20SHf9G2NoooGfuJzx!)_><( z|D9|7cdqr{xz>N@TK}DE{dcbQ-?`R*=UV@rYyDqx`T6@(diLw(;H!K7<>zn7$$xV4 zpZw^yy=uwHe{%Aloct#z|H;XJa`K;?{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RG ze{%Aloct#z|H;XJa`K;?{3j>>$;p3m@}HdiTVMAZPpiDwR5^KVYxVqh&0_pt>#ys+ z?1VcC^XZ}OPrl#S_kv}AnE2Kg;?wirQ&(3r|8v7@C+GPi`B80&YRRcT$&YT! zR!dI(NlyJqPW?$+w6+^}nnC zWz!@5`j`Eb?YjJh?JqUHT*dM$lRvx}wb-*STI_=Um&g|8=C*l52f;>(~13TrsbpORC5 zl2d<@x6RF`U!&7rcfM}gKkA?N>HIvpEywX)!SSt|yw2ant=0M4`dH5Ibo}L)v{uux zTPJ7x$vOVy9DnlFEw0|bAL@U(Fl^tsZr{0X-}%vPo7HOnoFCKXS4*z--z{Iu(VW+r zu9nuX_1`V8_20SHf9G2NoooGfuJzx!)_><(|D9|7cdqr{xz>N@TK}DE{dcbQ-?`R* z=UV@rYyEeAt9I{HOZSh~f498Wf9G2NoooGfuJzw}+x7WW`~TtIKkae8{y5kCJJ zKe}zRTH5|G&8j8W{JZtnax~|S=Tp7sBfWpz<)PsZ1+%@}{KdO1FgFTc)?wAW4hCTDy}&haJZ`X*!FQB{}0u za>keBj4#O$U->V8G=Pj%n< zq~-1ZYBTol*jFw4lf^Gw_*9>NqdC(F{eKvw=O;P!F*)@yIrT9)^)Wg1F*)@yd7Y_h z$*GUYZ_<{n=KUe{v2#9cY6BhDXM6vjDy>_Y{NCalzQpyrUk$7C=|8tWS^l^;FZ*wc zA6)o!egDhqYUY1#dK=46zFqt(amMH5T>s=;|Kwc%s=;|KxQhswL<8C+GSn z=d?bPPJ7++_UZg{ev@;4lXHHPbAFR^ev@;4lXHHPbAFR^ev@;4lk@zMoac|^JbxtT z`6D^cAIW+CNY3*|a-Khu^Zb#V=a1w(e$&YLId$r_0)~s4`o2WhlmF!8KRNkNPX3dV|K#L9Ir&dc z{*#mcWFlmF!8KRNkNPX3dV|K#L9Ir&fiV?F=L$$xV4 zpPc+BC;!RGe{%9~U4B3G_u6qXo$!un{Ab?p(`m2Qi1U1qocfTQ`jDLZkevFEocfTQ z`jDLZkevFEocfTQ)A|Q=+Uve|PUoNVo1F8Tob#KU^P8OWo1F8Tob#KU^P8OWo1F8T zocf!b`kS2ko1FTaymD79IrTR=^*1^7H#zk;IrTR=^*1^7H#zk;IrTR=^*1^7H~EjZ zb6+j_Pc*BRocf!b`kS2kYkheBdRT>}O&gA?yT1MV-QoGmIr*=9%sKf_PX3dV|K#L9 zIr&dc{*#mc>U(nPdvfY~a_W0> z>U(nPdvfY~a_W0>>U(nPdvfY~@>{oSS1tK}XjUyb^*wo8bUytzI_>pBlmF!8KRNkN zPX3dV|K#L9Ir&e{Veg>RUQc`Xa{Wur@h4}s|D8^IJ?=fr>rc*Ne?+If9yH4*XaACO zeUfv1l5>5MbA6I?eUek3lT)9QQ=gMlpOaIclT)9QQ=gMlpOaIclT)9QQ=gMlpOaIc zlT)9QQ=gMlpOaIclT)9QQ=gMlpOaIclT)9QQ=gOn$Cl@6$%*|R(`m2U-#gV0W}ii; zy>58l-{OGp5YRQjjRxLU8DLM5iIrS+y z^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^ zDLM5iIrS+y^(i^^DLM5id5hM3`V%_sb>~IP^(Q&`Pfq@mlmFz$wEd};{D#e{B`5#M z+oJR7PwBMRP48bm{^T5ga#p*OPJ6w|tUo!6J%dhr-M)W$|B|zR$+obe$!<3sXx zY39?JblU5Nw=CyBIg6b`r@ij^0L$Nh3$ssb9e%&_gy-WAd?0?3_%#bZ>3;ZT@zc&@ z`A03hI;{V=XX6WA`6cm#3xDwa+wA#N9hTp|i{($M#pcrk+MoD_-T0#xUI&6-vG4`2 zJV<=!b69@b{)5FgJr_T5aeht^zsmSS#P>XpOtcfM@dA1l6T@$YY@^*=%U8h$@r z{`SA6GNS$?`de^Y$x1q)x?AipJk z>>Kg>J-N-EPiM40IltHR-(Tnd@3^kn(^ua%|MLsy^Ox!W|M;Jn)n0M^|2x(=^77W| z_xIO7phms=`SE2t=biRP`72v1KM?%Y-M2l^FaMhEvj=&9ZTCaLU)O!dgIRw1{qEYo zeXZ5B@r23i+*C_`RI_Tyd45UW7M)M!ukZaU!}gu)_MPkYo%8&Zwtr09r)tT0eoD^s zQ}Vh~t0lj2vueqCeoEdJoloU&=>6RfsWqVx{Ir&dc z{*#mc>$;p3m@}HdiCnx{O$-njC`SXHypVaf`<`WtJ*FK-VvHM|s zW%3s{U!?^h+K{v@aVB&Yr)r~V|T{v@aVB&Yr) zr~V|T{#YNb@0;2QuIsz^B!7M1+I`DI@ymB|O{&Jct^3Nu@ag)OzrFi@eB}#^@uGZ-H^uKJ|GVPyk-??tzxo+P%_jm1|bMEit+~3K$zms!+C$BS6Ejjmha>m!> zjIYTVUz68-nmd0tYhEoa&-j}BhuX5$k~6+0XM9c0_?n#YH96yJa>m!>jIYTVUz6Xe zottXO8DEn#z9wgUP0sk5obfez+x7WW{@&i7J>2Ktx#r)w=HI#I-?`@Bx#r)w=HGcg zD{jJsbxbcyFc^RKx(QGk3?U~l! zH#tAP1N|>ovb_BJkXpZUJwE4peVyy|b*|Ufxn5uAdVQU1eRp1Gs#-dJt?zDmt?$mY zzB||Y?!5Mk=3MK$bFJ^rwZ1#o`tDroyK}AY&b7Wf*ZS^U>$`KU@6NTpJJT z|9x1xf3&{4<+Z*$*ZS^U>$~%nKGptxu=jUAYN`+VeCJ&A?_Bf0>}#KYFK*kf`nCDd zY=3QiyQKSJeC4cj`Yx~hdFxQ$cD$r7FW(Q-gea#~0^1zBsQlQLWCO^P9B!)spM@;+EI(#kr0z z&TG3g=Q_SP*YU-h- ze%<7ZFUdK+!FQB{}0ua>keBj4#Of7N(eLMRcR{J{f zy|3u?W!>a;AAhd3s-N4QfV1@K^ULSE&z|W0FS{SYSElu!-;Dh``Oo|E;unaoU;KPx zdj5Y`bEdtoXZh*pQ^~21$*GUYsgKF2kIAWz$*GUYsgKF)OjJuwee^zEpC`0|j_Y&f z7dXF@KT&-57nlA0Z68>E+nbjC1LCtcZPEGk5jySlusOfUIlsv{ zzsWhj$&YFKQ!V)on^jBB`AyFGP0smE&iPHw^G$M|Z<6zTlbq+9&-ZlHa0T+iJ;qzDa(|wrsWJJl`be`6fBfH_3UvNzU_4a-MIJ^L&$>=Ns$8 zf4__WzUIl_?DbWCK2!IRbMl{@{3j>>$;p568@BzbmYn=2C;!RGe{%Aloct#z|H;XJ za`K> z*5&(^kGA`SY46*6{+siBmz?}3C;!RW=0Q5`b;~=Jx1XHtCujS~sXxi7Kgp>-$#2w- zr&{u3n^j9r{Yg&!N#0gHpFT#XUf;QVev@;4lXHHPbAFR^ev@;4lXHHP*SW2h{Kn0y zCFlGmr@kkrz9*-?C#SwAuUuD4PJK^KeNRq(PfmSLPJK^KeNRq(PfmSLPJK^KeNRq( zPfmSLPJK^KeNRq(PfmTeK0M#?-|yVLuI}o!|9+|NA?M^jIr&dc{*#mcqq|6^5j1``A<&%lav4CfpPb`Q&T2nFr@d}__wxBo&SIaW(_UxqSzbOl`XE>yw=8lbrgTocf%c`kefx?R-{C{sYabC8s_or#>gYSzC9ti3O zAvxnia>j?`j1S3wxZN++lK)7vYRMTNk~2OeXM9M`_>i3OAvxnia>j?`j1S2fAClj? zox5tu86T1}J|u6eo=+d7(_VM%U(SDW7W+J%_IlXVzvR@9HDsBM?uuig7R z_J8s{;#;1NpLGtqeV+J%Kf|ZxpDn)ayk*}dK701E?-oB~{5j$~b}cXeT=9*&m;HI- zR~mo5`0nSh{5y8G+4JcI?N83%=I7$m@xM^~u<@6QpZvn*W~Z>arIUbVKSE$8{| zJJ;=bPj_-z4YxCOOYH z$$7p>Uh`@0`tp2}mgo54I==T;p1qv^>$;p3m z@}HdiC+Cu=@4t@xtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQG zyZo!W{HweCtGoQG*L_7hzTa%>F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP z>MsB4F8?R*y7uv3-Q{21g;BRTaWIrSqs^&>g;BRTaWIrSqs^&>g;BRTaW zIrSqs^&>g?uj^FjqNZ)nSwYpBusSnAi56RnV=Tmvz z%QYPe+jp+pcdpxaPJK$-r#>a8J|(9a8J|(9a8J|(YnUM)HG zDLM5iIrS-d<)T`0>QnNXPjmMV^(ie+eM(M!N=|)BPJK#FeM(M!N=|)BPJK#FeM;U= z?|dq+d!eQs&-3-ix#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w z=HGeEtCp_6=HD%^`FF1Qcdq$&Ui(FJuK9Pa`FF1Qcdq$&uK9Pa`FF1Qcdq$&uK9Pa z`FGwgU-v>yyI;4;Gv;VhQS>6A=FIw#X8ozD#l^1)zUHARLf3o|QJ&Wb9ZnNf7)!`beYdZAu z$vHpCIX}rcKgl^i$yfJiWu?5H6>8e?3cr8Ob^n~}{yEqEb58w9`^WQBa-N@(^Zb;& z_NiL(I#bn>^Zb;Y=cnX6KPBh+DLKzi$!kolmYnCOTB}4r>Z5d`LyF(zb3uEsIO^x>T7c9YjWyqa_VdHsw>r!Q(u!)Uz1Z` zlT%-lQ(u!)U%gNN{-^GPXSG)K^|U`B|I>f}E;;#6PX3dV|K#L9Ir&dc{*#mcyP&r`A^G}|K#L9Ir&dc{*#mcMsB4F8}H-|LQLP>MsB4F8}H- z|LQLP>MsB4F8}H-|LQLPlTV-j>pnPlUJ2Uo(fuj;pFaO5C;!RGe{%Aloct#z|H;XJ za`K;?{3j>>$;p3m@}HdiCnx{O$$#>iPjlCw{HNu~e{!;)oct#z|H;XJa`K;?{3j>> z$;p3m@}HdiCnx{O$$xV4?|tp(|GNHFpARnbKRy4m{ODu;M<4S)`k4RG$NY~z=701t z|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAlf`qt|t%x$8gXe_Vdd|L9}>M<4S)`k4RG z$NY~z=701t|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAl`&&!5riSj+dmY8wC5>s-yJ zS5K}#A8<~6sQbV<^&vU+AvyISIrSkq^&vU+AvyISIrSkq^&vU+AvyISIrSkq^&vU6 zAvyISIrSlV&8NBhgZhw`r#>X7J|w3;B(Hs{mYn*KocfTQ`jDLZkevFEocfTQ`rv(f zKESI!>|f-6dOk=#=6_s%%>U?P{zo74Kl+&e(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S) z`k4RG$NY~z=701t|D%ujAHD7yTIFo?G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=701t z|D%ujAAQXK=wtpzZO`cCwI>W>SKMV^{J2bVf3*+j6T+f z(Z~8Q`dA-EAM3;DV|^HXtPi7)^@Mjz|L=wp2teXI|oQyc2F zvRISeA7gzOeXI|okM&{nu|AAG)`!u@`Y`%fA4VVR!{}pu7=5e{qmT7r^szpy_38Pb z?t?w8RsGn$ll)K52g%8Qa`K;?{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RGe{%Al zyynx~^(X&ndGeo}{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RGe{%Aloct%RdQg)- z9~_YJe^pog`2Lq|ucJOpKVPWjol_r@Qy-F3ACglal2ad&Qy-F3ACglal2ad&Qy-F3 zACglal2ad&Qy-GoeN!zt^&vU+A$iTG9pCyj>HS50NXt_nl2ad&Qy-F3ACglal2ad& zQy-F3ACglal2ad&Qy;uf&j)xtAI$cV|LOT4`I!H4`7!^akNF>c%>U?P{zo74Kl+&e z(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=703MZ)nH2{^(==M<4S)`k4RG z$NY~z=701t|D%ujAAQXK=wtpzAM-!@nE%no{EuGsxF*f_q3g)My34=1%fGtIzq-r6 zy34=1%fGtIzq-r6y34=1%fGtIzq-r6y34=1%fGtIzq-r6y34;h*-!VE%fBw~@~`gl zukP}%?((nh@~`glukP}%?((nh@~`glukP}%zMB5E`QP#SYajpBUH;Ww{?%Rn)m{G8 zUH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww z{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{wH7i`-Ly*#bCoZ>-lxz1czr&&Vv+yp^MCR&|Ksvw{zo74Kl+&e z(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=703MuC&Vk=wtpzAM-!@nE%no z{Et57fAlf`qmTI?ea!#pWBx}U^FR8S|Ix?%k3Qyq^fCXVkNF?HUGn)<*Qt)ReE0c` z|5JUa^IYeuro$IZ&hHN-r#>X7J_O&jy2aK1ha3C1_l=9~ukpGTwf|e*-ll6=f^qqGi{&xnVkBWocfu3^@vxmPu+_( zoxI+w5Z-J{k0t1Q&C{w;q0oa_EM*Zp&@`{!J*k8`~~&h`2@XMC&c z=bZ5^d7Y_h$r<00GrlEfd`r&wmYne|Ib&OL#<%2*Z^;?ok~6*~XM9Uu^J(t-F}|hc z8Q+pKz9naTOV0R~obfGr)wF8KE7R4I*Ey+{ywQ9rpRIOR4ut$W*Ze!z{5#kDJJ z*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJulttf zT=VZ-^Y2{q?_BfmT=VZ-^Y2{q?_BfmT=VaIrB8JZZ`1p;xB2nkx#r)w=HI#I-?`@B zx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@BIoVJ5 zujbz^ulaYb`FF1Qcdq$&uK9Pa`FF1Qcdq$&PX6mYIMDyH^I6OJPfq@mlmF!8KRNkN zPX3dV|K#L9Ir&dc{*#mc+w6+<9Dvd?_7`HIrT3cAN4Oe^)ET~FFExu zIrT3&^)ET~FL~vnT5{@Na_V1l>R)o|UvlbSa_V1l>RuX)u{ zzBK=CdCk9b&A)Tazwupd*X9$)Q9u8>Qu43P^1I);TpwzA=hTPf)Q9BMhvd|U@=^1urRAv)$*B*?sSnAi56RoE&8PC(mzp-e%je&@=HI#I-?`@Bx#r)w z=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I z-?`@Bx#r(_<%Z^5^Y6UoRZIEP{JZ5f|IRi4#uv{AWp%9ed~kTm{~E7-EZ=+KR3F-` z`BeAOU-kZ`b0=Td=2c5h{YXyzNKXAoPW?zu{YXyzNKXAoPW?zu{YXyzNKXAoPW?zu z{YXyzNM7@4?)p>$;p3m@}HdiCnx{O z$$#=5`8C&nE90~*ZjNXHUG{v|IRi4&NcteHUG{v|IRi4&NcteHUG{v z|IRi4&NcteHUG{v|IRi4&Ncte$$q+jH2-dS&A)TazjMvM^O{#J?Vsk~EwA}^uK9Pa z`FF1Qcdq$&uK8c~>E8!&{Pq37wu{LB^zR3gkNF>$AM-!@nE%no{Et57fAlf`qmTI? zea!#pWBx}U^FR8S|Ix?%k3Qyq^fCXVkNF>c%>U?P{ztF-hF16A=wtpzAM-!@nE%no z{EuGyR4v`#WB$kG$NY~z=701t|D%ujAAQXK=wtpzZ-+jg>iFwe%V+N=|7)KQcz!!1 z&i8}0ymPG&&b2-`*ZSaG>w|Nx56-ndIM@2%TM<4S)`k4RG$NY~z z=701t|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAlf`qmTI?ea!#pWBx}U^FR8S|Ix?% zk3Qyq^fCXVkNF>c%>U?P{zq@oollzIjR&qh|LQLP>MsB4F8}H-|LQLP>MsB4F8}H- z|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP z>MsB4F8}H-|LQLP>MsB4n*ZCizf^s=GUVSm%hz$%wXA9P2bb$da_UEN>PPa`Ew26! zSKTW$ZNAuV-??tzxo+P%^{e*J_^$a5wLi-1Uaj+cc-p@;UiWJG-b)tyzsBocEx+)? z3%|O}noqUsvD?IV-(`7OB~zgu39-?<*YbLw~6KJ_~}^*j0M5l_FvSAXB~A%Fap z)tc1x_?_$VJJ;iPuE+0OkKef-zjMZ~IzP@CzmnIPs+OGbD>>s=a>lRZj9$#2)JTJoQ4RxSDMn^jAGhi281|5UST$u~5smi(uiRZD)y zX4R74sadt;cWzcK`CXb-OMcg8)sp{AvuepVHmjEWZq2GCzk9Q4$&YVVE%`l~RZD)) zX4R7aY_n>~@71hY@=eXECBJvGYRT`@tXlH>HmjEW=bBYZ{`1YMCI5wH)sp{Wvuep3 z&8PBeH61I@NBaEZT*rUsI{rJ?@!z?Q|IT&%cdp~Va~=Pk>-g_n$A9NK{yW$4-?@(e z&UO5EuH(OR9six{`0rfDf9E>>JJ<2wxsLzNb^Ld(h^o>>!$ColWYFn@|u6=nt$h-f9INi z=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@# znt$h-f9INi=an0p^O{#J3{*Zezgo1Ra#ojTT<4x0QYC;!RGe{%Aloct#z z|H;XJ^3@|={ckkZwXA9T!R7i_%RATOcdp0pT#w(m9=~%ve&>4p&Z&Rt_^5x$sej3- zf61wT$*F(Isej3-f61wT$*F(Isej3-f61wT$*F(Isej3-f61wT$*F(I>z=BXoZ6SX zYErf2HJ|40U+Q04p86MjS6lsjs_RhKvc4bQ@Ucby*LYpa^39ieuXU8~y=>uEw^{S4 ztgcl}S4``_n$K6ssV~W?FUhGd$yfJi^=A)tuhg{j$n%^)ET~FFExuIrT3&^)ETKFFExuIrT63teu-`$#2uFTJqaAtCswB&8j8; z$!67(-@aM3>d4cWhQI`JI|oOMd5O)so+(S+(SMZB{M$ z&orx+d}Fg}$?w*zTJpO$tCsxuX4R74qgl1&_iR=z`Oh}1mi%7LswLmltXlGWH>;NX zKFz8nzi+c@$$zd{wd6nFtXlG4XjU!xFE*=|ywQ9ruUc5s;pzEi&GU(K9six{`0rfD zf9E>>JJ<2wxsLzNb^Ld(-g_n$A9NFuUfkQb^LeB>-g_n$A9NK{yW$4-?@(e&UO4>_O<_h0pD_Y z-PQH|umAUr>g2z!vs<40Cnx{O$$xV4pPc+BC;!RGe{%Aloct#z|H;XJa`K;?{3j>> z$;p3m@}Ios)7c%>U?P{zo74 zKl+&e(Z~FcKIVV)G5@2F`5(RR8=AYn#{7@VkNF>c%>U?P{zo74Kl+&e(Z~FcKIVV) zG5@2F`5%4E|L9}>M{k#BKGijfgK zwl-Z8{L1e8J~%DU_lvc>bLvZS>PvF!OLFQPvF!OLFQc=< zzgsW%=hwNOU*~#$o$L8^uIJaeo?qvBex2+2b*|^vxt?F=)bDhDso%+|-^r=p$!k8% z?H~0!El>SUPW?_!?M_bpPEP$!PW?_!{Z3B(PEP$!PW?_!{Z3B(PEP$!PW?_!{SLlM z^Z&&bma5OkUb4vl8vj!F3m1O+nY#Rz?pGXDsj2$D)*s$|=MC`b`LXuz9u?*`ZT$qvowf;EQ`r};dk8`a*&b9tH*ZSjJ>yLA-KhCxOIM@2)Ttv}AS{y5kA<6P^H zbFDwizP3JWYGJDSbKDB`VXfb*`)U6))rWR_&8ND@?%V6f_H`^jef~_Y^}{W%^~1T= z59eAxoNN7XuJyyY)(_`eKb&j*aNbYvJj0KyooUmiqy71HuIJaeo?qvBex2+2b*|^v zxt?F=dVZbj`E{=6cga^ydGhKRZTD{bAoHEN`P;Pf%l-addCJ0nPJGi>@Mk=Y->p$TzKJEWz@oN@-<|%CR{^G}e zjpfgL3jP4`3l}~;KRmEG(`kRl@>BkkbAKl1{!GsOnVkDGIrnFB?$6|Pq}7she-lxA=hwNOU*~#$o$L8^uIJaee*SWvCBU(WUOmvjC6sq_8M`b)g?{m|8scq zt9AW+K0NTP#ro~{Kk8b4-11s~oNN7YuJy;c)*t6uf1GRmab9PlT4mU|)*t6uf1GRm zajx~pxz-=&)Sk5eT7TT~T7R5t{c*1K$GO%Y=URW9YyEMq^~br^ALm+soNN7YuJy;c z)*t6uf1GRmajx~p_?r5__dh%SX_5am&i6luzO(SFwSMsZ#g+5ls9$}4)#p>^T0fj? z{cx`J!@1TE=UP9UYyEJp^}~6cscMx!=UP9U>-pvTi@i5k)b};@*}0xy=X!pf>-lxA z=hwNOU*~#$o$L8^uIJaep5G;3nLdC0s(d~>{H0oJeXg4P$>MvzyzEaEU;j7D{xtCe zlV8pCJ-Ip4mai<9UtHhhT;Jqe-{frb6xsgDSC_Y+ob4xP`@t9W9TKnE)sl1k$vOVy09#ldt6DD|wx% zYRSo0^0w%FI$h51j=$slqbn=F(VXvJH+~(TzP~y{mcLTGW>-tj^-0e4NzV02&h<&o z^-0e4NzV02&h<&o^-0bZc)Fb5-G9&dM^{#UvpLsi^FMTN|9uJGQRCb3b+BzZ;hVMB z%j3Uuu1{K?>yw=8lbq|5oa>XE>yw=8lbq|5oa>WZKR>whr=K63>*ojO`uV|m?HA3t zetvMSpC6p-=LhHd`N6q*ojO`uSnmAM&Vq(EB}dR=XMJKR>&M{IB)1yKnkOd~N;MSvPZ=t`eud*FET5>xXl# zAI`OYIM@2&TW zeVyy|b*|Ufxn5uAb*8GNd{B4egSs0Z)ZO@??#2goH$JGl@j=~<50kI0@AqtB zs`262?~wns{c2X*KV3gp|8;p+|J7an zS9kSa-PM0}SO3*r{a1JOU)|Mzbyxq@UHw;g^kxz-QoT0fj?{cx`J!@1TE z=d0Cu?dO9P>a*1UKa%>s?I&xkRkx?>^T*<|+b{cB;)iha{ZU=t_2K8wRsTlU>+4*v zuXDY=&h`2_*X!$Cudj2xzRvafI@jy#d>kLf^EZwUqmSdm=;Qb>`Zzv}K8_EgkK@DW z`Zzv} zuJwn{2iv|q)pvD1AI!qfpLxFB7=HfjTZ6uIPXFdj5ZU C#C1LZ literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini new file mode 100644 index 0000000..2479757 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=166 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 +OBJECT_NAME_COLUMN_WIDTH=178 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPEPROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +PROTO_DATA_TYPE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimcrash.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe new file mode 100644 index 0000000000000000000000000000000000000000..07d37b779d7e716a6bb31695115c0fd09a6ea204 GIT binary patch literal 908644 zcmeEv3w&Ku_WzCcYTDaK(2RICG>BKF(h{`Xl5!CQEg6cGs-Or`lQA7`Bq8Z-Oi;vQ zh*5Mph8T}YJBGBXqF(WicTpqNBHmH`$^X07-sjxC_c=NDCO4(&=buk|9{aKOT5GTU z-QTnCzULl$YQ1le&*vMA|J~g_Uo+117v!V&A&l%TMlRmM_vp|UcWzE9cyZ^+CFfn1 zS$gTk=UzJP!pyU$U3AgKWtnH4lX>Z^i!#r}3xT`0dfr*mDpk{9;9ox;U@D~J1PwilOtY#Q#HCq*l%Jzi#}rTDU4atD7p z+}B7>?%{8A**WE9$ZH+~V@Wr%-6&t|D=Z#8eOlQxWVe$)GEwGtI1eWWMgM}n;+D~u zih}hQAw7uvQk-`&%M}Jkmx^+1BW&e1Z!pW{1V@*Pa(U#77Fq6QmvU!ab{T0>I1Gn2 zJ)hF)FYGIA8GW9R$G(QoeLEoIHobh%m(w!(@DnFfof10u(6`h+#f0(~^aWc+m(ApM zxg7d{%Qc(jid*&_D=LQRlqt(CHp>+rw(pn&x!_-S!YK#kGI4&wESGlJzPV$#+~G+$ z6-s&Uxs)3_j?3Li`WK@d_3Z~P<;ESr7_mJ1%nh5F&}^bGzRojS6LrG+Zj zW``pF>RX2U#=QEhkF3J&HMq5JYSo(p^``r#;l3FadB>hwR*p(LBda$3o~MTUBEIUD zvQrk3=^g*^5BG(hUDA!ej(xumKze!+;wV(R8LkeouKe?H6-k4XcOf$r@zthveAG#$ z{YN9AGB2s@oG~q-%4OM4FCrZ~rbFzS`)AaL&JK+aCAC)M5wN6I&q6b){|;r$sQ4+6 zR5poDo26EtiPXwwGI)#BYfi*Xq^1iuV_vO(F7>9RtAkX@@<(0DdEW+n9g}g84OW(y zq1yH+lphIqaJ@;@EmUy`(wzRxgVKJOQ&8u>?@1U_mwrE=QWoF@%lzeh>%W9fwfR|@ zD1Q@-%#S2@+&dUP^q)&PmCN#;o>4z>%EZZ2PC5CcP{rB?G#!fUvlvCkv@AlXpvJKf zKN%8g-}*N6k4Z46`XBK6IibklU30kjj{lj5;@zQ0X>vhi*DKzH*R~8DxIDFL6v{2? zz*I1?`}I(5S7#{o;oMMl%dC$>k#VE!$f8e zL1J~ckOxEFyOFyOlFEip8q=~ExxO*ab+>hlqQ0+7d176_*PZ_P8&oPi740ApI{y6= z=N11SV&wTj!+jn9`-Z#zE)rJjFMO6t`yZB~BR-OT?3m})jF?eh^=jGp%8!!D_TsPz zRkkFhKAsj{mwDy?Cf2r2tn5q*uiIr}YJTgQRWnjY=KFjoKU^u*3Gu6!lkX?_(5Yp= z`yoGTYEm~3{yu0N{aw4y`4HW4?-%TlJAu^j+wn+>r2L-RgfnW>3L^gT ze3L$b3ZJkhfb8vXS?kZh5n)la0>UEco6+5?vjQC{Xf5LZ`9F|Qv5W%iv@^~;ed5%K zr$3$g@BFOd)qWll@E4+?7L7hdgHH5#^;hVoMI&+F@p2NRaFkU4ghXieS5`3P8k{=r zMs9WYvzt>0q*kv)fz**Srmh{d`Ze5${s`5+(w!c8ZMbjsk(5>s8Gl6OXEzr}lrohh zodQX#=OTa7m@ihZ{H43Qc1g$9NUKMAfnWDh9S+Nm#cMHqpIP7CU5`xQg)838;_^_; z*D;66-xMuhul-F4)qZ;V)6xE9{NhL4E(8!x7Yvy$Ww4hW5pXzXvJk z+3xfOGI1!16{13S8!om%yU;E3^wlZos!*N(X1IIJ`>7*?KG9xOg_F@(X{fd!t0YuA zIjcAycu|y(+rmgyRwKmVKGd9%3VDO_sZ4%Wa8Y;*x}_=+suIc1D$I`*WQ8W}v1DR5 zblMy9G?gq4AvK6HB75Qpu;ER(qV$ZXWjs`_ErDtE zcN}i_v zMEAwCrx@JMVNWR$KG@Sbf-*@3cYDsH)?(NbK^e=QQ8Bc?_C=|E{QZ%Ecy{QYO+gO* z!yv3)`rk$E#nAtGl!;gWXJ6>G{%sj9`maPH>7U1$J^TMdYA=TVfnMl8r1$#QZ0DkX z0}@I9&TYN@KQa#ek3kujI93mxXEDkNr8(%+M3J1z*;!+gQmg-obZg*be@VFU*$ViH zhHAJuqhyFLY1Vd&8qOUeLIaVVGqIUub*DcREth$oDA$Pc971ZjYoq0IE)wOME_5k( zCYMu(x?{l3qatF!#x-8^@QmPy_Ygfez~7BKdi$I0ixDsHLLn>OZT!hQfbggBIdY?? zInPj$-r!FICUb{93uuOiJwDF#h<|D=hCKnro>=%Z#L_-~{0U{c=wF0H(!VK&{v+ei z{}`09`XiS9N484u$FF~voBqi=3;l~ZvuFRGN$th(e*|UX)&E)|+Y~+V>%Rc1I^w5U z>Yv7$J?noLwHHJG=TRnJ{htM!@1_1lySV6If<%g+mKgefNbSYYKhO*PhxA_mVNIBUh7|y z<>LQxB$EHzV(5PtwHHJG=TRnJ{hxih*ZODd>Y{%(5=s9s8`rb{Kcx0z=pX2X{zH1N zf2*7R?Na|7&g@zLk#XpM49djo|09?7+W+Odx%j^ZiRAy4G4wx^+Kb`;2+G8(|Fuhc zt$+6JF8b#ok@T-;<9hc0UDRF-{hvpfc=dl4i`Biv|C&8q^lv~S>ED@6nLX?OA+;An z|A3GFT~<$40iV!6^YKzygne;0^F7fpjoK7V=rN#TpuXp z+n(14hD(!*Nfp=ifrC&&bcnJ((7KjP=*HURqE5R7JhbFxSJT=uq%*6hvZ z+n((uJ*W$Nw)be1aM&CAjO89C|Kn|j`^rXycu7I{vx-|-6L?l!NIzwwHLSnR%_7~5 z=CA%7tLRl(tB~_!+bB_OK~h-?Bv5IRux5wKC%AyGY%9GnDuK#$3RGpihf`|RH8?h> zp`Pl5V$}(4C}C)^ezkA{u*q`5PrqX){D70;1h|}0%m-%${BNK@*@?Pd)WVvh)HYj? z5~`cWs%q}v1@5n$pGEmeI4`5~>V2U;96(}B7q%kJQ2tOT4==oq9Cjg9lP;vvwhO6% z!-Z5rx)9!{yV@$&28ra*KvmWYB9T-}t(u1;vgl^0U6A`>E|>qY?Z;PkUh?BBqvmoM z1UMB%4Q^;23qzS#cV3GBD{ALi+3q4*P!}TTlOL zfM7W9o{rBs?!YH|j0T2upDC90-jlkibCr0{(Cegq2V~ z{z+pPl<$v$02juZG|G-bL;8e4GYijEr#05%)!ZR_;5*@N6te5bNEmTI_IiK3i*Ik*L?6Gyb0SWpL{@+CTaCb9O2GJ zx}i%&`3Bq@%0K@hcgTF?aECPFLPi>u<_;m+N(CG)r4rJma3S3x@<|&cl0%Vrhe#yV zc=*r-_?A+LnS^wMo|HW zQB(r@H{%3qLU+(7j1v-RFu|y~I3bZ@&L)y{1H-~N$=cAL$}2>Y$^Zc9gfiUFJQk)A zNtsOwI7Nho2s(L)kl7@Oi6q^pRz+}Z4#RqNatKC!S&&t3IP#zGi_i{6L;GLDIJ6(a zNe}JR>gVXWjy^7>(m{mx9282eT1d&tvsHdp`D)td*y{;-q|}C|U*ORJ5N}M#*_70a z3HgQhI8s)zqY_}EJx<83z#D5q-XB`o52!HoxS^Jzl7SxVKa`Tl&^D-Uj`LQe89n*Q zyKLy|mZ4Nl0xy;3A)x_p3sPMl^bSNX0Bk5f`k;3`?tl^`-Z7v^K4P^Ur@*f|$)eF^ zXw-g`O0BvTw@!-KDITXfhG4g2A%+7jn)ndMvr!XwWh0$c8by`AQk5N@*{ve`W@JNN zbiD;~DZ#nkQv46v5;g}%eHkRly2UoIcfZ7*x!M|9J;6du#j-94bJ?GpC)bB^XniGn zF*FhDL*rQZl`tw>83idCO%tomdiuRA@66kheo!}g?nNQz^B zQhD-bKp0wCfCAWWRghJL)hKKlv-Vasuf#4;yF_Mp`Y^O2*3-tOR^3AiqbxRQwL%hq zsKMoXUR0m+cj!$#m>TP0lh)%XBihKBWi6`b?58cNH;thc%P9+l6QXhm(zGpGH&78; zRHyxfG52eg3{|Xk^+%iPG5BL0k0wYWe~9uu`{R7lFNQyEw%f>=6>HPR z4fplN-oir_Yh3%kS@j6@KjgdF+XzWyuPEQMy;qQaG3>q1ZX>q6f4jFg_6CEo>|LOG z1ojTIbM`nn$hZ4n!NV{lkv~NFp8atJ=@-Kv_t|YIkE^Lj-2P~t9Lpa$Y6yhap*^M!d5!2S)vue=KuT9Et*3fcVM~EZOMwu`hHalq`wQ&$ zi~bXBpHeN6TKm_&8f~9=FWL`MJ*%+Q{-Ai<@1#x2Y=6Vk(e^3T5~;O6L}|Yie2n}~ z^{m2Zf8rwg2(CLle7Ecaa)IDmF7}7)_Cw2}?Nh2HQfvR(SEBYW5bftsJ*%*7zuLH$ z|9O)(+ux*4l8Rqlkta3zgj^F27JOl~d>0jO@uq7C(E66H^s8H?F?(__qnrF2^ zxh2Lk+3_!tUKmmlJma19bHFz%P2AGT+R3;tOGfn){pk)UXNXCL7)Y6kr4E$FL)|dc zD&{A;-(i3COkY{5{`gH_sVENQXH74=kdUVwY+o^GM@w~5xyUl;$UB9ZIjTX0h9+0O zC=AMpga%{TCi#aYNoC_vp^%j+P^;p@X?)p43zL!#c5F9lfzh*|pjMj^mxva;qfnN!WuG{Q-? zvoxiK0ORbv+KQs(z*@8#O__=mLOhCe55*6WqraayLN?EbdFlVe!ThatkfhIph?Z zUb#Jvs7sbM^)2eoe_?<|UGlSL)D1_95p|u2I^j>I5L?2ZKqwh__tM=&M7d^1yglKJw?-sQY$ktlyxrZ<>v+2pRaATfUl8&348AWOGv3xOSK=-8J3Zb8 z;Xb~2dkkXwG2T{Ks>^t@ZTkA=IN~i^+SE6EoAc}djkl6k6W?Yc#lW{hBu#84e{#Hy zfj@hRZ=+=wSoj8hDB^7jzBnH<-sUb-;_a@l^?17x_wmKsB#7z9cstcnUB;VjQw4v6 z9-K<0VkV1gemW6++wPeG8gCiTneny*QjB=>A!%YW`IF;q$&I~^wWH@&oblGg@kajScst?xUdP*UsG`Q(=2pDTs*fYy9(YQLx2M+X@%9Mr{tcmGA$0VsPmZ^bt~28epLfx7 zaWTJW0Z+Fjv=Tzkpxx=KP?#dk)A^_U*K$7AJTAhXX|d*!gZ%k#pap_c=mE3{YaWW~d$_3{G}>%@!ctvd-3U5Y zH?Y!){rB4H#%72*;8Y&1Zj6tyx)FqFAs7~<$17YHK=FKBkLT5m@k2!_*-@aZZj492 zj7Mqu3QoSZx6=eQGIp9MRhZN ziqWRqJQrX5EQR3%EPmQOE_)pN1psb}j}#;7GLa-=g!xrPwHkOmug5BVb0w;%@fNW9=H4oi;Tdo5 zJ)*?hSJ=3$#@i>jk1yVCf|!1cw}qDKGTv;PUa5>D-jbzFeS3a9|Iq;&ZykhV@kYjA-lj@9~*AP+qkRah_{&!EAe&}wq>jFb{X#Di?>}NrXS<& zAWL-_Z?;X-D&mN@hNeXDZ5>P*koeZ{l8JA3AjQD9Fp?%VlRr7$?hf}l-tI&d72ojn zMltt1b5$Jiw*EmS-cqrRT8+0sxQ{R19)p;EjJFk*>N4JJo4&p>j(E$KHuVkP<~%e& z<1O^E8E*whG2$%;NfVpNpB!&v;Ll#-+i2MZ7QSs^#oLrW#}RLHA5h}$uDA7gyAk*C z#oHu^>Bo3G)lyx?n{89Y>^S1B`5%ek+jjpPpz+q_h`065cw5QwM*ie@Tk@w~$J>*r zqT(Cv`(keW=@oIr+YSqrc-!|aJ>Ev)KE8N+0VsPmZ?}{@CkyI}TOUc(eBl%_@&0-X6GLiMOX- z*W>LG+{YJh=Riz9;@cIL>N4JJo9_ODu*oyt+V4vw-VRzYK;y0G6*JzZBE^WeAd-~* zLLXh;>v;PBRn&O1_tyAjhUfF?_5ft3`l${dCkPPnMg74tq@7dexd6x?sdFfhbk(*(OwXKUU=*xk>MF{YyYam zTlWe*-oC|seDQWK#PnmlEw)sb@n+lf(S>ouTZXi$Z|fmf+&MtwE#q}F-gZEW5pO;u zO>8EA^7F#Z@MkaaZ710U)_RD&w`S7JIO1*g?Ml4;1skPRe5=HLeDO98V)`-OjPXxHQw&QeSGmY1!DRU z-_EyGm+@xXbo~rrlV`lO-jqnZ?Rm=pjkoMK&3M}zDMq}dAxZ3d<9K`JoL}t z_G8?0K=IPM*Z$ji5CZ^9X#cIE`rprTQQh1>nQ5!um;JX_-@tJ*koMo!yydn3_F;C1 z*gsh+_TQGGg0-h@w`m+YvG(7FqVbc38ZqPN_P;3c^WYPD{QM2~@x{+%h)Fbl6xGiw zc2V7opOx2Hs`n*+4yzw<@sro?6+f47Pm1_iAmS$z6|DIA-x+bmPdFMst5AdDCtC#$ zb4%)Jb)plp>754`VGFRppKP)JANTRa&j^U=WBkmv_WvuY?_sGP@#Xqk7X|3&>w+{# zkzN7+Vv&+xmW-r)Y`+hxCgvI66DXg{x?u}GZQ;jv6X+EPdHf{-`;`+kapI>y%`XYO z53?}2<2H5%rWW-kBBb_v?&2rJvAC& z(f1#i^Ba8^Mb2+ks1P&0ex9Sm*B01`t;W}8xQ{Qs9)%d#-k15!jxR;^=T4KVQ+$$Rp!p9w zm(}<=3-|HG&j^S~G=3D-_i$6)jGxA8OZC3Q&t`}|%xVLPe|LGt&lv7W5kEyDepV{+ zbKjJ>;-@7VKl4!|X8delWpx7LXY|8*{Op4J_~PgF%EaSGQGNAf7uC)9DMp)a&;4AN@l#IUzb&Qj-J#xDw~y(b-Z{o^4^ugAks)Rt#Go2pt_2oaEWdwS#2lC2 zIX2zFSc_1)h~JVu)_(7PP5?h*QO10UelT7!<<=9WDa4oZpcTw2wDb)4_Y^6UnU7Bf z+bvXeHNPv|5jJA|K$I5W6`rKl!zW(o4UNJcdo>4Lkob6h(wZ}1n)_Fai(m@*J_s|X z;<^CW`DLSV$Bzq?%C0KnBYl^benGwfFG<5Y#`wkd1zGt-tH}{X@9A+9)O1?JJt%s= zRm4pY6|A@!eS(aea=bwhZ=p24uiY8t(_>MRboP8+`^QxxUif+KNo-SA`SibVA0OUK zhZr~^AwI3B{>MV8I>ko@v>?}0e2C|@VIn0?KHYjH>(&=Oy$?bQp)pXN*OK4Y154-A z#oW~bkO~E#?oI;tQi`ynvY;-7z7%&9T2qUislkTF1?gKNHMFdp_gSD3p}CPuRG>lA-PN_ z;)~!H22drB-s_7KeS(CkWKJ>3t5}+GC9mZlRomH}e%3LhGQCY#Xw#j(@@Q#v;TeGI zAmO$0K3^)Ey_j}$bf;g=b%Ag&w-_>|xtaKV4dh$z%Z0s}jDyR=%_zjJ(cAptiOymvT=1srJIg7Wxvr@S%@97!! zct2qVc8AjYSJU`BFN=N?AQYL)=f#-KAn{a|SS7Qn~!ZKJ@HTTRSOafUwwKx`-)3*Jt{->&;VV+D|jI>ys{UL@XGgy z0oyBe{>?FJ6z43Un)rjC9*ABxMK2$XUN%QBpNL+Dxju!Tt8*Gr(!)6ol-;v)>XFSx zlAiddIj05*hI3kHv2(aI*P}92PdbNw1qrusdl)AeT}7GrTQf!tQOXR}&0~LRgf;mO zn8fCDcOc1J&C=t_C2LDJExGKh<* zCjOxE^ypGW?kYJV5oWzom7Nk9-UN3**$ks2-)mxQWF2PP6{Hy@XV+( z?j$bF^{5Qhlb%5*Q3`yKhZ8IwPnr1BJBc!>lgOD`C!Gz?qmw9K>!chc7^*Z}#;R~p zR)xyoAF0xXGdhVlw_6d1{t zKLtiv_|+k51~D-Q$AU=8@0n)E$)K*L^^at6ea96zfkH5uz!Zxq2eDWbLLHn#my2_- zP@Hq*eJ1U^=bslI^D1~scY0$!&4Y3hs+&_I-qOFITFi6U2+gzQ6^HZh{$!#u+IF2b zPP&w)jnh#h*MBCCk(4PoV%j(vC+D=0htz=oARH5&Hr}~}dygj>?mg-MHd)dN+DL7bm0rim*p|Ks5Xx-k}XFUl~7&MfP2Fwbr-Wss3Z%e*5DHQ z(Q7J=f8s~4ser>MDgphex1m4XL7#e&C?Vqxkw_gbemxKuFiI)J&5{Vdpn?!WCm2Pw z*(j22{dyo}i4y#~U?fUVXo7iShTwo<-=FBbC4T7@M=|{YS%&-(vyCi;U%?Q_GLI)m z7ih_Z$pkHzigQe8nH2PZmhspS&CoLP(3sHDbs;*%zZq)e`UlfS>XdcZ!3=2m87C*S zypPm?|3e%Tg_f((aGx1z*_okcc*^GsU*1CST-pny*_V8Tlw^mfkO0$ zxon5%WoGm;D|#8v!>;ly;PSA`RE(M=q3WzlEW?;}w<%%kxir_KGE@&3NSA@CEP{^I zs(o;zXMEL8OpnsQWU7Kc7&tt7nHIeq5xop^Nk~Hz^sp7dm3&^Or}-9?R3CN>0~?Xe zic*s#R5g$$XT!k#&t(I-G}ogtR8Ja+rvyqt!!&(Ef12>=Z_UN3>@82!rhM&DsRjv#As5bIL%1j#LS^ue4C%rdJw+)ngmgio z>FS`4B=UbPLsH-b1n_@1ZQPWojn+sB{DvtpjH2(*Q{>d?s7? zC+SJB(!`tCMLAJSd32bzg0)j@-~i(FdCZv%aG7Bdsw48#}fdBV6CJHS-o%U-%%U{q^|FD)%;jG`D zwLEny+tUxwk}m8S_^joD-?K|LIo6Wn3N6Qhwr)6RnZBn7w47Egq2-W0VnWL{Md%d& z&Zv>=ABAHiWm_BpE!*MbgqHPH1TDYdm?*T|dCIQ^Eo0GA|3J$eIP15Emgy(6J^cVJ zi{Y6~g0-A5g<=x!d+;=_hvUTgUzD<-r&aS}SkzZ~0I@jXZ! zBPmbf2xxf3viULS6n`3OK zFK|p0TI!BY1X>c<@iGDp_YcyX58wUvNRxjQ+tUw7a~nLfNsyQ^A$G|ohcty2(r7zg zzCB?>BF*Xy52RU%{mhIsPj3?wY3?`zo#MX_HFEt6aEzqfi6fBaE}Wc5Q-RcgzY@np zk!BPc?(_4Jz2hYbyS$YhFPq8Rjef_=u9MjM4Ehu}o*ggS(*7xIh%mm@l8pNFxAEZ zJK~rq%KUd?0w_}!R5xGI78YYS)R~Z8IiU<&Y<*=XhMG};L!1UGm0okATAw1wb@SFD zI0qrD?{Jw$Uj~?vPNMC7{v=bC*oJ#UqZfm0FO?L-j>_O44LiE@{_=okFO5<|`o)l5 zIic!87kjDnnklMwjYx6b0rMPr&D;UA3_4a!*af1)xJtdHCH3(ZxRJ!FLR*|ly>lp= zOeKZMR0jVnlLH&m_Y3wB%93v!u-Jt}DBFM&ET?clr^)?ucZfvV{nU@&8^HcKY9_jW z?l`bkCz_PFqe&)eqHp5fKQ}JT15NhE{u)M;)YO=0(lLS1M&rh_J^k=F6oF?P=rIt# zYP8G2?2=9Haj4XK9MbmBtp^`;AWOgPpL-|Z0WGg!&oV>Hqr+lCOWlF!6#p%#k?X$| z$4JUwa0Il}vOOYDzm*JQww2VN*eTS9=7G7@8<1p-pPmjKa2^g6)qYx$kjdtoj ze*oLl4@k4Ku!rYr*h2$#V1B8wd4G1vCWkcT7Sd=7FSmiDZaAbV9pZsB=VD7VBhAs9 z$3&V@8t@OpF;S#hI5q*Ku@_!yXlIeNAW`S9 zmA4!H!pj4>Y<&g|=6Duf8sTxqbNjHFzG zBhchhoSbMf8L0vP$v7s8CO_=Oz4t4;<7F;d>Ys&|kKrtgw%_=1=!reqo_=^7+Dh1? zqsKs8c=`Jt?2=9Haj3z19MTqE?g8U+AWOe3yi8l?0WC$?5Y0s8;Gbeb%ZO}rihn26 z$n|I97)kjZj)0bJaB@P+PuQs%@c)csqR?{t?ukH40t+v@pyB>OnsWH=w?~>2cVm0{ z0cjS%Gq7jiBh8_^vP(8Oq-nH}Mq7B92nxL6kmkqlJ&@*0?2l%odF8v9NV9MhI>rAe zYUKJK$1#%f0FFSKf8yjsn!g}5;J+TnM3H6;8t(HWk-hMeirw+{f<&D^P2O(w3op65 zu=N@C(*i#?fNRQi2@r6C4*MN1yVL$DYk_GXEWDh!GaHy}8>l>CkgbU>yxaf>0u{p~ zALm{!yi69g<5_sA&16G1)`gdk!Dt;YQ@_CdQK`2JFV}zVfigAND$OW!L1#>q$sb85 za~x{q`U`Q4q#S`GQ07RSoG7ygQUm_Ia7+|sp5G|}l!>|HPYkU2)3smkY-`tfQF#27nX(u5%!>%vPd7_9?l>f78Om3q7I zlCjbQWm2(Kno;JP4`QOs^C^TfZyZD@^A?VgloxRX%DjY=6J?r^8u0%M$3#))L^RxI zK4YUy&Un|+N26Dcz8#{MnbFIv=w+2C%6;YXYHM04@p!d0J^k~d0s{s_sXQ~F>2)cFTpXAaxRWQnG&3wD04JY1O5UW z6GfS|$qArL%;nwdAiZ+JJ>y)KI|(-8+*j8D=U7u7&g#Cpd=lN8<=yo|*j{RV1MI82 z6VfXuJTlhBUV^$fmv_6!YwpXtCqQ%$!8(PSGxp~=puf%jkHi1%OO2sGIaCnuV$A46#J z3yz7R$(@4}L6hG6cG_69)IZC+bKoooy8P;kF4G6GJ^irgvKXFmpvOR5-aTP6cF88U z=+b2^x@gP0H-d3Fkfq<2cZa^_0WCgkyk={Ik}?@b zAk7qDw%Mq@0z zj1h)xtP3x%g3&r)ral>v)DLfxI&g&t%H&|1G^5Pc&&5QUwLcQd{E$T`(}iOsX2*@&~qe11-Gl3h9*-4kD$@H)j!O#kufu6?x5l;iVnBFTwzc-YmS-f6pc}fNu2r z>OT37mEBkuUgm;@JJBS=9ZfRPQ5Ya`FTAXI#sf`0&Xj2K%(9qha_6^%CJRs_*S`?Q zNJ=A)K$E+1a-vBkQUm^K91}&8UD0sg(WEyEFaP<5xpF`3cG(gUhtbCKmyIsJ`ohba zui2h{cpRFDK5(GNKwNlf?_`&3a*soq*5i=2@Irjefh_&D@KV3T16r=dUS=jL=eNX! zmczdyXgL-&a{b5S7)hCgBcSC7oSe{-jnsgDPaG44mK9$n0xb#bt2+S=_s3onPt+l_wV<*e0g zecTH#C!%WS&SEtOv5h!yxPEM35LTbQ&DvpVw z%(_n#K$)03UhaVO$_Wo|<+2b#OCNFWc=-X&aS#^qg~k&}bZ>UN3={TlpoNzQR*}6+ zu%$JTg_q5Ry}bAUyXnazY4tKZ#aB!?6QaYo>dnH-Hc$!^$-r26IsX$@c4J+5$pzPR zqDjylO)}9@y;*p<^idBqIS<>H8BGcviHRn=eoSbxFKXoa$KV)A*&RoqNj6SSG#Q4} zfPXlSiK58^A0>h&y;*oU3@!D~<4^;fqOmMp9nD z5zz7?PEKff2&n=8!#E}iEhnJiK0gj6vg4)wz5YU)(I0FKNRuq==?A1a16{I7AkFvh zY???@Xd#WZ@NyL>@P;4);pKgJ+_rNdEW9KO z12@>hO9(po`sh~-{hX!SQlP0!9yJ|Q(xr%sMOnqmm}}@K$%0ZRhm&| z=lf!!%(~YJWd@^0u77jdVx6)c`%-~2|A&(kWj;h|!2c1BiK0ve8tyxv>CL0kHe_PI zy!++CH#P3h`L@%ILJkLg>gdwiaMl}$I?`_P*DYfcb2*!IeDzQST zbl5z3D?6+Uh3F4~xn5k+rH_=tA1()5m-$(M6-Wf%cIhp8CiLj7a%6LFQF|m&?X4mt z7`=5!EB6+c=6Y0y>Y;Tq65rjR6vSa6PEd0)W#Vtm7*#T5lE0~n=I<-vMEINXlhg_! zBp9kZ@+_;uMOhUpgMXw7UBcf5INAQ*dZ^~_vGP{>yMwi4mDffuJENE1S(o`))9JDY ze+SW|hrjcX&B{`HxYqogg9O9KmzJ}UT$<}q8LB6Zguf{T{?5h;{@$B1@u&NnGRfcM z7tP;Wpg-Yn%Gdn85+V#$4i~C$QC5Y@P-{@73upM7QlLt^NL()xSBXR#c>I!Dx)`bz z;&(@q2)>|v2%!^RQcJaed}Y*Jmi^-^J1?o7%USrPV`>K7I|s*tNXmGyIma|wUp^a# z*G|qV4AmB7<%MeVv$7FZMM2cTIqv=G$J~P0>FEb|4#Rd2o<(=PIp!?-%QBiplTjnr zKMco6iVsK3qDeS8XVFivH#*?|6vsqo(P}i@cY+>N$6`Br%7~5KA-!_KcD9kP+cdK)VM=QW-p<{ zccTZC{D>XO3?=Ve9}`L*X(1^2FKXoapQ4@3DUaa@D0v(wCzLcGHQ>Ju$3&szAT-=} zDCx~IV%t+Nt6!E8cSFQss8Ki(3lz}utM9n*3430E7ChC_XkCz0b`0ii8l3p&TXU)k zwKPIpq2pxqfde`Q;*N_i{>xpw$vx{g11AouFx_WWOBnn%h7W@pk*R5%v$Va#IvwZ+FrB)nU<>u88mg{ z4-@%Dwv1T!IGarh3aZUd2v8N^N0$NXZ6aftYWOSA4Yq-G{;TD!v@1I`g#&1Hw>Cc$$=csv$Li23j;12suPRa!(32gVcVy^pb-T$<}q8LB7k z#6k(Bpkd;G=qI9p^ta|>RdN`qM7X+e)}GZqg&%>6l;4MUM&B=LGyPPXtARMfkoO*C zL%1j#LS^ue3?Z%1VUz+xNEal^XQR0yu?i=CHlmB6S|NRzh4LYUPUse@&C4t#TQ0Lu z7M5A4ndmah_n@#2n5l1de>TcSO_&?^GRvxJ50rU#r9_!0t74+eEsqe&+>IK!{(EqY zq}+-l2+VCbIZb$c9fiTh&^C~$@Ykym<%=AD0^fyJ1v@>hU`{ki-kd4;GZ^R zTaaKx`Zo`=ugEILYf-5g>U~UL=}oQ-c~BzJ;zsEy~xHjmwc>7&2TK z!bRB-DuaInU37_Fo{dv#RW^?3<-NbtdiivDD|@+^YT^$DPmf-fL@#GXFH57BW!9zl zhb2WQ=F!E4l-+X|hmg${l9KqRb#V|0hG)Kckh_>mb3H0U^`vLe#gu|B&cg{7kEcxh z>1+FxNu5N_)H-QDcpjZZ`C2FCAi+@OT%igVWmTvQ{*fwliB1}elif+zVzpXf3GMP$ zc2Wx|i9e{kOkB}rYxMGk=w(~<@^$Od`x6$56OT?>2`L_(L?0Tkg)O)s3)Shk8TW=~ zj{PTh5|`$BREFwF&!Cei1)bD{6U=-RC;HPni885^$eCIv)xq=VB+A!1X#o-pRhB-$ zs&G+Og~~`(x^PA(Q3_OP#0mOIX7GSWq#-VrcyTdQE2J|6DhMHT0yCi6%nV4jWCoN4 zX3&UJlo@;ig6(7m?e5H=2sL}d3|9QvgBdKr4hnw$m_IuvGx+mAh#6dq8oB;j93v@L z;Rt3B#>vSH&O&OyKOM(JnZaN*+-GJG^INa?Ekqbi7~=MUL_0!b&15yq>yhF*Dfkd! z2u8|}u9BV&PEs-9UVI?YnCkOw+fuob=sQVOWwznoh}W&46D+2Z8{i|DNB>S1r$a6G zk6@;#+BHH4vY52ghTj(G6FNgVpcObxTX-R#&1tzy5Qx)2Rd&A_B zPzokfNe88&wlPGh7KBlY7^1I>MB+I#A1-%@ zUS>uwv!a((qNwMig~FX&9xXTqsYw#54m280#z1@gUbdb~b3Gn%sE076OT_LAIN2{r zT?micb|RvsfyvYq{$Swn=w({;azykp%q4*%Xrckv8+JY8jrnXKDJYPHh9W5=4FvC? z6gfDdKMhCv)A@fGsjg6;j_?8SQGOrRGv0#xQJZimj z%Y-to;TTDI4o9HO3Y?rM^8iu<{s(bP6lIP>!+mEhz4@$n)!i_wUq0(S=$>eBB1maq zB(46{KkFSK>>(6N@U!0Y(Fd@jk?g>kNW#GU5znMX?qV{4T|yS&6q4{b%IH1>&O%r+|iiQOMXZ#02swgnn$R7DOnuY9XnF{4q<1uE-zS0?2KfHO}JOR5&;|;C~BcVqy=5`(U)q zpiN4YT|u8!5MYNYmSkSVxSf);Y?!a0F6Ag_a#U?=cltP(v}U%de|Aqm@aAm{1e8y6 z^4@e_z4dP0-Hg$T7YuHMmjKhZofq(Rr%yhgJSyC@Jlu%pldRiNT}mLcyE{}{6GHNs zmQcmA3~2fEjMS0hi7HgFmR*H?&-LW8T5K0$*NM+k7Z1TrcltWCLn1|1xU(81t6ONl zb75-LndqhN>DU7;3oH+Zu3-_fd|gd2gCrMZg=mvA%cz@EgsCW0v9u6H3nF1E0ng+m zQ$<|l;7keGSQDaiCf##j?5?U(S5q!Uqjg(!s}Nl-L{o|QM88m?SXoz7Ok$w5D!N{X zZWN-aM0}zz(M7kpi1uB^4rvvlsYHCDe+SXctSd$eJD0k}@>!^EZdMq+Glt0q2b+#DFTaJ8F-G>YOVR*&90kk)LM^NbfN0SLg4OP_P+dV*xzYCT(KbSb;*WV6 z)xmr@3@VpX-8I{Sq;YhXrK%(i+0eK=fDc(xtEf_Q1G!PLnj$9?8JJxQvPz9+KfXzr zj3BgN;WO-NhRLgNGE6>$Oy-hg^3y0#cDSxf>6(Mo)`~-Qle5aT#lJ0Y!62TVRh)#w z^rY4F`2%W{n(iqv^gIZ9qVY2L2BoEAO4u>KtDK!x<}f>Ce8pcJ?Y2!!CLYUT;HrwB6f_w9N|1bu*Q(ukmU zand6wwR$u@0kPwa@gId!t5PXhd4dLHtR93kMgRJq^dGG1e~X*`%D8vVAKOa(dG7KZ z?B5v*&Dx%HF-$7Lbs6lRj_avBOHCarQcE#Q zVdk@Esi_z{Q&GAYvlM0-WtO5;vvd(klWb>cN}bBHR1wcoFUrRRi!Wu9cg$Jp(KD@n zZL|7yk25e!G3Qi9n`g9MP$zoGiq^}pliG;Z>6AqM6f;_Pf-qPTjaDK}%qZ3nH}H%! z*MX+>t{GfSa}}UKd^KUmvrt_!ql5i-Qxd5jGsMn@FsR;_5Nn0i124ob1^tT&9VeV_ zh1i=`h%G;jW)SiCr6a=ZsR9u^8pH%&!-5uU{*bmJvt$qK$}qk&@!V zwre4*kJz>rRu3#}yUZDJK}6h|J*^2$bYeB8voe?H?4^BlCT}lS7qjONoJ((5E8BA@Gah#k9)QoxUrJ z1X~D2!u7DgTG1*?oiSsywLT^mwonOBT!KRQKqe)pi5K?#kh1z29FXSU0cok(SmnF; zyei&#StpW{GX`(*-- zk80={AQTz54YXGtso#X03|kI(RW{l(8O@t)zGBIYhu9Ll++QqF-T>v->?#%+JN=T& zj+;WRkGh~ceJ`ZI&y~wc&v<$U|JnYUc=E}ooP`bz8v2sE04cCBwd(P&P-;HkP|s7%<>3CGq>ho(O zCPV2_cq0k!vD=^!clc!cB;190Ujm8i#vc+-u@lG3L~4%|T1@IqBPv=pu(g-1e0L7gZi@Ew9IH)JEzvdU`J z)eR?A#dJgc)xU-tE;`Y0!y;@WbaO+aa6^4hZg?MptU$s@TR_DTp%iRD0J_CM7LtX> z(^5`GQ!`RWw_bjhRgpfB_5F#8q920XF}R>0uu3SR^ISydSaqlqF=*-eVD-)D6_@&k zYS6^L>>tv2QZeJA`4*!dCgdGRF}N_BVax8Ev{Sir+GOV-lNvdywxv6Lj?xZf zC2tN{V)d#`c1NZztF(}1bwZXU<2YSLkYosb=1LhEx{S=@Sw>o|=rC2Ebp=top-*{K zpP-bLrpsy-vT`8H(&tHCMpIPAddh)+(7#$|oWS}NDl)FnWpt1X(z83gS<0YKjidAM zqgfd+S*0PN+OI>kOFLeijmLxeoI42T9Z+hJ5(h1!=~B}bo!#kQ9izD-bBNtFoV#|#^&sbe@Yo0x{Qn>meD3{ zqL{V1W_w*$E6Ea}GEK@_smltB(Csw+gV25XXw5%9bgB&9bSbM{m(>L34vb2h6V>NN zT}B?s5VpNSIq*-LE@QzNEF%XpsPk3Z4%KCqMrBn?S*?(zhD@4!$lP`XhYaN&ijWyW zXQi9drm+fzQUy|%-HVWU;wW;7fX+~)CJ%$OJAHRfw4t{+3DDc}z6QO+L&8{rV6uK) zO*V}!09&>`Tnc|lB0uJQN%SX7g~%ux9nhmY{Qy;ox|%jA#K4gnA*4_Up+c5tSjab| zk!49;O&5hWG%jLq6^6&??VWB zR~>sf-RzSlA%qH%5ZO1~>7#TZ?Pm!ggdt$AbZgHTA*3khU5Q(y9j7ErBOoXjF{d1~?FgKti`AAg2-i|LsW6;DN!bM6u zKXw&A9{tNvNo5p z3~2Ek#j=`QWR>Z%!Xzt`{k52K;4cGOO3r0jZBiC>js917eELV_BUp zvfi7d+1MGCwTqNR5TgW5`PnQhO$i!HuX>hcV8ze*)PM~D7Bv7&ER%zIC5ixe?D9|Su`uOA>wB9?ViR+EGBq|Vjc-BOq2;8V+agc0{hDQ){*JT zC}tPPQGD&;)VKC1R(M|)se!1>WIVBXUr0=z^|C9FX-DM^N;^95YnRS9pmu@aeNB*M zMbOE*42Ad2lQImXEuyKQVriR;KAY*X6y6t-vXXV%e3FMM`Yb;z>O0t^@V>!P2F8mz zItm5(N~??7bhR!+;e9QXBazaYWkPj5^1e4N_2hkBqUjtoO~UN1!6g3UM9mC^_f3#0 z7yz3+ncZ5bnDHN7hQj-Pq8ylE0;}MCrHYI{=rR=E_n4Gn0BWJ&ef82Nl^c!HWhuPx zJSocn)MgR7O{RYU^lt?<|0uj~M=8qy)DFS>+7#Pv*JUWY?`_I~e+(eaIFo(c>0;ZF zx-5nF)k#?`0A)2~dfInf4MH{Lhm5Mivq z4IB20C1o=pK<9l_ND1BU^g~r8>S~f{fI8Wh&ikm4qsNZlDe843T-Fb(s>^h z(u6#w3(2IugAil%>%5N&kr12rmFhwY$@>su5G9@WQ6Umy^S-@wA!#%>LWmf^VY*G2 z_q9$Sk41SO_obXM&bt@wMQ03!_kBaPoG=g+T&+{`g+a=UQLMD1^S=9}ECUWYgsil$ zgilmiBXn5`?>kk>GT@+I@V*==%Z`1(LF@Ra{W|a4Ldr7WAW!hVLKj)Hby*7UTS_@j zSZEZyuT;uXd0&n$OW}Q&OIZX93Ri0oyszFx)~bUw8x`KSrTu&+FBKNc7rmFx#ODxW$~8W69pTFQU7D0-@TNS;n;^^Lao6cG6dw12>_r1PJC0+0 z((ZTabC51e;c;Op%K*^&<3*@JmZi@xd74cMj~g##7zAjgu&Gdyu~?U(@VG9@k;rGw z8Xi0@;NEs$j z3LclE$aqMXq42mbCad%5u25-tfjr~(9W!e5g=%(tj z6dpH4$}#{l^K_O~uh{n5{+fRj9=D~GVE|*H;BieZwq2#mQh3}mlmkCC0c6#X>4C?+ zGsBa|g+UVNDM+ed&t(Xi_2bAK1Dns~L{A=9FjgpN;D$=r>imyjbAb}0*q^EjuA8GW zvpRpZEDg-3f!Up2tm;-*QxXp|qe7O$SjhIekfL~)85L6Eusi)tvexoaT}=)7GZr(W zLL@|byF2}QT}T_vcs4VupRmkdek@d!YnvDug+g{2tP^kS};jbHozf_u5tIJYo z+RKy!e;Fv$cAoH;i>wK{EQO|3N?8UP1qDqjToH`b0R>Yz(WYs6=ddcBPz5yY zUF<`k5FcWV*ay|p?lf)d9ATp%2{uiu2mR?zUye-ArM_6pZTl!T5KU{eSXEzX+Iys~ zbP5S$QlV*&Nv|2S$b+VhQrgj%+s>1+x-hpW4+AbV z?X!K!c)O1jnzpNyVbCHEns%cuOQC6RQx2luKpYpEc8V@Tp=mcs83xk0(6p^}84681 zLCPS6v8E6Ynl|H1PnuRDXj;=eA0K$oG= zv^i3S0Z6TargbVZl64shO*=x$Fo38<(6qFhgiR_HP-xouQpN&ZMvb6pr7pI$@2%OU(6pVT ztVSbbdZ1~!r+d=0=4tGbdZ~gvmmy@PunGn?x9mlFdD66d_Y}@Ia6_kQ!?B;30$%n( zclu|lV3VeOfQ`%6@L-0uh)YY|>S`J(Se@iZUqGWmnvgPGNWG+K2EOPEXjF)V$T-CF zk1nKz{0U7AV9^)Qs1OOUY1-%HGHV*d_t0s^gAjo%e5=v4K`8DyWyPXtS8#jL34yyx zP6*rIfX1T$tkAUivSSIX>=>w<<3ZE5Qrgj1(~6`l19d!T+VVZL5uwnu;Zl}?J{~mf z3SE{$(^@FUNryaW+Gt&tLet8mECYqoO5i?x>WnWsQ4pv!?c;3CMunzjOIZdAC7;i- zN?l~#tjkhp+Iy4(8x1t_plL_5ECUK2+ntoPY1#ro)0&_PXxdn8%O&eCA(>(QlV*EkQ(Ss1GYS9+H9pAou)07Jz$`h3r!2^G8CG2rIcaNA`hCj zcGsxg&_|(Z`$<^_;<(VXCS8U?)4rq}_{TsR7n(Lxm!Z(Kg;E9~3`I4bJ+Ps@$4^C{ zn&L^*8U;-&Z4kn28iG0Zqb$u83QgNxs$kHgHk$b=mexa-MMLh@WhgZ56Uu=r3_$Xo z!ZMl^8Pjwb3QfC9$}oVaOVG47X_K5DdGlq8E=!?lCrMcb5H$#z)+uG#{sB!}GD`E0 zLeqvwSwxEzRH_#=E$!B*f2wsE3Qc>Oa^Rl^T}F$bX*rNVov+$9Mwg|~v_D8$^+w3_ zK-1=)4pI}%9 zu;?_63Xu?-rX8*eX`&eqLIkqZ(5*((rozLXQ&udR_8N7OG9hqR$qAvXhT`0wBo&(W zf3jlYKG%f9R5dLI} zMwg&zoi4V`(Pb$#t&MWvr?3$+JQS9dRf}0X%}#5sasu58U?G99O*QT3Rz=}Y1(eO5MQwn zV&IET)2I*$k#UIUAF|dWv)C9%{)8q5u;?_63Xu?-rrn_n$)p(%LIkqZ(5*((9{XL4 zDJvFD+nd{qP6*spazc3VN;Dn?afPOxEIXFK%8mig)wE?$RFS28=s8TvGEm2Zrj_fm z6q@!VvKdAclx zroBTsu+c!FazWENU1WuHSqe?NPRcUS$b+V>A3-)6P;f3M+VgOYplLaGF-`j)_RLa< z+ca&FTH2ka?X9Q1> zwuo}zpRf+xK74JERvQ)D4$x&NG;NlYQLf9#DP|c>F1CG=s@bN{v^}J(QX^z~plOE$ zJ!x7o(F*KMlPXB!z%;FxRWPvm`7KB8C3nzs6UQQC(; zW?0L(v`y1OQ(%RY9O*QT3Rz=}g;ZAYaYeKqZDDa$||51RJMaBV~=G;JFx%RnCwnpUmLQfS)ql;fmB9yIMh zU6w-Au9C706lxYUt^RK!2vnN(ML@Grp=r5NmVrV|f~GaO$hupXrO>p`C+4iW@1v~Id|<4%@qnw+fS-c zuY+$n&3u@@ASd3#AMLh#Cb=OPeojQfXR- zE=!?lXGmEl5DA)=BW2nC0Zn^hbIm^rP1{P!D%JgyCumwBWLf^H*JUU)?Ip^Ae@b*2 zB}5GHIf099<8@gIO}kpkDmFr<2by-v{+=|g;Vf36UaFwdv_*b$iGj^ooM@x1+lQV* z2Mc2j+|X%S=`@3;9is|1Y1(13Gy+=Cv>jF5>T1H0rWx>}(=;k%jWMQa?WCw>U|mfq z^@dH3Y<$sa8WqxL+Ksx9M)D_w7{H>_G%BRgwBvLkzH@~Tfh;w2tI@P`2gR7OV$rk@ zAV8fExU1xZaMcB9+?A#!%Z??mQs!rm4?Qne+RK*qmA)7;AB(1a4gvJ$OZq-1{V5T=5}Wm* zdXH~udx)wwi)t$mB^7)54z`O(fI`67!a9E*sX^~&rI*rXA`s~frtli2(-~hIAZMoJ zP8sZ5Oz*hsPM-@Otxlx~iVjhQvzZKW&&%3L_xExHVa zv%Mj^R3MohD;}Kfa9x(d*=nUM1AbgM+Ynub!r3NC83ydQaJHv^(fp!twj?Qo;D$n+ zI?02x{cc}R&Q@~*YJ&sZFNE2g1RrPR=_)9k?Mli)HybDw7W}UsvMf%r{{J*H6wY># zlwqJ#li+<#ii~DmhQir?pd9F7pwddg``V;UDrcLn%ThSoLMh8YrB3J_VkO{#Z_EKQ?|S=xT8ZupKS#kiAT=~RyjSwoYBd_js@2G-SdP;Wqp z0ZTg7qe3J^hHrQJ0$s>T@+X8Cc%)N3DnvpgvF=VkTNjc|vnGTHK&qizjq1(*NqKK9 zFH;nvTa{joMfJXg01Myg*`K>gQoVW8NR&O%Dl2k3%8n(BQyTN2dJ&}^o$9?pIZm+h zSdlwam!(j>YAMSAEf1>qZCBKOo$4JZWtHkSdQiRlby*74`+{;{qXAwy=fZt>(au5< z1S-`#O_!xmy}P9>1H7`uw?%VYWTon|6sk8x$|4X``q6{xJ^ds3%YcH>oM=zqZ9A}8 zrBDS_??r5@r4X0ab*G0F5qPa*J4zS8Hw$zflCxMyy>3r;`eAxoK~bzpv^C9aYXp_9 z^N*V%{LgLF`S+K%G`>Okf*3ONhs*rvWhi=C5WS>Vx6>c_fTTrmC4eF~iJ%xIXYFZc z29xdFiOI+&ayg5B{E(VNZPn??JF>xTY>6nr*!24!*n~?bYbIPKZ#OCvg2IGO(}bJ9 zXA>Sm@6afaz0f3YH!2g#g$Zf@px9M<;kEDBgtaGXCVU}pH!2gFgb6vO32DNFJ#-WH z+!#&h5GE9wCLH@M_ri=5v|cz@-fmRAkhv2N=Tg&zIp44e_Y`U-%$K(tl?g?{gnHA2 zXTN3>RvxdJ@R7XTs7$CACN!BQ42B8KX%uDnaW?*K42eq?Eu~xF;J2!u#(eMTY1I8?Ke&?&*Y~D%BSC# z&Z6^bd@YFGAX{)Vk(!Yv!Wg=PX(R=S^us4e+*>4)$g-o5Ocz77Lj0q@}*yuNU3{X`|Loq|O3w9L2C7u}6;G%w`3ap*hZ^=ldAJ-t2 z)MvhqCt)Z0i}I=8=xHz7Z?Xlqexqiji76-rb&e1BhI5YFf%}b0vQSb<_8XOE&rrWn0Y|@43FuEJ?zemt zxBD%K8(1|MndrA>qu=PsOI584qtj6Bk?lpliH9_^->3p>r}bMWQmNlaC9U5!M-ZUD zD4+W6J38CrO}60HZ`6!55ysFRTuxGuNTwliy+|aH+;4P&ep3puXGtZ#pnM3S6Z(y6 zbH9;n*>9AkI;R8ohI4M-j{A*Dve5s>-nW3)QB`fHw1K2)Nw@?GQV0+s(1JlIg!?HL z2~ag)fdB;p6bw={NQzMkoZ3`U(qPnzQHn+_SQI5-!P9a}3t~XfaMOrfq5}4iijivp zHUImrwP()W`^=o2mg?vCJ%69m%*>v5uf5j0*1pW1Ju@uL_>E{`hJGU;%Wp(Le{RHY zM*trBtp*3MYCTTEZ^NG7+HlrZZ4fX|wfA;XzY&_R*(P);dGq-jWeQ4=Xr{Kg&l%>oItR7rMt9zwW*-BZh!mkL&G?OIVTOJqAj@w=K!0w;ZwCP$`mGuVu<9wCgx{*z zLyygL!bzO9RqF%HQ|%*AjU6nZ*?waNobdeCi&XlJDmi|;9zg(q@jU(ZAh)64ObJnb zV==}=7{en_PAN#FX-Irj6Dd;s#vS<00tvHJNp^T1Lb!q7m|Oft*~V`?Ws{S_u}98c zJBr_k6rn85_>E{`hJGU;%Wp(Le{RHYQvnbCR)qstbq`L$Zw;Q`T5#4@tp_kqwe}s< zZ-i$1jTvyl^Vi^%v0^j?bUCDX8Vm9aKiIjCsOG*s^s`> zF9ZSn#q;#rQf@=PnG&M>#$t?#Fos8D5HPxtgG0nWDMtMO;nDYpAV;Y=uW`9lBMI*e`CU#1cwki}2;$ z11HYM%GvhvFXHdw2M&0$_*cdK_!{-VylK`o1#l&W^*}UlpM7C5#0e&rMz2`fH_gQ% zR%wg&g5|LPSh2ysCWv`fCPuahyR$vj9q|E|6psOuqv+X5lFDi*ux^l zb>FLJLR#uyBO?fgSFj&R%I&VScuTq=!LejyFoz}QvFzVZ6iY6TD|=eLWj~6TcFJCu ztL&{=_E}o?__(rHK|)?Eu0Yx8z0pR2#Rst;$>PP1w9?jRP)C7Z7KcCF!*8MZ`EmFX z2R|>~=dYX&Z4bd}QJt3+%%Ij-zgkdX0zGxcP?NSitwYz{pueLes1Tn;^V06Kl= zc+qn;_9M&Q#wlC4|4tnCC6wmb^u;*rDhHb*qN=FnOSrQNh7O98cCjNZjHn55_`@B% zSheo77zRpREIsvL9QM!8+6?5d>f5KnsuHaySH(&Dh9j-Cm4khherg>40uRq|592Bh zKh49>QT_(BhVkm8?*Es?SF^dD?CN?XS%{;oLuO&mqf;_&4jp7TxMbB8$mOV7CWZm;-PPl@5@P7nX0wu2AF;TL)Mm5TpP9R3s!Z`#?* zEq5d)roRzv8fuIoKSv;wjuqOg}zOSY9_PhPA65X{D`(g}ylaCp^4i zp*s%0n}Zh?=Eq@QAIM^%J`Vd!2b-f6-xjxEoY6)(a$2J-ci7uU&itDns}m9 zws@;I4!fI!&Ec(Aal|apSJDKVbdLcEFX`Yu{OsR@1aFi#E)M&ogPk|qvf8~?eCA`Gp1bGLb9>*a*PozO!Fs0H zS&u4z*5jP9GV8H!f909yomr3V9Q~!9_u*Yf^hp->FVG>w%e<>BTL*QbqUq1ls$6cg zN1LtF8q-AkaB5-FB{IX)spwiCy_JiuQ*@7_zXWvp{2is7{o^z}($&$TK2YaWj_uHdD*?r=X|$ZWv?dZFa1O8z8SBQij1{W@-4gBFZ&0-&SCdQ zS@uO*_J`xj{yik*Wp{yJ_TIV5egZtF|N5TTeP3;i-DkOF$G1dFAkB%jA3v7E?z4UL zl`h(CiE60n@3)nfs4`B|pFx7fQoN>r0?&@7W8AXcmT0Aqe)3VrE?3j*flhx&HT}|Y zF`O3Xt7(m2_Gxa}uBPLC^gb@y)$|SUm|nDva60(W7){TCguFPt4$>S>fATAbC0El1 zAAPlpb~Qbjn*O0oG(9R#(>oy{FHK*BR~${px@EhX-sPj8e#EiM)wBob^Z}~rvSVX7 zEy-8Y!~C*OcguD)o#3OVxM)|?t*PlXdXwSZ88Mok3ki8~+6k{XoZj}Z!;-7%c|Ll9 zi*_}g3v~K9y^l~Ir|HijAuml|hF2U-3*E9^O;bMlcYTgsuBMLwojy=Cz3U?}oKDPF z(0b?Rrn);5bb$fP}m_T?(%_oc`<=4oj}47y9T$F51hgF?E`ZgEsTJ;3b=@PZ- z*GI=#^%5jxtjeM3_I}w1x@EikzYJy^y9!;jt7$bgJy-86OpVj@a7R<&zbFn{>R@wN z#dn1{dY<(_4*tu0^oLxuYt?x`r`wApsxCY#hW`s7A;Z5|bxa)gPzRgCsz&hQSar?X z99A9Xqfd9yu2oBcPT#qeSk--Gj8$KO1j{Pk{hS|%J>SK0{;EC>dx(S0!2tPo7+AC> z2Lm-e`ZO2qGVpDn(?8R@dv_fX!$1clcno|k4tuVPB?F&{!%lOsIT)A&4jl#-_U2&V zU>|*oi*^~H-_y6_@l$B}=;1L8v_nFMfzs9@#V?P;pXuQTFq^=*iNjCv@GBHQD-QqO z{jNTEID+!0#^HbE;cFE?E)IW#ho7YQ7Y~c!=VA}P4ikCM=bkwH;T}Gv_@#095)a>| z__=ZTKiuc?!_`>OXGR?U#~z-Oi@@&^hrhzZZ_qjOHx7;A=R^|*P8kLIQ$JBev;zn#^Epa@auFAe?}bsa1WnS{4R0$5)a>|_%{xT z;pY!`+x#@o1~&t7*xOueHLxq=unQe*>7tjRN9;WNPa(x=wI5pzbu&x&+)-B2M}OBv zyRG(X290r+&f#C`qI-2#zW>h>7jGP_nuO?&xac-T4=ehZ9Xxcoi>}#A?hn+ZMfQB7 zNq3;hUshRGE?8Up!7U0_6+Bgl<(_ya1Xgk$m%@3h<7AAd5|m{vckM=Ecq0X0?XJW- zb2>WfUSv^8zN3ePhR=Y{w~Okywwq|Yeieqz#1ddOw#RoY=4*E7ZCRF!hk&_^l<(oGfC=)>9c-(>2rKT- zzcCKXTnPcG8meS5(giDMG?BpzVB7`3wTu70?()ABi_JtEo3V$L!dR4x^CRUa{hVG2 z?ohSWpazYUuav~_#!uO?C<6S!vB)N`4cUXv%7m=z`rD^zpmd`oS^T@+mWLN??FH92 zJf3m=%)8X}o|jkbOlE?2TqJpjS6pV^mzfnMov%1|-pu0ol7UA|UrfftG<00r^!I;Ra;gfnGqKX`>gc9mKu; zRYgx^0`l&kX+W~t*l`oS43*)MGyG~h+In^eK^>i)(jF!gek1ie7@9Nm)-gY73-Xg! zwr)=7T`x?9wX|Xnf%gL*7kYD$Bf{}wB^)nt7~}ZK7)p zD31T-9TAS-myXC(jR?ngQ6>NB2-dqyEH07i>Z-JEw$Vr1jNs{rQ%m`D#4P;HemWvR z^67{V1K~d%!8Spjj^GXy;Iku@*v0b|+F+En9)hs3%yFainQAXe?-WLigCnA}{C15} zRV?hhnoP6FDpuQ`ks~6;g(JkcG?g(ie&{^L zcqs&A#dr%!$^_I(X(Qc$VsBeCs4-4-WaIYd+cG@YwwvDeqdlX=_(~VyhRu`vdtq~x zjgAPL=YOhUqw%3(L#KxyK0k`%U-?Od<3C16DS5C*%rdY>!`i?Jx4)4qHzZ9n89B&aEI{q8T5#e~TaummZ{Ihg?B?M$S zzA-PyPku%nPjsZ?H{258_%rEu zDc14)Si4i>OQFO2a{RA_sW``51c#1ah8z)&7b{0`{3(~v@lzlm%kd3)Ilgj09Zz(m z<41lN;rR3Dh@6h^cM-1RZ`<2*{I_j%gyY}6Ssib?l8z6*jT-5A+7#<}e*V>Q{0`9J zeL4PVVJgn?7Qvz8e}Ehjju$IOas2Fy>G%&rK$hd{^K$%@->Tz@j&%H%s$Yh09+y0Wj?3IkSoU6tL zx48a&1zrs}=9{+L-7D_HF1l!npz9R&gd(@@4)a_Q7NQl46#i6*?qlIrn2mTGt= zo{ka$ovwh9@-JGsF=z{B(lmGWOxkOurxyQ(1EedC&1gTIyGPo{#A`@H^ zFW;X$zF^+53y=H;wgt^cu*y z@Rjbg3yaG~ERt%Kq<+f|rw%?#^TU=RsZ6OBqZo7Z3M#tYjcK-Lq-)u2kD--CSgm8^$TauBr zain}#D>vqs2HA#%EmK%S2lmxuw>^zy=<#1W49QEOQT0luk1K6m>qqu_(`>s{w(##e zfig^CA|Lu5R^#mYYE_6pJKulVt^K+Kp&cp%D?K%14rtcAHR~JUtouVXK(HY|p?td5 zX;aEnC#F+FppcUBr)u z4IS>-Lvn>mY^Bl6NxI#jMX>wyqanK`g+-Ille@$oBCu8xbHi zzj92pD&~JKKvq6t1;}5@M-Pzufk8dnTme#jgG1^@1jxG&JM5Jd{yx+`tXYSI5k#G3 z^ilXzH6UlLvZA6(BfQRw@GvSq`)wK!4&VxokyfS&a18jrjAoNHG`zl;Zlf2hjrzpa z&0P=(ZCOVojuC(8hO0R4$Eb-7zEz(6IfnKmK`ak-LSy#vZ&~zqw8_N-gm~lRwttOb z(^&T^lx|NF6f`Rm_)Aa#}^#XTf5-FMrd&6IcHBl`vGYusLz5m z)>j>lGhwsN<)Y{~0@v34RY^cLk{}=5x)Y);Spy?u3RaK9d0ap63_@_wmDQuNnj&Of zTsKpHQ>ssuNA#IjV$9F(QC3R%ld%V`9@a1E34pmk<}9+>+$Oy8?CQ8>}X{5 zxw0BRC9?XBEK_ksuSKpb>#GjG;u-MO>&j}lNMsF1$U4-OWqsA*Y9ou25LU#soG-G< zP(>NcwqAez25k&RhUTtUy(F4uDY~IKa;a*qElOA)8cajuwGnPZLlE`Y0$NHN(P%5t z(I$6{(a?Bpgxk;%L?Mu&LiTipRL_FV5aP8FZbL(mBjkCS70}VqR&kCB@!ANtp&^Ju z!iMHsu8=y@&}bVGHui2qLlA|84b3c9NEuxSbF_vgdHB8?n!kUY#%3BC@um3!%-8U| zXmHMLD?VU6KM3Nj7)xmV9yT#HR^1}8zt}3r{Q}Hycm}cW)$esGtL$&ei7o3$SC-XQ zEHtvb`rWB*MYWM-w-tZ?TE>3&3owTmSzi6F(YB&4Le@>LEUT>;;+gFF-K}j!laXcD z$nvA1$2rZBe!QErLjnj0fycoS4lJtxDab&3xR(@6`hGGuZ zZ?BH$D9TI#i>&r(6FSica!DOvBfnj^TmLP89=)&quU7A#v7EhPj zj(cRmf_vpryZD`T-??9Pp(sliv##$QQG$0YsRUn%esrpc;~8sOmTgw5Y_^*yZIEb2 zO{iIeYSx&g*|Nwr5WinH&S*waMl+PJ^wci?y=cZ2W?MlszD<2AdRrS%OhPnQ*3~Ya z&xvg;hOAvYTUJHZlVKzKAa{Y%Z%GUP}`0LH88EV{ot94S5_veCL*DU{%@#VJc}_glQA)$ zF@X+@)fONV4lO1e8qKzz)-hbnaSg+S;UZR>8Ez+B*mOv^oVjH5aA^Wq!es|IFC`tX z<&8|ZP`gcPXV%^w6E4%1w_D+o-Ok8J!qP=JeShuD!A2K~%CDUn_L>w4&t5MQH$ywK zY_+oV-_g$OaqZ}VlMDjqIe=63^#~8kS((7u4Hk@fswsFg_evsPcSaY)H1-9pNs(&<_@y79RsrS?%&UX2eXIBtB2d6ra4+lR&n zeSrjD`?j?mlN;saO4AM<%$`W0RCb*Hr-wk- zEE+vtG{rxM^6JXSz{xy^@^CAj%<{)ST@me4cyWNIfb7ff zj){+qgP|SeU;iVEO3F!$F|V77QeUD5 z4$22==N?dhv~gxnK=N|{r+PPk?Ogn`NRn&Yr(L`-XYK6V{I&C+cWi9!Y>29zjhnxA zo^Le!@2H*W1)~Q}ZxA@kzl6Z)+x)e&?)J#Q$<)p%&@!ub_UEph-EQriI!0>eo6kw@ zl#~Cl+S!^Mo#g(2PZ2sE0x6$msqjvt}i=zh)$M|wd)OZB~r)Kll&h38`8915R`L{1b z)Xqfi+F8aqzTn1E$Hd`xP)0}jDp`S?l#~Cl+F1+rM;qCe1tgD!M^fFJzjofXB9dg( z&abxQtes7pzjp3vbjiPVCZeX^YrT0gRw;LKcB8L57ynRM`tPWnrJo-?a4Lepc?96p zicPJZ@%L;lzd0swCf8qX1y1&qSWiR+O(mnI-dpP24uI^ZCV3N{?7v*^ZuIQek8e^_ zC@OnaA$sclwl|q)iJ9`^)cZVH4gFs@^*-1)&g^b9`|oIHe)zf311Av# z&Uu$1a4PEE8k-56QRcVe+nKGu9}_r}hcC4PC%c`ginxeQ$pSVjn#7S6QB&{!!!~Rj zQnC`y%Dx$<-uM5W+P5)N?|aCy?wG0fzslO<{~c5B$2N@~VT>UeXBNQUslkSb2)iGU z0=?CEPu%K*_^ZHMzZ(}}*L~JR7;j-_N7y=V^dU{t;(IoJ&B4A#gSZBXMZ0SbQW5>7 zbq(5P)@e$U)G=|3ztO^^q~bOWgT5BGX>{UtJ|}Kxg$o0`iCZ&)TT6yOaVF?V#|HU++|1=Ch+)?b3?!xfnH4IL9dvtIPqR9Tv$5m+-`BQE|H0q-Hm z+JcdbN7p79XtNrsr#h*F)sBlU!P+P+95gCQh3=U6vp!K#QbDnTQGNVMQ)^VNVYqTx z1S?DAy+^K_5Y=bop?YVaI_*sLNB*sdpZee4Ixh=f3GAYM1xlCmiZ!OIXTd0|tWhyHGBEML%(uIxO zP|`cZvOA+vmVChrvcL-4aPf*cUt_lMUMdzna1ZJ`7maQz*Dgs@cDnEquBk0D4$-LT zl*9HTpQS`RRmoLJ#~#o-@;mata7zim3QZcR*Ebq8t#KV3hfvwC(%|yCUv)7EbS;>< zzM<_{`?iVO{^7Q)&Fs^Yl0VYx8HY=Mi zz>d3M^Z*+U0&EK~h$VlgvCZt5ShSX#@?vhZ9||i8mgkxNr^XE4s$}>Sb(bA(Kz>yJ zd*+O;N&+KniS^Gz?~(E~qP<4oL&?TAG{+f_PTa2yE6^9Wl_5EO$YUcT7hbr3>29qk z4h1e;Uu%{f&Z?}bZJ$|Lvzk5UNcrU=5~EBEXlh;ua#1fUepU0hkvWxBwe5s=T-!Mv zXYf`u0I&>cuQkQ`1VLI%P)N;w0_jo6*Z}g71*zRbApHs%#0q42nBfN&q)Z{h3b{9c zBrV9WLdxC{UA`Sa&axmaQ>373g?v7M9A-i4rwXKL%otiUD}d~1LHZQZsgMH#$Q$R= zZ-y6z^eANO0P?s6DNtVe6*7bc#bWeNEl98gT-99x2wKyMa0&Po7PRJYQF~aSHwMxg zEJ)7|0x5e__&GU%9BDzC_ELTna#{e{*@AR$Cy+XYOb8%vpO-Pd221b}6io`*CV)I; zK^j#`r$QdO&lrEZ1?k>hr1U7{R{`WY3(|jp>hhLYv;q+FgVL4CGh?AwT2Os|#Yp*n zvFJ}Y=!8^{k#jTlx@zq1pc@4Jcr5z$doxN;UzHT}4=i+-n%JZ!{=z~_w6s{z;X{Ot zPKB-=%m^0f$1G?{p*;%yrVri2f({=gQu`HJ`v*_z+YK2Ws*V=uutF#M&_^w3uX%sV z+v2%hjRMH8p8K8!-JsBFg}(lRDiA_1x1iM@(s)p4pAS9Jf;K9&Nuf9T&KEfb?iZ&AS7pY03)7wfH_P12XiVr=;f_5vkTA_1%=#Cb2K%sRCJ=BM;KRaVq*&(7plR~%l zp=&H?LK)~(=%2CR*OQw4p|MRp?zl^dbvdrO-}= zw)@bd2t_$g*!F9l9^?sB$b{{Ls2p9IqdzjoGqW>%3~F~btm-_4Ma~l7_gj!jrcroT z*u6b~EVCdfsEe+pS|Kn0!D|dIvY^8XtyAdTKJ+LHTBij!DYPaicuxz`SS1B_D&)uj zQeZ&_6w;%Rodd{oXJ$x9?ITk974kOL83!~A89%b1WeOcu=%auN)D&|$-qLYMo{(=6yZwY2OX!q*pqf{(BuO&X=u z3i)iwAO;QDYQqS z$N1367PMEP{R-XDhrV`NhJkhGi>|{8U5~}e4gJDoeHg1 z==DDIQVUvefk>@W=*2$tqZYJDp-l=+_|Okn&_RWEDzw~(4xgG~pzcCZphuyvU?DOY z2+jJ11?^R6ze4Z#q2IQk70SS{LYMl`&sxy3?UmON;q@XwB~E8qkO95^s8-0w1IPgu zB&kyB6tZUk+1i5CDx^svMFC{!lnfce3h7kHb6A2by7XC)ltOwGvNnL+WI-Cr#iD+N zd^3Q2$%0fVWLP2d1IRfRq)Q=Xn9jne@T358gazqWNVP)t4J(BEK;D{_ zF?!P8qHdEy$^yuf7NkxgoeKGDkKv`qg0v~5MLjW0LK{jkFM%O9iJ*+(zPe1?33@`57!iE5Hw*_(M z7M>0uT^6L%nOnFcfXuTX?%cvx0?5e%!5j~s(Jy;l-g_|dT`UQm*)g#Ut@-hb`$o$D z1q|MFvhcxLyx}AR9Vx%* zLt{c!Snh#xqILZPRM6J1h5E_ui$;tbd$xfT&e8?t)ppFRY;Y%1*X^}{#fo0RCljV% z#rBN$!YXVQubpwg+TurM6!+qr2mSM=hDg31YbyYnx6xVLF))N$HVhS^v<4p9rPh0; z_9XERs2P=W-tONM=oMp{#{(@->kRF%aDL^Sg62|JZavWTi+WMQ5v5CeOYh=dP05VP z+0CcXbuf3$AZ8U;v*3~PpPYn11fts3q0ZA`Ds-Sd)$O(8-hO<~qPNzJX+9Jgc*mq* z=y*FTd@`%D-f`D;;BDa?Jd%t*Gsg^_Cz2N8n;O36b<`Z1)g~sOUjw(!4D6ir!WfHN1dOBCTVmBLFw${t*#{(y3XmZP zo{Q^D@U%RD`A|1rCK2w(i_h9yS7pV^>sUjKcp1hfXT1Cd06$*pBwmDan{Yo~k|-5y z%@Tgyh#c0VPXFOitPYQguGOqPfg&Z!kAr!Sbq5Uh=bA9>CybJnWOE>amYe5Co%o4x_Wc z%V#EDi8ZZggxN$69TlB_?JXvMM@0T3H9s|#M*L7HJ2Wj?(1JkF3{TKV`P!L=j+zHb zcS}MTuC><}L95bzR#pz936S^Z$aZPvAh%d`$?fV1z>bt3BNFk#Dmj(h5YVKzJ4;)4 zJ`AYkX3dMj9!l1YwFH5ae&ix2mts*XI4OkW^v@5)?XouViQ8o&9k*x;kS`M=ODYF& z>@{a+UBQZ}(9A2BPs7?~)XiDgcy$w$Wx#CCtAX*~y3BIq3pm`t&rza3TZw@$%DerZ z#TispyrKs?sI3!mTZ?G?3Wj!Bcuyq(1iGHOG zH;IWVIblLc;R>8C?(;3Du%VA+#1$Q0cm;r;|Dco9h@{U=4wDKFFZ?)CE-!(-6o8+@ zST_CN9IKwDmWT0Xz?i zLtsCSzzgwj_p`G>x3ferSkFNya!5f-MHnzo?7kNNAHl1j&FN?nR0JZ7K5-&=dWijQ z1(XwCGo`j;sRV-4x9yDRTDV*Uj=`3NkFhg$BEh5gxE7(8P@$Ky4ZTF;r}Pq#MK2LB zo*OW^6r0l4JAg~I@ZOPCJsC^3B5i*h=t4s3r~auqVX#jI>T131xcirW;yiU4X!{d&y(Ky+@=SS z9~GA3v-qKW!w`=^2K=?Lxh?b`aG5?YYYX6jG+sd${3;${2ajz*@Ab6U?@2kXT(Bg zGciOoeqIdKAc-+Vvz!?EJh(#a@H}Ja2yWASnK@#J;wz&N{8o8y z9ax)#lQFCf0&cTb52(l5jAmtxP{Z2s-wRj^63N;jOeJd+f}bO}E?e->Wy0EQoDtTT z&9Fu^ejaPJNFr-A)?sZr_y=1&Pu6B~oBk^DgEflJVvX_*Yv6}RppMy*NVbqTOA{%p z`FJFA2er-uiPF?Xc6c5_xIu5g+|mzFwp@WMkyAF;37qn{9{8M4LLnlQrJ1TiG=5rD z2*@&u2q@Zw4Vn`kK_5mE5?OIz)C^5zScT0A4`7%9GB@-m-fB*WL0iBLgh@e5MVQl^ znA6cBC?6tBF>xZyX-bl>1oBuzm!p^}9AOkQZNV~*Vt!p(_1ls1=^xR7%m5gf**@{N zS29|4s9D?b)xIwvWNU3Lejw`spMy3_`giG&8`3x2k zNY!yC#+#gdfEwu;A2R6G(~G-#sW?)8!*paw;XMAGp5Q=h0J?K=-5|I41TUMf1#9au zqGe3%1{~jQPLvG&AE5wnt?;%LgoC~Uk&@&gh`@i0+xn50->bOnhGJ}|vK62hRK#bo z;XkX~GQHAhi7WSHyWIA+NrannN6Hr^_<<5wMz*I z2HT7$X_uJIv`a+er|psi-00UO%~#piBtPpi*Cdz2>^!eYeukAZi1S-fGOFbYY#8Gl z9LhDxGMvUW$tmsyQV(G11)jBC%o{aYUJskmneaS2m1W$fKSeZ{U`g!>T-5B3lDvzW z4505NC`xPdS!=-+oyV~1oXO7ueVCl?Dx)I~U=g@z-d@yqYZBzDxa z6e7&1N$09FRgvDe)oTOPQ*Udi7X*qKHI*RF=j~u8vMRpwO-viGDpClwwgo4%1>gB; zv3m*5h~3NvyHA7!qVe-aP4!5Myk03mlp(Nro&i3a+w>T8eWP5juowgpFHK5Yzk>3z zrdv3cw#{e()Z^#XigjxTOJ0c`DC|(vmATo zd5H|*X*_jyhK%$NjBZ3aji1YH`a}2&9w`Pt$Al&%cqUAN2~cW2&WIF#D zL%mQ-iAOD^HjqeWL2$DsQnhff#skz+17vR0QsS*zN(^c#HxNeAXc{TZ8LXMp(IO}x zB1|!HB6!+%a|4b&j<#wPj#!v*#4Ls*qVZFX2*_&5h=2v1*nkcmfunj&WbH)a98F~G z$|#*X;Ku?xsQ95OtQM#N`gp5Ebs&Hfh$DQbI2aZUB6+0z zGlw|+e%Zu#zFJ&xVd?&TrQeiWEqaB4z?y~J{AZI^i!_h4CoUAyh!&;mu(M)JY3swx zs+S-Xlztk2Hf5ObImo9m1(0Ierq6yoYM5{qQ#WFmu#+nOY@qmnQG7=E)cYEc_q)Y z)v4q*xKI^kd^RZGumQVygy>~v?3bNMzy%20AZjv$@*bSWoe&;|gYp$Y zo-#L2jmgukd3YG+=?d}`ArCI~UjM9y@gPNXw8^C&NatC8W-mz*IF=G{TMqYimdfX_ zc#jU(gS=slE5jVYf`J8T>%yEM`t~bZE<~Zw;u@L#}OU7*wfZin|ESdOF zhuo@Y9*=4;VRz|kSzWT)KGqfZm>c-`DQw(9^Hq=|6Zz8*%6rg!6_(<_^S4rT#Gv_8 zIQ@PH%|AaspF#6GSpMP-nqNkeJ7|6sDH}6rUUsh3(v`4I;=BhtrmN}3E`Hk85RgST z5r*#n%Y){Y2H|KKtQC&Buwyvt#4diDWzZZsD9mHf{QGm`g#*|`4GJlj zy;uT+!j-`IgTgjg;6GS6p5#Qe@3+XyD2D6|n3*g(LN$|MrJ zzG4TMfIwP;Gr};l8HS0*PZ=g4D+-A~W^PdFTd|3mw#{RJ-Ep=%z{U-eYukfPJ~NO$ zys(9-?BrWe9)6C6O-S%8JP3lJi-4?{A_6hR%_!r|#mH@$aIgaPv|c1;-3x%i(6#|MYNk z39bSHh5q}7o8R9za=3XKRz>1Y1y(W^q;JG(Tp6LR{seAQ42{?QQ-WI->#8aCp-)I8dyURkbylq9&+vJ zg__}whzzl+FO9J75Vh?uG`4s&5+yWNyZQ!SQddvAUs5NH5sb%ahzI0=7Y@%^NR`J4KpvjdToKnA`N`MB}HuxrCK}{Z9m{2{*A5)lcDM zY3tWrt^=bC*MU)C-^4KbG*(4A-R=rVLV)uEk^gMNx{>A?Hf5F=Mzr)(%x(H9qVemz8J`x)H?)+Devd<@MJTj5yuU%tL}}_GJ3Nod z%MC7$mou5`xLA^z7LikmkS2@jmbPAswly`{Vw6rmJ@)>3a@P3#75F22G0Ff_*=$k> zevVt(vIQ@NU~sb(XT&gOGx0_=ej0BCWQ~T1KxS@0>=JC;X@{+FdtIg-9@!Ih2z(7f zNU6JikV(3JFiB~JTjA}9K(_KgubHH-0wwqAhOyWt&uNDyh+qIwJtu$?pOgUNc?M83 zx8c1y)d%jeAc_3YEQgsvV~|GiS^QAG;RiL3N2qzsj>Os6fV7#KNLgXc;{j@(0Sd`W1aIAc zBL>xm8witvmWnXv{+l@+ErRkP!W0uHf~W1!uEDYAx@%?%!z@e~W)@TPh{jKA9syY# z5djMtu>l=C0!I~^$Qp;lBQ&uRn~0ka1WBIT#gloU=;ZN2mh3>cGX8^}u{2X_J8cwLG`ZKX$I(tRYVNA6z?rg#R*@9m^UIO!3 zoRPp_*(NZF#!my2fULkI0)fd5V(=+!+)>K8Sh6akl*$k2C}jhLc%u|1=_q9dr4^ow z)ksq4n@bfJ&4PJPj{IGirM=2Da#Si5h zeo*sxgqp|fNF-YztyvQ(E3A1uK+Q8is(~hrcx#kG42BroK$sNtKekHfj~@|+sf#d7 z#ZAp?z%G7T^9ab|hzMBFi4ExB5jbK9B9S!?iE}iO@%V3DC3FD_h!~{|LTi7N(vLJ5 zrPNt@oKZ?|fT;Bl;V2~)AQC`el=7|_rw=kiW|YFS_JRnJ7c7X7;{PKHA_}&T9Hrbj zS4Js#8-PBKddqfr9`yvBo4&xBr@kndr%r6k*I2%bzX8?yV5q#tQca)u@Gs;wmVB!8 zfZoz7^F-=`2l;?%_`ItB{I;y}BocxI*lB=y15*~;*N0^D-WJouh@{vJ;=>e;A= z+2yh7k{NjHzBJWfUVzZZRVd7)&*#qwpU+PiV3!T7(Z{Fp^eHmowXNLZy_;|_?n^`Q zDmWX?$BPQ&S$=&v!btg^plj$LeS{hxm`C2BLX$Ooor2_Y+N-n}i|3{BtZfUZ%@BhZ zJUhty1*s2DHyr~F7OZVRhTyUJgSN3Bo^FMTfGk`O)1fHW{yK-|`h+N4hx-w=crLtt@q(&9%5&_qGAUD$Qrk{S zJFXqp#~I3y6u9D+Qsat7dHsd;{)+|cxekgm;Yr!fs%(K*I*nKOVvY)=YjAl)Rve{X z!7Dn%D^*xst6q^5dSxdjg)g^AZJ=g&{Rdv50P1boevNzZNR^#1vLD3)Xq7D~lzlIg zD4Wf`Jm_D7eF)~uBj#X#Huh)93nOL~n^f_6gZ$;|BxZrm*_b#3sWRP**GJe&HF^er z2ueY=`$C1rFmkeAsL%*>qePDN0uK0pu0~ENEI{OxaRY5CPAtSJO@nxms_ zfF+|{K;$I3FRkpNqUK$Kpf+!wO&}BM+|yWLJT%4649Qvp47ibCl?RS$a5bEHqanF2 zMM6NH+~qoB0(+UI_-pBiN=K_YCe9d<{)NWLt7K4@I`RGkcF3$hJ+fU|xq{mTYvplg zHgZ7;Tszc_b6!wAbC^atc(eN!KK)O$L|28X&|V=MnY{?*htl36to=4 z&8Md7_JC4j@o+y58EaO>#>3`F^t75`w33>f>G61ZK(uIewryD_1!MTzjja=d-#%Q1 zzdX<3FCRP^ll}(&(k6}j|Ic1w$Jv?Qb#EAE3+3!$PC>0_?S(+KM5-qgwRSguCHD)COnYj^1*_}SF z#F*pM)FjVQR==oCw5QPxH5xwBHD(-j46oxLQ8YRwW7SNwz3>usaJ=*e48u!#TE^hd z{4r@}rGF?j%0E1BOo1zSgqUhU3avm2JA0(eZ$1n{<`-wq32Q7JJ2*oOuTq%68*fS3 zkyrQ3x0P=4tiI_GY1rnAlor#6^KwS}@cCJNcoXz!^84_T8I{e=b*ZlDFxILYRd&gK zA20%&K(T|jXO_NQD!y7gk7X~a6N9n|-I zHz7|jlsJ1U(;=iFkMBdW$8*vjY>g8m<);Ei+t?qZ&=8yV;h;ZQjq?%xLD`n@pXTUj zlaYey54fMzA5=BbnzfUV3H`w@&O?82c#yf9=a3owL6ZAH<{3f%U@|8(b0;#xX?gSy zhi%FJp&5UrsE3l&IqV+}(*A+QX8MN+e%S4dlph>Ofs&mNGb%sp01Elh0h;!g+z(6) z`^yI9XIM?V>D-Ot$7G(gm5|$n%=z)Nb0PVeg};U$>g@AFV>A3LSkH?W_Ma89HLLXz zuaj`Rx=gqlFA`gIA%AcJx zV2)qhi|4h+lKv3crGZdYB)(jJPH{o{(_Fpa6T{|V6k?{pGR>!$Y&!>W z%zl}QIsNi%P`+@vEV$t4=|!9$lWy|0!VQEQ7T^W~M#P!0<%7zLkovg_I~7;YjYyQ+ z2vh|>N0W6p_870J7RE8`)sYnQ7{-aF1bIId0a=U_VHk(AcmxIqHIe+o4eK?LwuO_K zJSYx<;mF>q7 ztL#o^m@2!xAX8>DV*h@oF!g!ljPAKrl^oE4B(deEg(`4_9H6 zdW-rh$M9W}DiNlzjD0jWj#v!NF%gA6hzZ`bS8+kA$7>8$;H=jeFiD$wD9`4ny|7Rl z1GYKfk7+Ddf!Y`hq0+%&+q=&$v+@j`OL9V?sI_;qE}!V9-o(1Rubqmz+(u}Hmv|Wx zFXO!qipP)aXzg93X{D_v;JLn3V-xiB8a}&K+JLNHTQGHMRNuJ&>Cy#E!6vE;=ne_P z`%i)KSztNRH*$yi+DZ)L*i+(%Q=~y+^MOIHHs_{6BAODUK_VclK_UWta8uG+$>!TU z&tBc+vcXzlE3yv9o+7(K5pqvxW~5MowbAGhCu8HWA9vcTNKv-t+7%$9;4u zMe*nzsI${M90hMITrRBydj~ce;q&Yi<8wKPG|z-H_Z2SJs)da^4~j#eIAn?7t;U@gH16C$*dRAcMVQmLo72%Es0c(D zed0uz)08BQJC93SzlOhd<9-B|y~^T(U%m^M!=O$ApqaKUZPIL@u%sl*;pznTr>C~S z-;wf~cjSp=TnJE6@|1XU@69@B zi>a~Q9vch`Pm#G$gl;1=!^Va1F4#C98;=dCu>qKD91VR!7f-TlNwzS-+&lGF#MejDV~fOL;J#F8V(;9BaPJzIwV23n!(v z?)0|S)-Ko^QCmADIlH!A`=(u6YavZ)YYK3#3&Ryi`ns{3Rvm{bqpIUeSWk=q{1Y}_ z0A^Lk`+#}B)$u|ofhgEq*HD{Y9iQ7Xsye;^!okL~*m!JYRmT)C@1r{Y6GXhv>bNsZ z0GI#2I-+t|S4U}9Eo(yKy_u#;e);uHs*-R2-L8^%LYiGAC+@Ft}uuVoYz>X71OXiV4>Sno_SXv}or&W=!Wm_)92) z({7ygY{E5;nNUoWwk9CRyssPg-x30PDA@-LK4C0x|kFMavJH)r#{D9H#TG#9xa#nbt(si9h+5xQ&#{ zv}VKE57_=%u)Y^ObAmG^+ok4}+Zfj2cr|7&A$uQ@9lVV@IjK0D;A{k_wDsxfKrNR$ z3=A0Nd??uj6uvPI3A~778V9Bg*xIA96*%@r5TDwWmMAnc2)gJ*G-TzU!r#RY9q`-Y zUl;eIIuFm24v0dD#UtdFX^u#PE%q;?krmrsz^Aw_t6bia8Bp(lrT?E7R;2 zxs5Po6mrK;R&ohd$`-F#_{TBuKMCPHhn^{JFMj*+VsnZV*>bya>^bd@U8J8;Rxx&C z7BlQ48b7`0B_M0qM+98LO^L4CZJwPfqtTXe4LEBn(S>7AiT$929XXjBj&f%h8Sul5 zhApNONgNBaaOdhZ38oe<*C*VtUCP|)zaYO=Le$Mi>Sc@p4?N=am?kdA1{N;UM2ZZr zI(dMrP6MPi@CEVKRVOj<6gMbA3exwrJ6E0NbhHS{hX_+loCtH8lBACCxcN9MuuVh` zYefD6Yiz-39`)mgLzu)$OmaQTh_hY7*zr93$(`M>Es~oar=Z;S@=xM#cAG$=JE0yN*5h0Gdf()W1#vR1UA2OTg_T z@<4hfU6krU<{jxj^R%Z!`pPh4Ns$|aIIYV*C#`EnGJzFO!FBgS+>^^BN~!sLv6 zE7>uQx03yI0Kzm6;@9t>ekN3U(^3?wuRvIVBu?WG9l}r-02{WML>9h?#nL#a#Q{9c zG#oJpW_hK>C%2hUG2LPkh9NlPn~mTN)RZKZr139S9A;`7wXwvn4#7XZ>D;zq#x8`9N$!{jNC>{06s`r6B`-ZA2;`?zjkJ5^Sj^Wc8_ zGjK$E`2hZAD;ppa7>?crgs&`nW*Lrh2S0Y^+SB6(2-8YiPsCrVOOc>NmqKsjnumvG zNkwVvy^Nbau86hbCgJ7}x}+M-&%=c^SC@XXwek=H)HAqt!bJ#psdke~?^+_rlG<_e zZ~+&#PzAaGryn(q+OkyiA2Se$6p*uS>oSvT{=8{b?jzf}5fqN}^raJMcGza%SCGCL zf9!U>0?TBj3f+LRgLV<^dQvVs+$w~6<5rpa1f=DvBA9n`uD`#7Lelr5#|~OeG!Rjv zMyd-2xxqRxb%0qZ*;+XjsU_N0L=21>ZllET_S?8I9MUCER59f6^9IIUNaDblDmeq= zPl5Y|%Vj9RNn#FW$E0O00|ro;7!z5HF%ib_2zIg*B(fPqB5MH>DKg>(8QNCvsVvFl zvKdHo6O5MgctJ+gNOpsEvSSe(Il~q-X;<{zAR^aqt{b6*Spkm`Au73j}F7bjBagRKs z#3@5r#8tS&bwL8F>JHHn_vRm5;zVdiVIPT0Y$9VZX3#O))*?L8`Sg`0)AsEFI`cS@Wxlto;TOWY_CcLKd&5hp@B3LhtN`{3o>n?l^X zTSgJra55wAZ2Zj~aYq30?}@mVpH||Op)BGGT;fKFxNYbKi#QS5QMiu8y?{Grn?l^0 z!YJYnIf=wg!{6M*Z3o2rCoU@De)5zOrwnBgx4|9>;-kX3$8iJnf<>GN?I?Vk#Ql5| zt7_Z0DB^C$%aSmT!{6M*eF=#7Ph3_!CN;GL%Ie*Yt$f9-~Iwh4g|&oCxhG zoJ``5E!;HXcGx0{IL2Cg`S(YSxa6iq-2JxM3)V(O+!l{3amr8@af7ymj%!DWxc%q_ zi#QS5QFsuD* z?oF)SmyQ&PVvW+X1=EaM@ojYFh4`DBxIfR_B;vdvjk>%&>(@%0GL#jh{Vs8%T;8_P z3s#Vd(2l~rL0mpTI(a;Tl$YNlChaoy)~JxY-7LdRKLi_nh3ujk42?%RTE&Jp20=VRb{mx@i}`dj~q;`;7S zlIvCYo15!z0RdcXIgxc*fwxqcXbb94PeAU1*PLCz3jj<1IeS7yRc3G9>x7B{fEadvuY zB3KX9%y%8#Z9mb|tjBsu{yEDnlhi@=H!<$%vA1W|De zbC}u&SxL&Gdq&FJjI0`0R)O-FfUH2qmadExWzaqNuCkF);mW9P5PceqJ{XFIvhDyi zmOjH7SsQo;wsDHXjvTEGMUbH{LjuFKi`TB3h|obe@GQfEcSG#3XgE()NJ545j@y$h zbGHg_LV6?Qv(=R8aZr1PWbTdN^R-_(`u9*4iUt=oMwZ9LiaL=sXz_WMD`OpH&}Sp% zZ}3e1926rE4F_Wl2VS(p(0_)_^~UalQJ~4(mVqy3S1eDr;CgR$Vgx0`qio@x0=kJ zFH-8)Zl93ek@B5gMXY+#U}P~aEo0Vco$IxXdHxp;Ayz%v#mMkTopg>EGida&>&dmQ zEUTXM^9+a~xp~x+m);CxB&wd&o+~O8Oaz~QE2D*BJt=z|&d_#ewkgx&p#Bq*If2a7 z#BO&UdC=jama?EQV!X`A^0+9_deQ({L4aN7%1CBpJjyeM1Is6MCy72uOU4PVj1*;P zU28Kk7+`tuc;g!(k5P4PgBIQGQ-Qj6{{s#c!x=LQjS3q;jAcgEEHR_kGGn1DqiRD) z=Ng^?6~nHK`cp;5pe5sIS4IP6XkEL~$XMsfsH+zl1zRIF{^`bsRo40agT`e+X~qphy^f6GjEsGZ3@^4jH7+YG89#JoRJ|L<T-aBsxo(~8S_Q)ZrZ;gU?5QE}O* zaan;@!*K)R@+ZptVVO~J*{yNeV9XAOG>FT$?{>_#+RG!23Lb_18kb4P3gYr^SB4dr@9+%F zAa#~23v|rfZOQn&E5nM*JB^HfSH=d7%U<$0t=Qqwipv(0**8MtvS3@Uq9QI|UhNQK z#pTp!WBN2MDO#h>puDQ)ZrZ;mAyxQE@q-aoO#=0daZGD#s00T;9Yp*%TJ(wOp^| zhCN*wR$QKIWRN;5E^9O{2Q3*dq#Ql0xSVWc^tv*t^;)g~Yah}#VkBzuXvO6q#bz6k z(Ce=RGCLgz;_~CJB34{3HL`qTbk3szvVyqW)|Fw!Wu1}X8PnJxL6J22*l~H^&mCf{ zxEyC>r9ey`ak8~DnalDCK|R^aRm7?%bB!$e#3H0e>)fDa%quG$LacgHWn_4yuF$$xP@X-m zxZagz)sts=2E>ruJnG5cUkqa;s{JlA;|ik!uYn~@htnTKe{a(A4zMVFiTRFjFezTCcDG%{=4`%Kt zaQV6}bpJjUBe$1#<8SVpQC9)62{)r=Nw5bNgpa=Uf`UvPTOu>;?wy-;e}6&MB~%`L ztDTaqLH8Iewf`_F5*VLVn2DtJ!W{v|K`jmr)wC=5hBM;v%X+}4`MeCxu}XF!r>Eph zU&+r-z{4!9FQ5p%UXMBWj9$7BJ67nK2MLRI#DdI@!lRJ~WW+xZ2WhOWBjxYh#(2og zm+v5vQ>|i#OY#+ShbzWB{a3GIZXZp|ZqR1va)_t zgz%rGy(xB3<38Scmd6+GQIfFm`cIvjz5>0KnN!+{hM~|#7K+JYDG@h2SK!$5+}EDV z)CN-(zKK=R?d9LVU#BWacu}H6;pI5J(e=Q2z$KwxODf&RKwf^%|Hn`GT8X{Hc&$+S zP6v4>NDuVG%O$qHPhKv;7edH8L3)wwzh9!0P&~WVCflWzNp3N_#qH|tK-oz7!zhXl z)>FxTsA^uI+l}+3t+S5=3iriu5WpTvR!xF{l)T3t?w73eKQOi{S^)A~vDLHc3T>bD zLApJQ-dZ!JnQygc2K)+!_GD286ZOOvfk1F0Pi!qkrtpa^w%aAGmGf~@zaC}l)Pz(| zr_26ORPa{e{G#H5G4h!#LhuU;fGDPzP(!H{jKpS5oQq9qtJI2C`Fc{Zc|uo#@~9N` zlpTU0h#Po;66D?HlyBZN&r?HuWq1WNkZ0!@j(ir3LY!y8&IFeWmrDiUvtZ0Q2I_Gu zFAiYF($?{X8OLFXK=4&??U>xJf+tZTKBirGZ6QLUW2HR*K0Q@IJr=(k7%3)* z@I{CNkiKAT^&}a1<*w5@92zOF{IL~m8D+Xzg!jqyB+{tNKgX#uYJLTgROa1VqLiu6 zM;ZO*iaaF0R7^4voS#0IMC63s}qR+UE*XOyhk^DTfB8s0C`RUUT=+i7# zZ4^Hri`M6aNPYVA(4AXYte?d#O=nP@Z2c!Aa?W!mqa+jbm~6 zGKfik?O?z#La0N#$d^pqP+%TL-kQ3iS?&hhYT!&^2^2=^Mk__$uzny4O7&7pt6!vC z^lmJd|FAnNvs<6pot@cbhsJ;G2fJm9$HNaStp1=3yIKIW&)_BWg*)jf(>W;ugKf!M z+KMkHu062T*iiVJ`q6i2amvYQlkqx9BPMW#|+Y zVU;_fWa2fZTVy}pwz7a|M(Co=40-3piMeI&XTlo-gDuD*X_NzbMX#mtyWJf4MLxb^-UWjdj z$ZIbz#b0Oine`6fzhYgo{|ZAE;=WNdffNo9sIW6YIP57IAn-f~2s5}%e+YjOffR$E zLu4%yJpE65LWrD$GeRV@86t_sPl+TT>(x?37$WiDIFArR2~8xeV0We_Qnm1HOgtzK zfsHuASV>1U93;tzi5QG)xj_k1Q2aNwqeV~=h%oxZiQs8F*lKX>adhD0!Vz^5j+n)8 zL^OWN5dm2o5djOh0UbwR)6Ax<0#FI=YNQs#|a)n53>KZ^>Ad;K6` zE;mRjkisBgK~9jA!@~#;o@bC$a+}6`1{ow2gP%h{6%sskJ`8o1zTWCwt}DfwJJz7-i#nlCgk%HDz|uwd|rY&hsEmWC4zFz=OuC% z%=}peZyy%yUn-Yw2az!iU)NCx{$W=}bh=xgNu8b9rAPVC4t$KPf4h^6a2o-%&v0aY zznp-v~rzWUV1oAXA77aVBeI9Re`2zEFiX_~l|`{RuraN6S@&RW3%> z`=ghUxeIW>mI#&FqZICcfOdMF$O&kd22(V-ttkwhO7! zkMh;F<0H{BT)?+2`LVNO_$jIejt@3~j?3|7y}vRq9jqVg#(S5R75{wMHQIP!Xbt#XvP=Jof%(11YFOweN=ClI~RsB z1x5V>8~!t-U|3XLcoH^)T5uoSUtzYUzjC|fT3BCpw74!PipLN>?9tNBXy0b*Bsasy|B zVP2PT7bbV_tI2++(wME?md0vIK=VN}nX6>_IKQ)C=AnyiV{4HTIhHwTjdT_~&#??g z0b|l95e?O)Bz~5lA?VEHBL%Y$MT#sGU_tmfyfz@g<8|i!!YhY?!Yi|x?u2Okv^yan zt2-eAy3k$dPIv?ssV@@E*kz6;Qu%P~!h_-v$lTz|8@mvLfd@AbCIv0U=YwT@^Th6J z-+p5EM;7bcm{A~G1Qme@qfeX&p0?eQz_G_s)qTPdbrFu3#c)J4e##L6SsW1o6}c(V zF&tjFxo$sr(YpAdH*F=@L3>L4;9jj*8l?6;X1pAK;BZPoB1fCB@CZ$$$k5?DfWr+?7|~fyYp_ZTyu}TK zNkL14DYA^i&FN?nln)W6m^cwUZF60PV~^`Y?s@-XEQ$pkQ?W6F)!KyXbiZAx$X4X1 zcSj9YS73o_d-=`yn|rX@3WVSOun>0+wFhCtAfduekUS0+5F9+uAX&+6`V6d9LA+56 zeh!h7kl+#d>S`gf5@)35Fq^44MB}G5hk&e_Lj*#Bo7m+L9Ho`EE=2BB!*rWoXF#F7 zzo*;D(9Mh{f~$bjuxhrw{1p6kh}D5&@WRxCaoT6KpN1T7M^!IU$SSpWSiJ%+1)Dri zRv+XxJ&3ZVU+_LVU^hot3*?Rd=8R;ELMpytRg&lgeu&tiDVOr zk7^=SlXvEF2i3P18{{NNilu3?!}Acr4O(I5mb)30ZPtJAl+8{G$DUCSq{Jv9MQAT} zj8Q~~MiG!@6cJF3A&hp3N6?3Xi$vBy7(GK#}?i3c#u0GS*56K}Ok#Gp~(2EwGE zr6SCkmN%!PMNmFOm}25YnA4Ob)ABrSo}D#J!8MA_{Ix4i=^`3K3n)0KKW|}y ztbRbv{WL5KOS2}+b(oB1>hVo9pfSpsHFid2J$y?2VaBc4@Sio(HOn2^@*U|?l8kgu zy#{yDf2++Jb->Rdp$Q2d2|L~;u{s}TBvzTt#46GFX$2%8D^`gBCEOq^o3SzdLoxw_ zatsO@0reEQ?oM}5px)^G6TNXA{@UJ{mvtj{E)af8#zNedtQIMem@^EQ70`4h_XRg%db4hoejn4OP|93PxquqvSgdJX`-3f{WhGmxFZ}&VK zoo(f(77xIqZ=d}ajSqyq?w!Ox+$I$QtW{zT|K0%xS(V>UxC(fBF7 z1Z2@m1nA|aq*X4MOItgEvzoM^rE7DNO-F*sZ1y5m$RB*I`@Ac)7jcG`6##g=?+U$H z4CPAuhdJViNiOCbYP5aWPeni`m3A(N=E9R8ljlk1Q{1LM4pdkShkx~%G2txCaMfSM zc9W&x=Wsm<37*cMzE!w>U)P{i8?}JaF`S^XAc1ocZ~!_bCQ|cojKKqpF$_=~rc)c% zg#|IVD&PjfC>k9eg*g`%=5(|O%7+M3Oq>XwwtdluV~?Yc{#ZC-VG@tbV&ai#D5dd8 zKvq2dKla`QKCY^2A5MjV#1N+l13|e22omn8EgC4`02QVfAwYour3_GNfT{teB?{=o zrZVj`T78QY0S#C%B0_kr7$PrB1JnSb0Tt`@jaL$bP!OS9biU_Vd!KXm-sem*z4(6r z_xt|&wR3K3uf5jVYdw4IefBwr6wn|EDwu;uFh@b1WSHaR#3M=)Nws7lI~?SJ2{#jr zC{d{zF5)9ctS{5Tbwq?Jc#nVkMdu(bFfDSB6~Azj2U4Syzq%HODwR3zTIL{=B@a^% zL1hqC;fr4Du`}+UPoIhaS@z>;>#Lrt!s@=IFRPE9b+A7CjpHM&{;Czu7f+N&?8KoP zOR_MEU5Blti#(?u&Bd6ol>Pd1jJi#%|4)mKiPuzKm6 zff0gC>18Zn%_m;MZ@8Q4Av68m*{4C_c2jK-%kHM=?vcZ@cYkZEGo>RSKWwoORY+K} zc5TQscJWUck^~_`l0q92ZNMWKjnd-e|K{PD6>s`Mf*uubM_^qv!mEst$?q4J6K`$h z$6ME(fvf#b$6F=pMx!cJ+Gg?A-muy6_SrRo5rTN@e1`G%S^Q2MZx?`KE8^`ASYgT5 zjZ7Z-#{Z2sdXgG;pjRhcSn;N30)|w)J%WYD<;7d))wrGQ4m1e1C|-w+AUOL82f+`! zBg&(WK)w0IVfeK?DzxOV8WfQe1Di4I|MuO-_&i_%lv6g7(W8NRaua`cnN2}#A?<`sZ zk9prPD35vbxommNdnHcKdo;dJ#o4LO7y1~F7}xa5R;||dR*nqpij&2}*e4bD9+Kp< z$-VUR-MBjv@46VTw=T}-3MrMPt2)uFCRp0FoJI- zn6ZVW%P`FDw<*K$4ii^}wM9&TQq=JSgK_(Ov74F*#SnhJ4BABWww2ri^az01`1>09@BS#BllQWcfN4z8fobr|%LT<#TTWoO@Y_@vBrEQ$C**?8AY2p)V%_c#nh#!kULmUhOT zzzSF|7>sCo_;M=}CWxjvS5yh3Tr{IaHlqnbsv3+Yah-BQ>am+6T*tYmuq{Js4(da* z{U0Av_pFYv%NB-I#aI7LA+;lfgfag&gj6PlaC#l%4Bv0@fsIcQ_M7<`cT9uF2QEm;H+tbRZq^UDgbka7ahtD?+u#yKbRLAZ1%~K3jVnpcJ;k#3L==y*#U4g3z#! zl`9kzM=oHqs)iWBdva)CWqWjw>SpOA7Jq$}Qm#ek2PxzS@vi$Q3{58sP=4r%mddZp zK{Wb9i!|Ty{ZylvczQnMVB=0Ku-v}xyflzmaf8gJ{avS+V~AKCyBD{V<6YlJy@7x~ z<`K-$B0cU5@eA2)L<7%&Txsy14@p6#4BEiyn$E$LVbJDo(8)5B|E4yjtg0qfC$Z}7 z{}HxorrH;H{C(v~Yg)zi%HvQ4WSn0e%iuZK_NQ7z1G#nQ?c`+LR+sx4`a5>BD4@#MvUHA10QmQ$?wQeYm6 z%23-B?9d|GTOS9Avl|S3by0Yf@m!EvLg{p*`7bC}=mX8w;7Ce#r1L@G zPR}t*ktc$=L*qfbwb-CXRSQKkhj7SFiDCT>4YbJM2qee7#U}6*kb7$$mK5#+9d$zB zX`|FfbJU?Y%10_R&`pIDX`s_XSgnkV8aD1Jk zJx7YK=R>}}reFNxc5EEKz{HN>>sb)EH#b;=eKRqKGbzkQ^k8evMm!Gvm#mR>A6%xv zk&eIClWb@J)2~OrvLUp6&u-Gcbx>t2cP3{2O* z&GAErn&T%v(F`WY<6ZEo*jIHO?PE<6E-D0d^matd?De6daaoeUJ-6k1an5P^(VVor zf>AUdi|ODYH91J|kRTL1q(HlHgGRmwn-ale0aFj?94Rh_6oLQ&sD2E~rva+-v55*E zw1Vm=P6UA)JgmVE9=1D!hoV}+lUpXi!|Mzl`j#I&Jv_jFGk78*|IQo4gvxbcLKf2| z^ukX}NP>_FNuf=MqC7&JP#T=1L19-$B~DT_KX`b6;L(KA4l6VQ`fscv4LqM4Fq4W_ z=}9F#qUSxPr!PmdAb+sX)s$kPFO!o550BN5G88=NpeOT#Csj<`d$tOmuin26gQr0U zPa1g_(<$q-II8svvj z^Cz_+DJGr+bNV+XRk9rviwPG7ZSk&Q+A7E|VINSf&klpAqJN|T)gr%?DGTJLlG)}e zj!>Wjypa8YBzSnM1?QfnEZK^Mw3EY7N?<7UiU~tWZ^+!k+Of`Do3XVlGp<4X#l-LM zYiQyp<$J5tl_t((Cc2PXV`)SD6a}b~TdRDev{Ryo*2Hu0iVxmVg z!^!N%KowH?E-(9*=U;q=^85u@2Rpgvw}|JrSe~D9 z#A}CmQ~@(|WdO+CsK1!_6Ml_05eIpk$3dOtynw zW;GkRQ%pR6s-kZ26tyjsTDESq>9cI8=K2Nz)%<(pwm*6!CR7qZiPNeOQGk%y-ODJ! z?9O#{a0S-aKsoC4O@fbtZv5T0tg~^hnAo2ZgB+kjC-NQm8~+psrr$XZ?9Y#!4FFFw zJ~1D{31i67GO(v;_H*ziSDehYQ6OcC6jsMWdOd#uJVOYAXnnRo|5XLLbMn@A=@-y@ z2KXKC>J%bo&YkNx+{e7MwqSYozUHHo+I#5wz}~Ok9kTbG;6Qt~z}}Pq_8y9|_itGf z=^!clRoGce3XOfwNGi`r8lHhR6up|2q)nwgV{a{K6FlRTu%vH+gC{8^fTW{Z(k9b0 zrbE(VVlPS;G=+Ep?f}$WpkRzmDE(L(ca5}KGT)LvayyoP&O0f(J~w`Ee4klp&(0S% zKpXaVOnXvzNyHGh7_@Oauor%ciMz#A-q)S7jR*MNf(ZL(6-ef*7i+N0`fLu@J*3lx z;OCt9uETY7erhtzj-=6-uT^*ly!0M0e+?^>G2r++HctO1GgCsz{_j~3xKlU<)Fd-g z+<`pSkbxbVQ~gz_9$DsNxFS;)1?=b{1F6YDh72SK4H-zGQ`Bnp>~y^A%MuC7j&QLN zj1~vTrI@%xdyWDBkyBg${b}6;a5c>za8G~HRB-?dHfP43!?C(tcnivw1J4Qb}*ns0h*g$ClElzSo74Q0qy2JwviF(wL7-@y~Fu3;; zU(&$)xB)Y=#*mxLtoa0eIhsWesKJ7p30Uy5{ZR#;Nnr#^u2!$L1AGA^PhRH;l$G^Oi_1TV(KnhZe6!T0?=w&o<0b9$&-}sc6SezFordv)K`xB|51KCIEAkD9IfE)4% z9!C~X>@jSh7`+iE$$# zylh&C9`9KB`Ndlws$>guFp$*i4E#5UdTni?Pfu8iiy(!-4LvUDzn6+Zpt=(KrBvi# z>a{w|F|PC?2Z10K0R+)|NG;xTp2=e+&eD6RjO9I-UK24zGy*ETmXhp408RdAS2Frv zJ*Q(@CkJI5&-qS9JZBZIh=plD4f3QG3-764-5IhGDPSXR;5jR>Q4dP`3&DqQiddC2 zrO4u>BgKJ`f}WG4=Rgp|BdL~*OwoHmd8EZo;lExjDs-WMsKAm?;X^3H1?Lsxr<$eP z=^m8Wa*vZ1$*7~9qmIm!v2WozlqSoHj5AK+Vmy}LAMBx8I}WLNwOVwS$#5K%sZtk6 zhp^{$A32)qGf0Copz6ra;X*ur9&ZlL>bdk#nRb*R=Wv;JQ#*G!_T#Hy8^|&<_M5LG z8`zby&5Zqw`;{msLo%FOD1)E2%s!mGmPYeCrhe5i-G(9@Kf0Gk)aAvv41O{*$6l@x z8uLVWiY|2`Q`67kms51COQnCvPIa%+UGv9($S{RjqYP6vfXcf+diFVIA_e7mmMJI| zU<#V%7MA9AU~iD)yYjjUbt&8UKAnQ9TH;;DP6gE(r7fx^86*K!#Ut_(bbT&0WfO0! z7Qhqw)L{dFSzFJQK(-(9MeP?}Nk3N9c!y#tzt`!hZswc9rSV3{O|77;?$am@ zj`~m=zL3Y0az~HU_{Ye%o+F8OZNk|o`y?FTt&JW?y;?V6m(cs&l+Xyg6p zlZq>;Co^6WYB|R1Msdhw7%$!x0~v3Fk{nZl#j!)M6Qwu3dvd)G))JCh>AmNNssK-Q zI5t|@^qT@MnT(@Vf94aGH`;C(6pwV88&THQJJU{`+4!RKS0Dliw8!xF29C7HNZ+|a zZc`kz4pB-&q3kSd_(v2;V;^&ftJT-Q`Ve*$h)eSMCUX`TzaU^Ac1XlJPYQd=1om`B z{!PxF1!Sh>+s7F%>=%*X@8z{x=Htq^y45V>CeA-rJ~31$;$ZL^ZlBQ^DDN3KcF9-6 z!%>zQKf_|{X#3YHSzS*i_h#Gxlp;S5tq|#NgnC|UKO%5_-B|)gSCxsTLT17;> z>uaF%-!$tPuz-_+AZw*H?XV(NPKPW8R@w%9&oQch5SHFE13JsrA75D|{xrRkndVQY zJzDit74C*D{Z*#fb5qggsz4C+;zD-+)WqukPex-bStBn`;P7n*u1^`$`qFFJwGe$H zZr0M5)XiG%@z1QW3FmCpM`vCkZJ?kNsU?f)HXt>bNE?VdyB`@Mg>C~B5lg#45g(108G>7m%fyWR#}$WME5G7w~~yzjymgnpX8O!+CP z0nfIdUUd}x^w{rmv+U*KFK|Ob-O#0HE9cFr2VT@{gQm!V7r#C?C^#Ng zU$Um2*BJ#ba67ggCLoXsi!iP?l0Wq1M&3iieA)k=W)=sq|E{>0IX^H*wug|9#MxSR zRtYLcuU()Q#{_(Vcy+9^0E9flyknuhcQO8P(>zQ-s2U}*R9k>Xgi5?l)#wgW#09ZVNJoGS}WN1RESJg?nn-5q#fMS}Er6E7* z4ZtD|(1sf@lZsXeGh;}#%DWuRg8ackS5u0GzRZebGLOfY#YSL+lRnF@*Vd1K)HWv} zrRh@b+A7^U?8wq-?sewdDA&qM&cpmh=PQ2glO?#rA#q0UL0OpZPq>1%_zgDdJt`z+ zqBnjhu8!aUAX>ixYN`s`dK^B4-ZP5LGTZ4!; zSb*{ntgJRTCeWY>8XOaDgFxOD5fL={#zj(@m@76PD+LxEwdB}yu&u~&5UUL}BVRKM z=P+;5h+bsI&9A?KibkLr8k-G{;oZ)`VP{-IN*Op@1(OsL58>DA z+0@*D%wnX0Q@G7`*Gp;^13Y9FqaCNuzYyQrw$}?ptY_yisF+wF++}V%TZ``)>D#S% zUP}NdSZ{>;v7o}IzWK2u*~c;q?|v(8OOU-8ho$Ys-~c-IUUuAm3IY~V&ZK4 z8XC%NOvqNV1DkAFj;4{@m|Q}itcZF(pib<$o5u%IRH8o!?8%PBSij}jvyGjG)Qt5l z%;K7XAhN!Mrl|#2xJWyQ;IE};zSx+e&8dyh1EfiC1zV+gPH|ZcE->(ESOsh`BPmXV zr_rW>Surt_ELG#D!NE7pJ5X%=8skdIiVLdZ-(lf%c@^i5V8w&@)$C~{zv4l4YnkTN zZNm2r^gtZW)~%MUdKQ~Qs+Bk%*cU&=#HWOzmpf;t9^|(VR>r)tJxJ#BCXXUmpKZf+ z2%zZ$Zx;^>Ru^P0V@$IeG+0X=HfS&ug{l7pY_K#2k!5PX-M(@-b@ka46r0tG&7I5f z;Ivu>?+de%6mWOQo8`Xe?hsDVz@6%Fp6-NmK4&dp0h}k5Z0zUTp|9#=U~Q)>1~=<& z90T3yDj%7vU|#OVapXL`=CNgR$9x?&6W%fJ0f9Sj$QtC1Id{+?6uS-^SUd8`_#~=_ z308nYGD#NGnPe8bY&( zCz%5Xq-x{GnjG-N5ngHs{?^dpkPGD2KpSrjESxgN$0|{%!&W!EIByOre~ok*PP7yI zJ?ZzyA=;`9%5>puHKycoxKM5!EUZ!&vLx4!?+@$6z*w~er&@?`ZwGi_eV%dSfSFlz znKqPZi!8&ldg33i3x|N7d%|s}zMn1(_cZO3cD(7z^K{xHBedu7;#hY{VR{@84=(a} zNOL~+2x!1Hg{MQBKSR5)tCVNo)at9uiAFdS>L5es;HV0;8Cal=0;O>lj4H++!H=Ov zD_Nkz$>QRh=jyl+O`iTdGA57uy`COnQitEvvR8_U2M$#IftUi1 zsPPHz&cT9eW9zLl&8RV=F4)zsOKPD)#@jYp>{_Ps32rY~X1WuH}{Z zyx3l!LxVskr?x-5&(bNSYOBDF4K}du3gt~X9p%<$C_Z7cd=gdbb-eMZcnSwn4!sgT zbRDvF3W>mCoAZy@ppD+o7shlB))@jaepo=_=ULIG44afIZQu-zGxdNqNM_)|b z?_I}ge60oYT|7VpU3S)QS_;J9sKif}5`Pz4{7t$ZHYxY%r15i}@E=4-i~(BXFLA_C)y{jI=gnjy9=xbkc)CN3-~&yCk?e51m#_-86vsdvxS53joEQprfEO0G65 z@ovwcohcC-Rq{W^qU{o+$um@Mpr&-6z0K=pNh;l}Jfxr>Ce6pb0|NE~@%z+V6Un0C zph7iET`74V-H-SAIF>aWcrt0fe7csFi&9jwd=u=IPuz%K>%D~bQ_qWW+0B$Gx@5}S zk(?*gl|6tfe&At5!_;1NW#*VU-u1Xahk-;As&gQLA*s5>Fi>}@7goi@D|ITSl0Iiu zf^pVlwibtGPHTO+1yB&C8G z$Xa<4fndzyks~TwMQZ%1Sv;PkOHS;0#7a_1mT=GBK|5K_i%?>qTA}pKy_9321JOPj zgpxJB=s`(G-;+PePkDkawKVOeEem212) zr_q|8A-i)EcT;UxytyUa)Q=#dUkM(!k`zJG5x)hqmq2Wo-NmWMnNwh|)2-QnbEfE_EWD)XNrm&tQlcMMLUf~rQj)Dq z-WspZ4%G2lLq(Kl((%I$tyGIhF5v?f35^CZT(?i@kuIgj;`f+MU?!gT?BRukuTwA{ zGZIf9kG&CQ7Q!6QrS#XYb%%p+Zp+-EaHL4xQ_74-Y?JBVF`>A@ujwfEPGsi~6heOW2ii>+47 zn;)sU5$9~h$j;~=REYAVgDyQvu@OfxQVJ^HLRmE`M=FL%XJ4_e>{gy)r<|l(OewbJ zOG>euuyqyd!nrL|Y=cs);_x8HBy9SM4N}&L6vG>heZ~GOQJ!K~e%MrOJFVDjE5nNY z5$Co{v8ieRTvtl5G00j>OgGe1lXWst*axL>qwL5RWTKFBd@@m3z-hhEz$3#0pr8s$ zb?2sDsp{#I(^($sdn0&@GZLX(dJ&3Y(is&Y07%4S%dhX# zQlB|tC&}xX_(oC{8lhukzuVO+XG69V;>~G#}*<{n1N)q0y@tp+sv=x&f#e_tbBsbYi z8qQWscn8N|Qn^w2iDE(`i^&-_le$*Lq|IiMR7@&Q5I-RinXvPaCa$rW6C+_PdxT zy%g5NN^j1DD_3KGzDy6znoz&Lf|a&HK5{5F{PTQ?`4RPVGM|HvliR|imfONCt#1pH z8jRI#VPWU4K_vzJfE!tZ%9WD-8q}#csP3w-$HjQpe}IpHnCdZiesw2q$G!v!xHK0Bp}XajMw(d`!L@!+ht0 zFW8caD99qZ%}I@a?s9CLVw+PdtIhutrb1hfvJ|#2a4R3Ohk3|8WFGR@=Gh+$VsQjh zusBZASk+i-t4@+vu+|m_Xm?F0HpW_E(3@**Sq^J$u>muw2y1O|WUNBKT3dBFng#iT zg|4O)3w@cK)LL8Y#JlF=HzIUb%V{5vBy?+WPIg9&GKl4D-SzrHrAM*Bp=j_Z*h<1< zm=pl5f}EII^E{TS#v7g~Cf;4GkbWgD;C=6L8RDp3Db@WY^}csCOP<0oMA)D$vS>6O zOT`!xV!;WPB(e@1w5S(mVVKYWgy{ik692Kw>B_}wH-}Agyh=H2S*kT%S(7l^gl}znyrK$P0PtFt4$h zq;=v=Pw)-Wz1&W`Nn}a#ew#_9mLz90u@i3+S(5yX21Ymv>UHN@qjP3E@g|YQq}OIr zaR$9{g{*Hf)Ugw95|Ig{RffI9X3{uEF=@Beu@i3+S(5B+GfC^jyUk`|C*CBonEY}F z+a@~kPTNfE#Jkl^yjR&w3fkFPZ6aeIz%&cSGMucEbMY8^zrRG{{m<>GhelZ|@jg*kLyz>UY4ECHn;6w>Iz_6f zoNiY`Dhcqf*wq+`_iuOw+H8W~`_Erg{TVE}+=|5e7t^*?;(gfk|Bocz zk2U@~5^n)%Bk^We>H!!Nr%gC^67QK1guNC?qZ4m+r7BVLx#Cc)jYn>01-r~1gNY-%&x?T%gM@}6Z=n`v!#Y^KY5H=Ekb zU-4dFmwJ>Y3zFa_oxds!@l9ptuOy=Ku(DD|>>e;_)A_4%bDxQwzmmvuOuQ9-yyze zGikU$F=@9YvGZ3FS?Zi(GilZNYn#o)&RrEAy+HSngVpU6Hf0{LngiR>=Anv_|M z58zHB+oP&!bE@$Z*#%OKlgK`^Ng(nISZ+>;bdamm?Y#GzCXBwFm&K=T*dmIf-!pj- z>BRjc5czFLX*8J)k#}JAvK0~6+tfBhW;8V#L1D^iHnk0rC%L@$wW)20taW++okj>; z&4$Roo~h*aC3#$^eN(k-h;-1+hDZ`oc{qqtN9-OzWRHf(90&|aY=|TgnfQ{-v?UqV z5Sg`^*bqq~i^*%RQF&jTAq|loHWM2nNkk?-MBZ;RY10ttpqmYmBq9?ZA{W|B(i$S$ zY)Nd0B$1`gfi{zs8Y0s+6B{B)WU2Fqe^@ptT!dBu;3B54Owi6JV)1OVOIm{ljM_) zWBB6+gySW#?+)v!%%L*x%#>N|wmH&w5ONC(|)h$IoKgo7xE z6uSq&v_V5;ma!1XZ9^o9EV*lKNh;4l;c)I|LnMhTCO>_drt;OPI9D-o(9MQO5|N1y zkzcTx^k|55(9MQO5|N1yk+W?kt2IQXZJXE-Ng_*~?QJGQ8X_HZvmug1mO77Yv~05J zB4rc8meD#kM3Ts2@@ZibK%|72hR73kB_|(C42WFAQos@mvYJ?N7$RF=vb?-kL1gby zVTgQDDE<13bqF(beHM0=g2~E9%gbvQfGKVp%?v~2Q$p$2XF%l6y1qu}=3`eWi0oI`Re6l6Aq0{0?P?5&yqi~` z79+r~rW8bORMj*%)%Xzk&oQHoJ&0_>Nz6rl?`j2+4sw-($o~{pdafcGB6lBEqAQMm zw0RKe#Qh`?d4gG=3z0`*9kmq^yV}$?L{@8RA0q!kK?CrxA@aAg9NzslwGEN?X=4XQ#O;S(d$obh$Io2_z=0B&7?AV{izL+B(l_b z_%D`Cn$nTzW}n7o3(rM1d{jUFYG4~8M?d6bdXL)FHbf3+YCqa;w5e@~T;cNmm`!a%plYR}TX**Xu}n1@okiN{Mj|rtXdWtmvw{LNdBuJcvcvO+d_`(r7>&;1$$Iu=kU>Qh->g zpMcUegaEO|uEqewGj%m}W;L~P5T1EV>L;L_YJ5PvOZ0XCac7+LUwP#{RzkF*E!YfP z-Npp~)1I{++PI*Krs=9zz^1-Qx2yTqNww;A{Jkbo)8+mHMr3JL!4BT3;?2tcfmNHC z3qslxQcTfkKu5F-AsQ7^=%ciEUfR!69w2rwX)uzIlmj$Z#G>|M)xPVL4UBCQM+tyeO9(}@HKXRTzVTV-^BYC z=$Wa-YCGu@Pyh9E3h$5X(^KA2@nGS%)=LB4^HZg+f^k1>^`5d<_~xfNE&q~-I4WTr zyfh&6l>LW)#`=6F-c$A}7JMc-#iT(o2|Z31{d_??%9Bw5Pivp3D%(!!Aupm_VAO-0Z9~B89?h#xwDMcJPw^U!;PoDx*Hk8Y%)E+; zwC2U3rXg2rO601yAid;W_CeR;pr2<~NYF?@@_2sIVExZ~cczw?1l_jq_k!y%o zV6RbIuC|W}uaxG+#*x*Jg<|3ZHn0AG*L9lLh|Mc~neb|h;PuyGOUI1?uSU&lgUzes z65*AL;Po}(<>Y}?a#EY9b1(`kUi`7LfC2?S%)JK#oiMktBJ^P39;Oi8Iq$(hC(LcE z2t641v!Bo^z9in`cnB2Jb!@B%J&yN9n@NdB&upv+J$iPE&7{PmXEs)NkDmEh5wn?i z46UlmJE`Kk?ZaWLINGSk#)_=w<;3@> z;+2cw_2Sck%;05U#SG2MiSLyPD|$6AZC}BPJ8WJCR*dpWIKG<{Rt!b(I@{)DV8yMP zmlNMT3M)o6FB2=?Y4b9$qDAv^;(N8iiprCMPQk;Shks<*%fO25HLqbCEBX{xG(_;a zN_aU~@!O|Z!Gu^b_@uJt1fb9zJE*~#$=Y6O^KsgY{_faY2Rf7e&xsg*^m`98I1pk- zf9PR`FWF4Ih5HVK*wG(axZi9u@fPk+m??M*_xwX~PjlJvJ09(!3m4 z=}=HG8o}$2PXsdCP;iju<-kgvP8&`SrwupRybLJ#Ew2Cy99S7ryc!~SeZ=NvK*5(Z zuTeYXHYg~_og5C|;*h1I0RR@f;UXY-OFt#*tov~1y2dJ4+Y+$eJ6@-CG1?tD!OuPl^DvJGZu@eDrfw~U`Z_{K!UX#33L4k_791krhNPv8+ zpkRg67mOgJsWcS4-(Kx$j36G+4eNyO1W-_K)MG=z<(iihz7s&fhR10mKU*=fg1t2_ zCwwP>f)zF|0}7tym2g%t0Td){UIr9=Uh{IocWRqJXT$6;6ukPFrK14_M{8bA_*Pyn zyiyUodTm|?6uihQ(9y{XCV+yu!pnhzA3jQ9Cxn7~231W?T-s2uOPvD+FPe;9DERX} z4iprG+J^#fak>-5HWY*wr(bI`@fKn`QEWp&Xd(6oY$jY|%?@2faS13Okukn4CSIn= z0x0OyP@rNi$3qJW-U;%pf`U$|FMtARDh&k(PK5?R$Qe-ZZQZa=_)Y)?dl>cDP;jB< z<%I79Q1J9R+9(PNcG0|?-nUNm54pQ1GkwI#BREq4uG`TZHXIu?+>GMcC~&lZtd?6x&chB1Ulx zW|S$qLu@AAf@7!Ww6g+l!Lgqe{Dme9prCGnl0?N^j)xW$6#r{0pn zcPa%1J-T6?@SOk(-euHdL%|%)%L(5JpkNS6M?*oS<~4#g@qM#<`2}d1Ft~G zf^Dw}px`9oRy~ zr!TglAhg){Qk#jlu-1uU8wx@TYwK(#-ojd^=d_`~TUhHu!LMnu018%WC{Qt%;$2|Kyc$ z_)Y)?SJ=D^D7atqa>924DA>p5WkA8DnwJy4{cSKX^0HIIQ1H`!OGg6=_Rzcvw!Qik z6f{Key20jUK*7_z0(924DA?8J zWkA7snpeTL*G2^ey{CntU}&vnF9QnRrFl8wJF1{yD1z5@HZKDT26+Yc>a*pV016s~ zmjea2e3!yb2nE^yR5dwqX+y#DaR&+pO~x)1JgBK5yMlsMLhVC=x2DvIVjBuVYf4YE znRu%$ohY`UAhg=@oi>w^v>CN|2P>PMh%)OTWkcmGyG z!C6vY00q)i-Ld0XUm2@A-`s@72waa+Ok537Wnjp~npZ*E%y0M!Fl4yT($T<>-83&J z?ip_-B^>t+dc{HnuO^$9fgzvKyn1aNC%}*w?xT(lhMa?w#l*f+ zjk=^h#3EmWQLhTFIrppbMHqg?W$e~}7K;lO93J1N3-$7QFiT!YbNw+sF^DBvsXV@r zI#7=b#l+90I=-nopB;v3`h(HQc-M8fuD>T!oL`^h_qJNrXB*Ctdvq+|eM11>k+k2n zKdZhGA6kyxTLn$JGxaIs3&^M5OYJBFo`yp3AlGXIM~1e4{{&-Q#57MfRV|d2 zYHO~<7m!lRaugSVwB)h$yhj)C&(OE?g_RJW$w5xGtLn4dV&aV3fdlY^@85_pU2+N< zbU+ZTetW#Di0Jg}2mSG-FGaXMJFHBg%XR0}+An+=jwZxQq5aR_JOmbAF<5Kk`zaB= zh73CH>$TMKaa^BSI;Duv9y!_1AGzOmD%FA^eLM6yOnPEvTm*KY!!__mr!A$gHOF{aSgNmHTBY|MCtclpj4xl;0Go z{DF|#P`-hNf?iaf`$5a&qu2#>AN-MP%YR?S9Be3rJZ(9{?B(1K1`(;(o zp(<#Ktl&~qP)yusRHGbU5GPAXyKBbYmN;bGNQcId<2R_}`%@Ff-}^vWOq^?Qhh?%5 zq@4KM06NpUYCV;TKkjc;{H-?PPxkXi-tnz+T`=i7x9Nf@BmTHwwhJ!azJv=7H^C10 zetcvDu7T8s3zijsNhAJbKY!$%z2z#Oo=EwPM*MNVY~??-T?yq^E9EQZM1{vUA+@3W z2GZ*A;C@-*kyaJdMOJWt9VN|m|OUI9hKgLZs{tApy z^nd-b)gNv~|JR4(fdlb_{ttD~N<4+e%R!JJ{yrZAiSGAEus%D2>lOXOdxIE{5J(|F zR~!3oTn8XTeq^=oxgEir-^K91xP1WHG@sZXzu_-#3t4je>e%+Uyaiv}{)r6BeVwKv z%VB<=Hl^%=w9ZowpZ>X$GR=<{$9mrpuA7C%-$zZ-@)hH``PlU!1Mk+OX4TKVzFL3a z12*F4RMuc4A3I^Wc-Niy4NRSz8l(b8e&kNHwCdB*JB1{deqOhbDmJS=*$gpK)T<79 zHPn$gtiKZ5fh2ys&s5gkg$4!{UZ=cHSAon7eLOxJJumjY3Kd#>VHLBavGcYwh0J=k zYu6u2|H2eD+Y0=e%@%y36)Y;o8)+f+Rmh7$8QFq6@oVF~pr)-RX_(*tbVr)=9#G0- zA}prAE!2Zuav0~gg-8(kcuo(_p)fbqs!8HqOK{L%w+A#W<;1jw;~wWj3df0CVZD6f zZ2X$mSoATUjE?j{T+Yn49~C3}@noQOGruvqN`$nYI=kU#J60^2kB@fX=ej9lHBc31 zV`(ZKlvgROBk%#Z-E5F?Kh&MFbfVvmo#!HUU@+vi8Y? z><4$KAWO^V+poW!L52KWLhRAE;Wr4cUQ}z0f>I!g7Maaz4upOO%3`8{RcHwn4of$n zG_E=CO-aKD-_i{fP??N~{P7Tme;um-!|)FXi(F}-0aV4rb0UeLbG98O)A*l`cRh^j z#`q^Ke!V_B1fq)mjuRL^ECxSl)h?gg^g*0Ov$Yfxi+gB>sWnf&wIkjWKm1C3?lZ5X z;)f2V9t2zdWmD7E;bRbLP=Ub)M>MZA#}6H9j-U8MGngbfOvJ~OmN(7<*UrIVN{1F{ zZO-HE!_D=LFjZ3}IAPm>S5Wy8A)}9nQGYS95x<7qGJpijnnpE%q{1?Q>~O}9QT93r zRTL9nfVxoXwZ7<60 z+KUR8vKKsoBU|_v+yR5gfrG_fi#11_%nnncr3Jc7OT6o5*v0TKbbV;s_1WY(I4}Dc zF?V2`K)&b$v)tF2eWsSa(F)&aI!t^cg+gPyOF`>=JI(_Ho`z99Z%JXN5u!!A%@@B$ zGdzf2Elv|Sh#62@O$^1xiuf@uhGc~zmaGX!*45xR{t0{!JgB50RLNI^N_Y`69T1Q= zn&g;b06Q%ZuRtKn1_hjh4W4<&>Ru>Gs zBJEfjk6-J0yO;Oug6ca5J5Y4&T$zS(FZJLO>~jC6a_w^Ut-+ETW?NY(<@CBUoIM8&Z;V)(;Z&)emVylsX`Lwb3ibu4v>+VgJi|59y5d8m=j?)@!fwA z_V5k9FWds%AZr@Wquzy)!}xwa%@;kI%QX!;=$hp+y3n(H-bea4O9&v+9}xkpBvBjU zzU0HC$a}5N=e47T@v}XnuFulOFuChOL&}8~zgDgbHGY+$bsl~L-t=y>{kF%{EhaY^ z9nzaKuvGUH%x|K93gPXcperVJ5Z=-!XKT;(0}OZ@g9=7`d3f6aB1pp$L1dN0+cp(e zSri&$BJE*~KHrP;h^Y^wrOLzGeQu^fX5&|5O*=UFSYu%uuekLMtNNaPwcPsdTh01@ ziCeHh<6w=yT^<{%l;fOC95eYKeQ%U4vKG{MewID;$`>9P~hcdl0fokEPVQ*Nq0 ze*4VM=4b@T^LRZ?fj~ypGq~F%yNwvs&bY1;>^mSPo71nR-Kpj{RD*Iz#`gy`Mj7t} zbvsLq@3!PR%xh-icAf2@Zm*tIpUGSi=$ehtKdbbIOlJLUPW=x*9--!mc9m`8XPYeG z>~redt>ISf4`sO3%Hh`BDPtRHQ^=_db7)|g1{mhh>uH!a*Dz^Eumd0%SS%F7v}wbn zeZwpa8757`z=&zIMJx6*)wAm7V}EXDK91&Ask7~9%8dUV*XH`U$dKmZdKw-7d~0;Y zvo3WjsL4A_&9if5MAe$v$3VO6elSJnU=REi!JT~>sJvIn%a`G@WZ;M1$WZ$Pe!VtU zE^lJpOKJj{krH5C8TrKFXdLu|25+PSqd~m2#=F)cSwp-b@ATrW<$PG)J2b$~P1u?Z z-KHwlTTv9McW*2gXHH&)H~3702>qML!RUlr12>FJAzmI-&J4jT^t{W9FG(jnj!jf2 zER;!1HarP}Nju@7`Y>KBLftTz>ZJ9^Uwf^9!1x|WLwGghxEzdkRC7weNHWm3I{~pP zD~Rn4Ij6_!@SCsM4Zp~bcE`rakIv1<-guGu(OdY0-%M>vpVojdU45!l@c!vJG*__`D8kF2w$PiOKnhNuKRMOacJ? zd5`&sGRABhViNKH`m_5E;{TJ#N_f_#PJ^0U!qhyAn8qvzhU6pcLlW=(vKdlIxTYCW z@VSbyUxU!|bPTC1$Is{5KY**K5ySMKtW?9!nJ;Y4uoDCMLMndZI`&q{aI|Dqw4C!d zcrqv^9;nxRi7;_8eglD1Q9A8t=|mF01n%keYMok(iMPI}bo$u%Hq@zC>6C|^CfDgG zSk==8vW*0?U8rSy>aW{Ewtiv@W=523&qLqIWxEX$7_!ysDI3`B(Jv^w9kDHC+oWVW zvn<)BK(=CHrXk__EK%F^??csO7r{R0GNSU~BM=D1#A{HBeK$Zdo5B~z^?);lVAD!sWhC; zrPJ`J_N5VviQPONXrUH}5zH@frq^g+Z@kkBqEP#~tuYI~o)3b9Z;e@Mu9C`WYb;n9WshlMj~zTNut&o@ z(;g+pe>o6MY7gJvH!8$gi9*)+PoM9{dHDMU&zI{6`{b$mZ2W4~v*6&XZaE&WfJ)76 z>H0=gegF7Nx%J(*ob~+@zq&rE@7Jftf3%x6wI2VSjR~$k*q0Mry|P_Z-@`2?xVRm_ zEd%gfCVbWXCfL96Lf`(F;JR@~kj&?li6U5^&EYy&X!-zc(hl0}$1axDV8U}0Q)zI> z3XCFP=(qp8W5w8t9Rfk{9KkT<0b|HBh4P>WHgd8R^42Z~Rj%(>IcJ+rIG2JgFy(XD zI0@$wG+UcY`OL>vH{0Y~g-lyY7TOjiUgs% zRHQ&*ZmPTLSCN9?T8AB2N=*q(d(Elw%irIzBH8uYqIvn)Eq~gvqN-5l-8t4`<(Fd+ z-MBmVXIwA;?%Yn0;x#ocnUXQ5`LsSd_eRt44j5H~hD^M1#=h$&Mpz*vM)q0GUAO3x zn{(H#rGF#65rdl^h~_w2IQifG0qtcN*(|{u9=pDVQfRPtY%0cX#!r8e)A`Z-A|3a! z?*%Ni?>_Md@!j><*uEQI$}xcWFNanfFx?KKc-MdMbU(e7|GDs!Za8)Wekh%sL@V_0 zVm_Dh?%Wp>UtX#brX7A?PBMpOb-2gX%DZ5G@{F#-jqE;v!q{<`d8E9LzexeN)i43gs12+1$cPOw{qD_s!YJmL}V>*9xK2{0d z*h^s=ruj3B9Zqkvkpk9{1MAh_6)SDk9dQc#zauthhho!c!EkI|`=7e`RBUEu)AONh z#rVm-Gj!+ruVj44LOa)Or9;YRNg=a8hcCed$Q`OxN`+9em^kfoDuf>W?KTXd4eWQ} zA|(f&Tt?Y0Vtx_z7ZXq5*Hcq<%SZ{lZn@?on`AgXa!|4i!9x zjoj1Wxp>#^(1NfgqINIuqtA2>HqDoWdt*YR!zmZhXTSHMy+y?4?S)py1k8wPS`7XOpnUAD_`K|zan6C|+DD!#5 zG~X=HOlm$;hqVpqCvjHSOB0(rWA_ba+VX|8;>XHTfB9ZdJAU;MS`yaF3r=S(wOun#QwH-4q5Ob^bax>rf< zR^D_!?7C!4J+Jd+y=%A~tAr+=`$^Bd4=Qtw$BM>f=@|E@yIEl`jvedW^&PR^T3nIr zlEt+3NbOsX1R?8@LR$}Z;t{OUqfXLlIC+mcNfk1)LCc*gi%6NMK);ZXEG1gjK zOAS|&H>*VD52K(}c>x!7<&R<42Q#bkx~qg`4_IR4^=Y&6J2A8iS3V2?17!;+$BufZ zMPY;DyFekx_QtiUACkuI&ZVfXx1<887!Lh)#WIZ4bPecUNSA}`9QNbTr%MOTo68D6 z#ZPIypb@l#l~fOmadBOm&7s!tG)>eTH(*JDG{@yuP1%iN zzOtg@&~F8uheXF|;HehM7N8Gibc*ALyKZ?PXy}$$CYAwAkTBXpMi@j|=x!Jxu#hFU zaauu1S*gSYy_PyODt(Q2lbz2XQ0Q^2#xw(tMHycRLKT{9B{zQ`cl>2eq)Ga11gtQ)OHMb=*Z9@+5&;o6NLvVr=Yo(EIDbdH-!AX<&#^ z*{-S|;TD-Fw*xcqQ%qE8?mPvJvX~Om6Kq8Bc-QS%5RC~o9#9C9;h{=URrGI6;v8m( zc#geT7F!ec*~rcq`{dgc?2|N@A({=NvwQLe>!Ufr$Vy_tZIXc>QoU6GOJGPs$p?1R{J~Bq({4u7K?T54=YfzxQ!? z2uie#V;!EuM^9#U$9|-j;Y`fxa$%*~lJPl$YWO@6R)%11pzcyNzxW}XMS3Y;OlV)I z+*2s=mW21yzG|>jOnl=C?fhv^Fl6I(t%@W|-g!A3K>F*4=K`;gM3nID&W+AwAr*Gq z_ebk^W=w_Cxwwg_xG}p z1k`#o%o7|`}s>;9n^=D`9T>Au;1T???>`{ZY zh03A&DV*Nqs$JAEMU}=b9je&?L$k(YrCH_im8g@_@}x3}Rp%L%sPy#8iECwfqt+kc zkbg$YZBQ+jHd@Y_!fBx z8k^0*g{7+%f+;<{<))tn`+VYh{94cux<7C}C|q=4B@-f8<|5jD1Y`hc^?-30YzZTd zsK2@?09%<+iLv&N+H;L8H-52SL3eJ1X7)Qz9|78w^$Rp-K1-spoAsDA3l=g_fm!~q zJtiZLBqk|m$&>~8M?qe^ixanjvDeD9x2-{H*~_B|D1jNlI4kZ-k;Yu;_nKl!7 zN?N85rEI>Vg|EmgH|L~1N5;gAdfvmEpO?_9hv+43Bc>WiQmFoS;J28#XF&+=>=yHR z;j{oHI+$h;GZ1ifFi1lkctzs;(vLx5P~idFby)xDRfbdo^O2Z|oELipOL6(y8RB3M z*c{)VBs1b*CCH6vGTM`k;3N9RvAfZ%5UC#pQP(jPIg5R1;imX~IE8ShQ2`Ee8vwfo z<7^`ivGQGqsW%tN0up#hk;C`r9Ld2@#gl(pSx41O1Igt{4K$y;L4^l*VW9V2>a_+< zv!;M(2%F{Q`IcFxD(MRb$Vf+r?%@Wo>#yZ?2Qm63WQ>zp=o&w#5XUE23)rOvJ-FBw zJw?>~;U!ijCOpqPzVe!*C%Ssof#y|6>vtwIr!3}T0qUSb*qZ8(cP+;iGlYk6Y=;^{ z_>BLM5WW@-;D+!IZ0j4CO}2U(a`rM%1G1M;}XM70zNzBn{oQ_Ob?g|RC|Av5*$O>Bn%|JaH%U$R{1hugZuu@6B&;OUh z_YUw4#WNKSIad}ZEzAB}bHEo+o9Btxrf_K0jsw404~fVD^JYdi^PRO%%!L1hvcH2i zKl?i*D*MaNh9~-7J@XXgex>ST#7&&{8Exw|s+IS>bRk{A?>SnC&~R%u8tJi--jpF~ zW7Ft^UkGwJNs#{ZgA(c4L0(IHdCmi%L`M_kIb^Rv(i(ndj`C&e&b3G9R+~8H=p0Ob zOmZs{jlI2HXv|ucN`L7NR0>9}qXSG&|AOC%)6>U5F=={g8Z`{~qw^sFT0kcouAF8$1uN57ySeSD5RtnY=5> z?We~o)uo4U38E+pKg0mr)ir;?Y83_7Z-vTN$A-9;#e!*{d*$@ly|{{s``m&%O^@BA zE-|%2#frkHc&F-`MSjJf3ze^qonuw3pxF8Lcmc)6XPXVVl8mtSgqF9~o*aqhT(U-P zBy#P^DqNp3b_AM4Tc7P|GFpveC(O>si$|#30Ul8RiS`Im`yL@TwlnXx$0wvf%TO*9 zn5bT(_!|6fNGxUtHp*$|q!L;3Mh%6~Af$Fa|ohgjBRjucYvwJzM2nj%GnY zz(UJYiiN(+ie$=%$7-F#2=*|&Vgf*SZ27N@?yGkD2=A8;sfl3Xgv9@F*7;cv78y>~ zqyV!{8Mac?sH;~snPoxZyZFp0*G!O+UOiC;5$}38!NRr-h64_Ka0G=uN6*>8pN2(9 zIj!ey=qeCNUBGjasl1xB!4uHjJpnT|@ue6f(Dx-h#5RR8P((Av9@dOvN=CZl9=Rmb zSNP~VAITt}i8^AIFgnIHIOLztpXSyTNEh5I7j+Hne^nJ@*M}{ldJ@Md9{TByv^ytO zR5Na>ZJP6#c+RTR=vbj~)Rbfra^N}RbJa);T1F0jU~4sN#%=(H-XPN36J$`pm>TOL zU;J@=PF-bu&Sna?Kv1J<1fvCl{8lx>7PUaYUpInEf>a9xHRwi|F#TZ}qm}Q$A^(ho z)xZX5Dmg9FpB_-jczWa6T#kG6IYAPn=I7-m!3cSE*PJjaHwieoFSlPd;B!K zjszXvn}8bVc1X{&Ipiq%d9h@?>u`*^@O(~EuCC9H;G(AN&gIU-KHLX!zLNVC9_%0v z9{;ksm}fsPN{JkOXGD!iHoM7Vt=58|*G`~tqsz3yCv zr0DX5qU;YO!NZ&1`_UPkQJPwl#v0?Td_!A@dMK@(^3nojy`gQ_Gikw7@oQ)z7UUb+ z_9i;wEjVB)7L+%%nd|KZppdTgKqbC!YBje2Uv39**9pAegocD{btzj2%!$|G9E@B9 z;ojmAtk2e7PD^?#?WGWdEQocL8#xK#@ttr>D4k+rkiwM5{*|w-o06#kvaf^`U|BI< z=MgOF*giB&AQgnp6{0cCYCDK$& zr_)*NiuL$*PZESIM+$8@q|-b?d;K5$z+Aj5K@anWe_p%t-8O9yo+z_WZ`<`Yqqj4- zr5B+GlEL2l0c+4U?VsZ?Z(ntCezjbX?y5>gca^xHMt1`yA2$8peyoRfGidC99maLf z;gSa@Qg0F?y2sVYxu^p;Om?b{-DvU02yVudMUCdL2+EHmN7fPC zy*#PFB#meUe81=0c;uR^-Q{IFFaTbru@py{>0aOh=LG%GJvWqKgEgdJIzG)Pl4UI@ zg7}ZD8LJ0CAy9wGsRXJ!@#~dWSRqM?G-7wn16cP6xFWw#qC8r#6>Fi( zWAhH1&89jLqgAolc``OIhH9@LG+WX%sct+AHe=s<7vj%*fpq}|IJrhdgMf9|Ai+>C z%yyu4?zMzgMH-;%JS>aOC+6ZeJQGExq-2O44GMQAsvpcg?4SJ$VNUL+0l`usPkR~LRw zz2Zwdh01dmrQ1AEWzuFmt7)F`a}0;jE!p#Nafp*qWI~5PHL4|FJ_QdE9F z=igPXjy2G+KS>`onvU&hHXR+pX}TvmqFnMR=$}tKfnT%f)U$nL>bc}ML9qocxr8hX zb03O@ykhQO%%-{3SP~ZBe(Ar%;=e*AV|93R!+(8uf%23W!Z%+NWv^SHKt6FZekZoq zHK5pRdu5Qs>u|)=I|M~-A+u>CqVmPXN>nC80w8-m#%HVUrLxwuRV+JJz|WQ#B&Tcf z+(KoHpTk<_d?Jfq(;)GsEf~R?2uNHz4^;84LwLm!i{3i6k1ileFpa>2SEoM;wwNv9 zQ(v;6f(k?iwLF4O&Cm^&vfOH1c7kH~&*VJyphRtWvVEr5)LJ zbY(mEcCWEW9cnC{mZTO+FERzhlUh+Vmai2WYp};^ELMcZVvoWuh zB#m{dnLek!t7nA%q38iXHTC38%#e?7bg;e%4S?GfyllN+`c=)6wg}6q?bisryQ7eceeeu1MY4a-Qy_l34L| zCuy`Zbtv8Y9o<<#bl-HmC3?T^D_BL~4w0GZV7n9GFJl!zc>(??C^tXAJ(qH2qb`nF z-{;CU`?gSkV@};g;|i4~)`57^$Q%Ep*C|O;Ai#frs|s)oO&1=-XR0Y!bgSrb%7)Dj z@pF?_b7jkKu3bLqG}j3amD^mqg0j5kx+>@^Rw_JJ(>Bc9XpQ5gw=O2`I1Xy)l*DKT zjeHGghDq%Y@5RFD@#DkATU0BacS{+qC^4}8hiFva88mKoC^prTv4L7Dl%A$idRUF8 zetFALzYXi}T3y$38PtyFF8sLBOe!(D@~cdYK8as5G2&~`)v!={*A`rk3_jlIV?B}m zC*Hr{sy(FC-V^*LYy)5I=Z@thMTID@;9t!Q$RtGpWSCPOdPycJIISR)6vH^p&$T5h zFdyBjW<&6TQp{xHiwgrs;c7AQ0Qhn~2Pd<&pYZ3So8n!2BEiE1Dkg?-72k%#MQ?0P za=0qrzfuP-GHsu9OkA~)CI(S3?tItHGFw@VjWb($s87kf^RHO8?7q)1Dep5(ihZ$M zS0;&)@vdv$K_$kH(MsU+B@k04nNu(bdfSSzt3aZ4;6;!R1muMf`H2BJFM>QDkoSkk zcMZsS5#)0N^1cxHpFyI9;YE-i7LfOb$R7yEc@gCQn(3?B6C%GMAm>Gp|0Ez^9U^ZH z$axXuJpuX35cxp?IWL0z%78oaQ!)?(sF%>)yb+2kkv0K^MSS3<1d`>Y61yw7ueb~R{3 z34Wioc0cT6mNUud#lAmb)QPeT^AnRGa!P}zOYFpY|;=miQ=F>SPRRE_Bx&l3w!Oy zl(0<@_FBBk%n`N~96Vtu0fa4RVeyd(OW1B!rY+0wqZSiC)zz0L?DZc|sx^6EN}8Qa zEvxh@Cr4C1Qlj#yM&sD>VddnA$}8|bFE*2_`G25rF>$?!;Jcz=EnKnY z0(DE2E-X%;=9jMn2TbLUyoBYS^G-@b`$u9)|43AOZv4x^^MU6~_5~VDutB&{>W2=S zqZ`gFP!r|q>3G)*2%I3E(jFZiQ{)TG`U~fw4Cd&hEZQ7*KYT{s^A9bahjDR!7L~Z3 z_VVb?m#Th`H%TRA#eYyvJt~nub}eKas6$z`YJg9N{6c&^;GJ>5^uSSJ|9p&$&@4c? zSjYXSgnsGq)eOm&3=^)*Pnh)3TkQmP6n%p{LCM6Bj zy}WG^>gycrMdML?@AiG0pwA66=&R4**Hc$Dv!qLkLCssrW9cN4E^(xKp~{!ep48ut z0dXlG`p?yw;tthEoi*3zwQ2h`rAeL4g8JG9N}+tlFj$GW5wSpjSApzt5HK4 z__k5}t!>-*+qCo~{`RwJTjp;YE)4u_^*xjL+l8n^;ahea_}c}jdK==~yEMD4^S2Gk z-!?`1+c%G(zrBp#z~9)+5&lLe*?fP)o8nNxYNG=9Tk@*1{B1SJD*Ai2jlZqCU=n|u z4;i-v-`c>~!?%XJC-JwJ4)^@6e;fGQ-{x*pfBTwdw{`wDb+PoPJ!rfzzJ2g8`dcS{ z1Ak*PNBA3^Wb^&)L*j1@Mg{P?sBN&M~AL$}P|Hk}vvTR(1* zN99m;u!7`opG74K-!^Uof4d%4Z$o@LT(jFcf8)pckiVtScwvA0;lcE`oewenjm;e4 zZ*-E)_qU|@TR*Dt92)+%5;c_JZ=>RGQ|E4z{O$LxllWT~WZV*b%Ym_nZz(JXn9$$i zG=z*78uqr;+LG}?jn-)!jTat1N115r{4J~eZDXXrozp;nyB)utJFL&LnIrs-PO|y_ zcD(po%BTST)|4sB-&TUGqCd55{O!uKC-JvkA>)?#TP+xS{uOI07TY4M# z+ihnlowkv`ovPVwoxk-fe_M^l3*+1K2hiW9z0dSFHgkl((MdMn-%b#J+kk33hlan6 zfK?gz*0hNJCeK7{{d~xjIg|L?8pyaM{?>bD;BRfX>p!8t9YI3~zOCK{{&pCu-iH0@ z@6J%{w$9%+Dt}9&@xuOg&HnVab@=t%VSSd(9N}+t64&1_`_>DG;_}2E**fd-PZV#v zT(jpy3cM``{@U9*;$8dVW(;m8b+CRCtj~_n&AdZQX3HWuOlISjahCi3WOlVoW~*Yj z=FM8Q`DOFjO3<@?(^MVYWfdE51UgesSD&s--KM9W(*8OC63grp-rI`_C%N4`m%C}% zZN*&FT)E#&Lfq|Jg$@4!S^^wgq9<#E^K6_CeNvFib~^mFK@pZrs*6UMH^$veb?)~Z;INN zr!JPuf4i>S+n|rsDGw$aEO4eP@bzQxDu0!C1ziCjBmiqK4rEF&V;615@Y*fftAuV< zMax(iowRxOM(`X_JhNr(mfZ!v!Hm^T_ep~MjPE~^DIR0+@yG>%I2A}d2Wi}*{G&(+RNto>RyEC zy$#L^A_byV*wj3tK8{Fa6^JG%;|4$ced6zv%mQ68@g!;>Tn*s}I9h;cg3n#`S)7S? zWvW57Mh-kOJPFakWT3qPMUV_gQ3jj|H3gJ*pr*Q6K^y|peQJu-gb(%96bYi9nj#fM z<)&J_Mm}?P|3;kxds4KUQuKq=kz(mbloaSuOesEMEY=vGXY%L+4?YCNYORN$ehqz= ztdZ3T%R)bCsG5N$v#R4N~CqzU#~4w%j&+lf$qj*&4ybbiR zcVQFy`6aM<=gHY1uyGqz5~*!01GosjaKrd&1ndmoYh!%RL z`9|8Vz;8Hy3)x+i#MN;nD<0w-zc5n4lzT`|R^N&FA*6S7Nl5LdR7 zbbXRHjcK&y+79@DZU*M6ZtW>cr0qH)hI&|mq5sI8xCq#dMbUi8Jjzn%2$ z@RPBJH~-t=IJXuDK-WKC7ukQXE;8q?I!sEiFc@^;DL8P)wK?yIkNkTpioluFgifi* z1bA76gnw}}ZNhoDhRW-)t+sF~?JqEfP#M!rAgqlA%2t0QRM(TB_$P6P#x z(lFtm1l>0(LFNG9*MLFyc;ol)2zZ^5IAV7vtiE2HLZyJFA2`N%Y%@7+b|@;ro9(sF{so!|jwQut^no`vrw4uoDzG3d8}<+6DBC zm~^y%dnK=KD#`0o@+t}6U?PT1!q zFq7*u8znV86F!kR)*Oo;#Q$i^6GgK6;zHv8B%me{|Hq6e=ZJzT#g?4@j2|NBD4#xkV*7zSu!SVmlG0-a> z1^$o5|K|tdf84(g@xR@u9sh6V#Q)AtCh3I;N|I2%?NA4BA27I`j-tUi|VYX8d|3euEm^=QTxdrk6`;Etb!{UE5h;DD<|5g+KBh85a zkvF;ce`__fe_;#mlqqndD)9Dm3Q=GuC~y>r_+Pt#8~+z8dGn`|ym2M3q9F2~1qF!z zEh0B08FGij|BTls8UKG6ZUyl_+VVt^>|$@_+YuVCWLf_o2Gk_t|3i%_Pig$$IMMk3 z5T#-Y;(zdyH3WJ~um4vjIPbL9|FQX*>;Fg!j{hsLe*p1+{}(m>Ul@r0asN8R|8}Ex z{J)hG|2sR&Cldc3OoMxq#Q!y+U2rn-Ke)Zqc3nIhxM9{{LRpbjuqS z|D!=K{>Rx`+=teIG$Z~;-sIx{SJcd2#g^JBQ(&zs@b*&+QD7%1@O06xUBHe1Usm!K zOeJ}ZN*?z^=eMtCK>^}_i%4udN5~x#|1(~nWc>dTjsMY>CyL}adu!hKzkcbY;{R$k zB^&dyu7XxN00nP>=$UBz{~o1c3gUn8lQjf-OUM5W!T2A0qZ$7rDLDSG*Z98zpMT)~ zA8d#A?f=33>k$9jjoR`5i!;ssALmWKiNybVYY$7JzvYhb?xZ;JSTPs`CwCjLkLDT@CgkGJFhVEpeoNo)9ZqQb^}>;ILi+bM|ul?;vl$29Ue z9~)Z>56rT~|HhY&Ayy!VbbnJ5{~IdTVx>{SKc4xITF*a-?8 z1!DbQyMP=2|3k@JgdMI^W?zF!UPVFVJqrpD|64?ENHXLOiT@d|Pcr_GqeEi-A8mP} zNH!we^2Prj-xg4-rT02u8-QM`;IYLco~U*van=6jYA+~cm-fH z021%_pF++SMh~zyp|BAVz*oXr~sA43O!M4teIIJReb}=4LRZhb<2P0sltj3nxijVY#QQI7$}7 zzCtW-ISc^IaKOEAvdfrxp>H{0>~VMI+Cs5rITwyNvmEDq36Vl!yPOWJpd#NKPdR?@ z4mB!HL#)p;O=6Za4z99x@wfOa=g6Jk46~eDqN;0g=aZP_T!i{C+bP)PbPwe5_AH0~ z$9~H}eWAGRjJ5B4vz$NgsJfkkS&ot+vz(2OX?(W|8-WXt@3PHuj4y4$0OPp7DVgQG z*HHQ7hbBU0wpos$vPg%)uX77@-dRo)C~yWf%yKBfoU@$zzbn?s%yP!IS53cRvz$xN zAiBNoa=K}O&T@W;G&sxoI`Sqp%lXu!YG!+5qio@3mPdirs=$Z1r8utwe*_8~1!9(? zUBH>;+&!e^U3%mcl2@S#rGJJfJg^lBCQ`(uez>Z@e3*f{}k840;RS zZ?+q?BL5|Sbs~S~q^}7?{)cX>JuC_Ga#;Qw@_eJOEVK(wBJ%$axXNn$Z}G_gm~Gw+ zk^gO_mZO_QROEl*{c2{1V2fFo>-I#KYD5!G6@qcWh@&8sz#T3N< z;3sPc^p=kQi+GL~=HJfwMxQ!R<9{Rt$N%FR|2Ma4{C_|o{s*epA^x`;wd4OyPW8(LS#umfL#Q)$btMR|p3@&EDYkcj`m zttX0PioG@O`v0u2Oe+3=DKz-l!u9{uf(fqwzi17M@fj~|+NN5nuKyz`IR5XQ3%$0k+YD2~!c2OPK>QC>uS5KAH)_ZKzjfk& z=Op}z#Q!hQ;NBcNfBOCv+65;Q|AVWn#{X82|DS(T#Q)D(j&3sXKk83W{117&9sgrs z@x}kHleC84g5&>xs&1zs{#P9{UZ8|Iwfq|JR!MA8AJXkG#pn z|G!or_+Pt#8~@*?G`S>t%>$(=~_=)2>mTG8V%*@X+=JJaZkk&Psuxx6a@YpUts=dU}Gyx*$?83e$-c9bYC5L}nI0GV~A z_`V9#dX&v~Px-H_;xWs0EI@@KA@!v{y|i(Ujrc#v${|%KC7gMjtqO|jD8RL~kLl-8 zKsPqO&##L6PVs(5L`6||u8bcGh}LzLsBrAT19B+x*}s}eO)XpBbO%1s&EPhupMF*oW*Z|ld^PtW7I`p@+u zD%DR)^^-&er~mAc%BP=7JkeDDR&+Y93i5APKKZtOT>ZqK$fcicpYr<8nChnn6&(Gv z-jYv04X%D_3)as|kH4)SS3l!9^|Sa1Pe0W-yb$BhkfonTZ_cNmE>}Oz1?%Uu$KKYD ztDn9nbM>ElL{#eE8dX1CsNnRU*+0*xpFvkYeFf|1myf=!A6GvOPvz3j{(txSPmk&+ zh6;{;uD&s!e#SoGj6anH#|Q5idRsrPeoBUO>1UOQO8d`dT^t^>^fRqLsGrtn+8L;_H(WiLdsa+!JTf!Ro;jo;2Zw+kQMY>@H|$rcnF*v|Ih zeTY=2DZDnH+)Tt6T(%(iZ)Tj>x-N-ISh+qHTdpzN$^Oy3Vo)>kHSd86DZ)gh!8_st zpZ5$*%ZC1{W&;A9*MgtGCR?nr{~d{cjeW`fWmiOSiy{u*BwREi~m{*0nC+;nl1uAP@7LHeb7o? zb<}kNfy~;L{ghMjU*lKWR8zn_obu=_|Lecy^&47`Ou}hz__Saf+l2|_{{HI;fJsNs z7u4=|TE~G(p1*;BNZZe+17uNEBiAZ=0FGl0+8_((77}ob5$a9a#yE$sGqVcpu}|%> z0aam-zbGlh9&6BYN+zWEbco=gOj9~B^>QpD)ek-eY@qfpYNz19vH{wnoL?4rb(;s^ zkM2R?5?;*!urz}*ypb;F;{(Jqy3jAhuK%+vV08mhcHgj?*?mL((3VDb!I!lNknv?L zLO|F|%Jkz(=EZ&IFb78#^)?krfCo7L5U3z0V$daAFe#sdP21?9F(iQ=dY%i(9=baK z67%dOleQ|hFdFmP!;yL_)sp`Yi#1J0B9U z(RM{_tOK!agF5&&4_bdGWG0rKh}g8D*a&PdT*6A4ak~l?%H~!r#cd+d3^621wLs*% zO3JM@n*`ix0#GgJt4oppr#;r;|J_)tN*LVuC=YR3b(&F!m^150d+Ngy39GN@`id;| zBzaA5aYM0q3m(I;82F*GV7E!4+n_YJ|E9xe5{Gf}kLfU0;n!(dJFTqUX@@L7;5Bg@ z?Cat-`q1zlUHSaQiGWH+KWJe_pWg`Ta`}tu~sBpc2`imX0zZm{v7LuI);-A>jJXwG70SJ6@{^HF0|F8QC zUGGzW!E5@9OQvP@7pB`ZpxaEqU+noq`ilkl_51}pZHT{MU!Q=#I7$4)HWp_1i$0*v zu0as<@H#umb?z@XZplP*UxxO3;ZtjN5cXeF(xg7o}o5fKQmexD%AXR{cO&+X?|bA zuc&`RlBNLL6H{>3&uBejc(gZr{Va?9ohzRoCog((v?;wT!m8{5Ve~ly{W*`u^4s5Q>tA>K(z$r<)()O-WK&_UQVnzV0xglA*5(b%)hX;tKndf}b z5WH357S9b<$q}%DRpRsRpi*>2nPaE-0u5i|;X)=57men7nVEpV!esdXq`frKJrKEt zS1o0F-fX0eg{h&rAjKsSYsfZzy?_YNcjr1anr0Fg!~Vo&k4}U9Rs)jH{*YbTQTo!R zQj<>%HbySc1~4uGMvzJjvM$alSQqCj6NDCaKW^jbLN3?lNxyGx{&$ewvfyWaF9#GB z)`>pjVFd9TDM2c{!&$RLkId_lAoD8CH`v@NsC;t97veJj942lK&=wo zFKyamEkq?n&ho}`MU}vYN@IMTD=Qa)zt(jzm=N64xzFZtPuMt&XXtmOqqSehOEl+h zO+^@!>uj$+6}M=#9YAoSyfu$gBW;vSs%aM#xcXPS^Mrk~2-&Z&_=@L-*ADot)H4K% zjU6Z{sm~TDBa6*Ow%od|XBD>q+%<2-*vQ?*AZR0&)og-DxkpfFpE<-(qfFXn=}@C3 z8Ppgxb8Bs+Z5bL$Ra5o>ak%2duG#ZYj82rVmt1|jPd*Y|M(CiLc^PSY5f8xaXFP3H zy=Z-;hz|uPA%ORp<{Sa!avBCk9uMx|r=brtKqO4cv;!m-r26J&O2pO&3HKYw@HM~j zac}uBc4}6TPJOnGhMh08Q@;q_(5b~0AZ3r5Hu4mqL26P`ebyOZrWZwqnZ2}8bW79W zP2Z+ZKqgT}+Dy0P4f?kM6p5@d=X10FN@pev`ViVMw1#bX28u-tM_#>$$&^P*_YmO4+sSbf2w4g`kpnqFh)9264=HYWM zsGwOuqSTA<%e=%zZvTTjWB259N%}HeGoCmBO&HaJUCN4o7X8Mb_Q9Wm-R#d9=}0LD zN`3T0nFuzjL%tu|X!GI`$^2;5%uJ8cF2uRvMAN6pQPA&(y1BYvX}3}g?&E%~EB!g} zxSyssiaq=qshB7J>Y9zv31NiJJ|RM9USdy+eZPUdh+V4SZnQEh#KQ`aj()#`vDu^e zbyTQ2` zJ6z$CU=P;ATNe(i6pljLlL99ucGx~E!N3E83X3T&NTW1~btO|41ERXB#^)mqc#Yxt z$O3F#!tWsJsU9t!@^!sMXwhvc4|C5)_8)-dP+u^7>W_a`V^+rv?dLD8uo}Zsv~cbi zi^FYFxh=>-uScBW=OI{ z>c9S_kJ+-~A#9}%@Ohx0&yM00V8C%U9zCaPxzSMF9WiOTi-UA`^YM0=>)1C){kec7 z^@l@4U*e7-+FqFdh_tOmwky{>hHSxAo8FwH+~MQx-fZ{Ml+{a5O0a4A+U)*-KCM^-^!-?P%9#bs~|DmM_oO z1s6kBBTdE#Cfn1mZOhlp?_W-*N2W%}YsRKxY{yYxg zwx4H}qu<*d%4W040F&m$`*L2$zGVAx*WdLn%O`~^$J6OZ_29e}gX!oikeRG?3;^H4 zJI+1#Kxe;X-t~@;p+-7-I1pHJ8ba7tzZ9 zpwNlH977F3{btD^kp5gt3Rv%Gr0fIYusVO=c_=Pmz2nB8`Q$U9^^Qph;26`KBY>$~ z@8~}!QzEuLdLiP#ddJUy>f?UP);qR_a?z%Y>wmnO!5sV6k;wEqWd`nX%sS&!rsk+y+V%yaC{7U^_J6)RKnQ`k^b16y&L| z3iRg99`qEfcS!d%>m9u2ddHb)0y7jE2-u~4@Xw;(_|rc4QxKlpk%q2!7z7*DAwTh8 zp$_?%U&MMx(4%M{b!U^kg|BxkxK6v3>E+^7@QRE2?ALLdI_{>5uKB{yfZ1Q<2pUox2`$U zZVY=Gg!7D0m9$p*2ipF@ffzM=3DO=1WZBe9hMsn)!p$Bf@>%fqB|O-do>7|4c$My%bXc3*0xh?LcXWNZ+B$e|m z>7_fZ_8D$r>mAyxv)-|5B3~DrlJ$;TKA*3du=NgqPhYsv^z=PIv#YeM-fjZx9ryeo zs~o3vy`%qg`J`a4cWeVTv#)o^UIFLnz>4mwBuv^dfHjyLV!WOQp;Jy;lpI3X=k2uI zGy@>=p0Wa9K<~0wI;0XDob|RMUVskF5593V1!rDW^yVtwn}54Hr4rR-y#0qw8?uR` z&fUZjFXNVuwr_xeRQs`D2~#+SloAop${&zdo!u8k=8 z^6~AxSjCW6nfJot2-YtS2Q=6FibjvM>ovwZvAxl^O`{5Sge}XBe1&q+w9$pjmR2Q- zg6}*w0eK6!Y=UqbalI7Ri*dauaUkv%m6}}axd5E}E@CNH)9{S8uBu6PTht*3xp=Mx zc->@?6v?dYGK&t-CW8EFzb`b#y|)>Q4&Rg~utwxq+?GWL9%0pySX-fbot)zPQ57QnXKW?G69(HIoNIO&QU@k;tG+DmVv!=^aT7micyy) za}=#w9(h%t$-)zgXQdNect;o4k8}Fd(*T!_zWf;vHx%6nE6wFkQz-XVj)el)ZcaO$ zbCuOgrre(nOvIn2zN4gUu|K^Kh|q0tG{l7c>3tB#f8C!JeNueV0JI5%yz$OLyW6r) zXZq8PmOtgS=T93Z=uhAIZOc-!`qO&#r#)Zy`_mG#WDTsgKdoq@E6Zc2-}%y%9f7)b7*Q7_PCJgdy?2#_4 zyB%_Fravvgz|6P{4lK!Q&!2Wp(4XGbZCOfIf7-78w4&SZPg`>M)A~aE>G7uZ*DF31 z0*ycAHT~&7v9}n0J}f>pD(ZuZ^6DnjpPJemRl!L#lNX6NoRb&9pXL%}ybym{^o`fo zpIRmW^IeBMyg`5Jhv-v?boA4opg;X7em#Fmmlonr=?N#~Pfr(rdb)+1@hPk{mp@IR ze1h@mHJ4kxWXk<%4Uf*V!jc&5rV^Zm&i5+}DO>DM|JXHYe|qTG-vs`2Nd4&mvEHzY>BV2OEG4Ty9We3fH~s!}IEO!NFT|g|`qim-0(N{V z1R8(JYx>jgV-s^0e`-`z4L0+-!c2c^YS*JS6kLO5@*)v`y46|mr@2I#EX1GocTT-1 z?fBF(0dV)ZHJSd@57D3y>FB!`(x0A*U(cV?rG@xYdcq0$(~pZkEwyknK7|5u`P14f zChSj7S!MN-Dfg#M6Y-~m9ZJd;`_oH+Xp-^i;}FMxeZF6RE(V;|bunlY2Duh{iVN#* z-#8=FpSD>3l-Hg=Evoj9b=I;_==$ltD=kaO>QBc_e0rtdpEi&sYhbnK`vZme)1R!E zdM9A}Qz6j!Q(n`bo^(rAe`-|J2NmVjO{PCJwKuAQhp=ZjFB0*mH=PcDnoE?aLi}lC z`_zll_NSH!zFDno=ugYRq~}lR(n9i7>=^5frZ$%3ZZpNpu z(p>&Dh4Kl;r_(R9ddZag)82{r)0Rt>lr8qB2LaI}{b@JE@n83+1L{u)piLO$XR))l zu#T$q{et$Zc!=H{9;!n>ot-oILeId~J zQ(n`bru(z{Q=_74u$fmknf}z&u19SsxC_nXMI!$6ocZvlxkNcuh(DdR?Dh4hmI;8n zKVc7R(4YDtQVNlde(@Cg(_iD)@p&7PbZH^}l%CM!_Cojf;< z>)ZK49rp6crga!<4bKXy$BEOkc9I^K1ljmL8jHnN4m=sQqC4CT7cm%FC7F$PCaMBl z@PYGqQW**OUmQn}xgQ`t`Xz^;h68~S1fg0OK`a)6zWY%I0|a)&nvbCKY=YF|#Pta{ z&MVipHt#6R_kwvef{pa)=wWCl9sL4+Err5_D22}Qcl<}6XTlI*Kg-rPF2or~v7%G) zfv61oITNx{d|DevXrUd?ewK#XPwd+hv!B*WGVJHl>uEo2_|0KIpG0v%_LDfmQI%{# zux%%)w$l!hVLL1qRXu+aRK>f|!=0)bTJ~3Uwfsv~osgDWzigRZxR&EeW*^9Ow0siU zNk=couTZ!lNwK?H{;X=5>TtXrRCOlpsLfW?>u3m`o4T=UVW#O z%zlvR==&_Rla5}EU!!k|J*aQ0YXbT{OZ5FQ2PgDB4y5_?U4PZY^nLXgGxU9JAN9Q& zzd7{19K{pVw>-kJ_1zd1_t*oHp>Gz8zIUjD(s-AnfBUPt{rkb=C#vtO|0l1$tCh?Y z$aM65A=*htZ^Wdp4)mxjlb{+ZXYuHM4zT0I z!CDxwtK{eBQTT@*r@}Wf5aFYR^2gP=Qg|Z#hC9yf3X}KQlw2!sD1)H9 zDZHHWK1bwzse=Xf-32tpon^Q0;R(un(?uEbe&8CB_q|AR%KIh&OiG3Vvp9#m{lKogclZe9Js-bD-jqR5-V~lI@6h-Vhu8s4)S$tnBiR6|yzwJ^e$fvY z&!6OSMA zz=ekMJqcwbM#t#9H7nnbo!I=sPmrtBqeu|%3Z7rgvMyC7c(sfriJ^Ha6(Tj5D zd1Y)WA_hkm8ZmBNWC1+wHw!J2PjyYOb<;Y)}cCGD;nWQzCGnXWkx zKk4Y*Xn{*VT9oVug{C*)18MVT0AvvlUr>Mz$&zaznN+28c~c1r&BdYWRj(@nkS+97R@-A<4F6UM);3cVPBsE2c6yJz#GUjL` zHydBRD*mqK^Y#BSJ(Y*v2DM5%=FKQ8|1yeS+O&M_l22K+%8JiJcA^BLTRlIFDu-%=RkqYNn@lmaoz`An1(X*jm@qkKFxqA2^bneHOn~ zGvcg)wY*iGHR%&)-GsA&_~)*V!C%XPP4L%^BoD94H3*rjBwd5|UV#2xg1*fZC3`@m zu-jHOjPgj^BU=M%wcJpk#IPYbMt)N*`v5E4ppeSUOz`I{NTqV4~@CgcJ(onY`v%Z$t?G%TjAR$0u=*fnH#kR1k zaUYP;C(aWYkrt5=s~8y(8vj&A1jvvPA;19>h+}^wk+%B)M^k9P9oLT-JvmWXhup4- z0c3UxuMwh)zvlz;0#<6qI}$88+5kVT^<<36MP1*8dM zWjT#@C#EAqsE<>@g_uMj`XGKIL0B2@U}db1OvL5#rsIx9Q?*RS5;AbjG&Ie?qG?aI^T~m z16(rD3Ne&E$<8#C{~T%zr56BDdhrN$3FA=CwyJSIBFA!iB-?K}8qT547z*n;%8&i5 zI=KcAS=X5yyqF|8M-{9RDEC|w@FFc?^u*AN$AfiM%*fv^()VJ0n~)3iCJ zX`{JsF!%9`G(T3aX^pwBEXMtM5Yt=^ zjS@XHA)D)^dA?VS=lDRq1~MgTBdW?Dy5U4)r0o=R&~vT%Vxv2z3OM-oeK42;2=uF& zpU{~Q;up*)@P@I9i8Fh>vinprv;LjuNWWxUC;gI57~e!_N=iQdD}i6IXAuIdF+nF{ zzygJ8`>M zzSX<9R0{})$uP@ZAA$GRVa$s`XfvWgybkJ~C_W##iaWDT6FWd?ohJ4j5o_nl21>bg zT?_Kie@F3yCUDV;89Y@raSDHR6mMAj09ivY5b(4O*N`vW?|SK@OhMv!lQWWjh;UdV6elv zR3$3PxY3R@pqgJU)Xs>B;iUY@7f>H!oML~n(fAXGi=6)Cg)=ARPkxPRurnNVFd2Wc zJ8bKJtv?a5cCH*$e^PO+?N6>dz-Mi)Kj|n>;2fUF4J9yE`XluIt7upFOI5>A>HZyc zS=+pCmR{pGIxbHiy+D&w-YrQ&GZOK|i8$H*qGVAuNa4pI{#|0)##!Vay67nY|1E1u+|Gqasr=a*A*xQv<=%nzlDYSsK_`iP|xP zsL1jc#Ms4Ms1}}G2G8AgKeJQ#ktm;9ZyLGFfI{%?V=mUYqBVk+uQE7-Bjo zXrg5E8O%AS8iNrV=*F2+xlR`Clr5euCzK9jz2p76O9u zdh}y90W-mV;=OcbP2$_yK^gVL$!Qa?&?y7R zXKGErfuihg6}q;f_67TR#%ieOQYsdry_|^HxU8p_0LKVdK*$)?3JEQi-qe)X3*Wty zCLpv8$tLsz@hwnuId@Esl!@Mb9j-##{~NYO7uJ6J-X0I8!^MDO^b?{gZPgW%VRBG= z^~D7@vR7s!V~XRjd^jj^5!64c=xg68m_#0QfUZ2AdPQdY^q4X-vun!!;(X6PF9aaQ ze<0_4e-L*t&ywq0$J-KVTQO4{FYMNNQqhQVZzWwS!K#`e@k$_&xMBdQKe8F#{uH(6 zX3r_@oD`?DBa(nqlINcSNvHJuB{2TL*Fo5V@A;?QJR_4p^Itz%g8cO;kwpX6H;V>@ zrtQh10Rb`=GzkIrnaC-4i``T3n#$U$__S0X*riH1E+dL`gwkkJ#raWys@Fc6;i;#A zS$OLId^tlXkjGqlHg$-91we2eoh|s+(R+~-@9Y-ReTxZuLLJLiSFxN+lB=1@k|ZKT zqM<-+;`?wPApU3&52@%Q(!I2r5hOgd@7B&&36x3WHZ%+`v6nt*9%jG6r{blFia8JWr_e9Oc4T1 zF%dgi;Mz$8_~Bf`*vYJz-%hOAhR)FgRNZ%y+6jVVXGDJp`jEwJ4qskc0$s9cJd130sCM%?WB#VV<$utw3Bw^1&DtKh=)|T&>|vbH6v0& zyCRj9nBaOHA&f{NLf%2eNzJ4{k+}*9GD(`)2`^wLNhGLAAZeDY$py;|JnV$E#ZHLZ z*a;y}m5D4}Cvl-iU0RRJd-s6I@CjddLj-x}W4kp>EBoLH6za-%+MV9ked^w$abB0A z*()C!cSQK>ZWubBf$$v1jPR$q8n+047-aC;4S&|#a2?5B69MJ(*=L?$e6|EZU&8@d zp>B8|I;LicgAi>j0vMwW#6flPg{A>;P9;O4>yd~=nV0yOOL`WPQh*8=)7^D8Nn_ab zoT%Y?8bKv8I>C~yQBlcHL`Am)c!b91k&6!WW8{KdXU&XwfQ~MRe-<*Ms1y{HQ_&hF zDMd1NAF``Y;fAOoRrveRd`@(vLeM1Ss#G zCoY(gwzxo6GcK6W_@~hi0WwB4LKyjBl;<71C#gZ^|E7nLwv0+Zen3XeagmWeuLPsy z(8!3;_@^==K!%J60dX*ajcto0qF?jX39@JHoP1RRfoO_I15`Zy5w&(aG;wU~DeM>S zh(3YeOdAt0+SmpZ2W^b#{Wdlx4R;HjJ{#K+1_Ik+Ic@9(rp~qsqQO6(jg8|zKzR)) zhX`f>RBViOjQj{q3=&}zAVYqHF!F=wc?TOKHOQniA=KA2lcc#1ym^D6-T;acRtdcO zFl&|Y0(VDHAd`l=1)23=tJ^6KM?w5RVVcQ?!W6S18RK{#X=^TluF-G}xPU+0I2#GP zUZa&)a*XC#D_E;!_fkN@e>hfcqY=X~SIy*+S72T=j7CS_BQphEnBb+ZQOL@~m$_$@ zK-7Y5i$k~%w6_rLf%0ciA{u2iqftT=gJ_fh85$iz9x5{_YpZ%3N#YcX=P)_A3-x5K zv15B|96e+fcwO;_gEy2uP>L@fFMkdvXoG>xKqt-nT=pPx=|P8(`mFn~4~uoPoYs90 z(~(nwK;@KOnrug(*YS#fw#YW(J|MFF=8DK3Mu~`w)r`mp?TU;586qQu5g9rG@6ZWI zG%_hb$nieSBnNIM;0-!~0UW{|Msvbjoq#Z~U?xB&4Rs4LUneldLxjpeLHs~rn#qQO z#kPzFa37G-%sC<>HYPG+6(b`;yD}m`hKvXS4wz&dNU!l_r0oV2hL~E+%5%D0tw-z4 z5<~iF8ONl%9}3x|P!11Mg8=+c3BcI|rD``qpa8KK&KAAg zj1tics~Noz+SLmIGW0?S)3#wW()Kj+ESWo9-qwTD<*Pl~vV8z=V@&l>9n`}SJNWg` zi)I6?b)zo*28;NoIw?BDU3utnGqIQ5U3$0*x!^_%@wO0mvO6ma%h$?IuwHdN@U)K1X3Vb$Rx?G>){Ptj{y`LSS9e5>mdyM1`{BYhPrii z#@krZ?G%TjAby}Q&16HtVp~S-xDUu^)rUkzq(x-JDn>?xc4b6>3>gsu91I|VICuwf zB#|Iml&>>IqqMu zJ$4k|^2k!W9>p@5ylgv8Chx+p(?N9_CzDADRXWSx{k%*jIs22zWDMew$Gz6`-qvRZ zK{Th61CpxAgPz(wn2o2mwnlmZ6VS*jN2-Tx@Z#Mxwish#{u+|N%9bn(3uFu-?_U5A zT#sN2vMkICs?%=C2o;L#XwkD>p2ntS2<0gx0inoTQy?jn4FCuZF>Jv< z4@gSeA1SA%#+WsCY4MG6u6`pGuZ7DJVjFW^+lZB6$4;az3Gh_UY}mkb z9kOW{RydORSoe>It5wu`UFAM(QHE7)LK3iwg&a@>l2*|VfW#dClhfwW586yO#yjyW z%{N&62Yh>{l8t;16r)D7-v+SjFJJ)g0i9^v`;G(!)g)bM=hyc`J03rw-^%-Htq_K9 z@nE}DV{mMICum!g(Z~D1G_0A4=sTH)OqdzX4Su}bC>Jx2IqBPza-4hi@XX0WHT-bcDvQH~b6t6yQ!IoLB+|jM9 zXiW`IWB$#!@#Wu!d=3cWd^%UgVj!w@T`zL6n^*>e>q^XyosvLRfA_6tYy?ce7~b^(HCk&uWA{a3w7Vb)7_I-c$5%g*>EGFc_;+6T4N<2if#$#S zei7DvfR?VyYDV#7R*Z5ND1rbPx+erA%0zU($klygS)0tI0{(p%kkr0!&>KKzfU06p z#r|mxdfR|m^knXh4hTj3yF2JTc}}K(XA6G+-j5u{pCsL9Jo`Z%%U0_XKBP1j_i@eu zZ}8u?J{nDc`1oNW()B12kq+R(h?LN-NC}W3QbHJ!LWI0S_(N)uc@Gj~GTcNaNs}0g z7o|QRX_lq$DZmAZRB9$Y6^2KtGd^ zIDkIF*oourhmn;L2lOH{K-FIlQ9H5XfWJ42ojigh%ud`m;5PsW#sO@>Zzo;Ip`DO) zpPfvHl3^b#_vR@>xHFTepq=!fC_sEAh=){GqeMj7iwh%CLc4ZCfDDlm!iW?m!8@p! z)F6`rMJ7jjWOgGFJK+WF!~&9L$(mfS+`z+5Bu?10mu>_#c0vf-PTFxFVCwky2~&g= zq-@PFMQE2P0%R~n2++?YBPP(fgRzsw*-#6@f?+@g!UA0$2vGHlgVj!~$Y2dNHN&59 zZ*;&;&hteER{$U}&yEaqPBR+wB*7;+FcQ-3Gm^hS7>Ey=r{O0tZR8e|!#t8m{N|Av zQOy9skVG3mMU1QiF%}e2sQ?i~wZPNzU58bujN7HSO(YIw$-a6I&s72icH)vj=H+vXxTG3X@uvhVCn~J#OW7! zr=al*;DfS~*OwBgMOJH^;))~_0wjbI(z=9r=5b0w;*Jrp#_ofn$H4ze8t*y^kLL-P~yu9WGaQpbG-AgFQ37Z zqdpA)#6>*S@j(Z$UfbRbz+h3k7LA7#mFz0$D}I_;E2c%YAw?}()DTkCuSG2(MZ;Ru z6H-*Un{pXa)SyM1LyEezXgs87P>U*lmdRHU9)ENCA5zq;MGYZEeOlBKQZ%GRJt0LE zmC6^2>N*b_K3zKi;IV&x@9+Lww0OdbvDxYSTs3Nq&8We?LQtsF57VwN;~@!t znS76HQEf<3%^pH#LrBrAJ*B86q$sXMJt0L&ElPzHrL<^sNYTc_fSL3-*H8ep1vBQe@5&XbCBDj+_W7GA9V7LW<0J7n@OpnOCK5 znQ;!CvB*vFMY7g)Q5gh`(>v-q zmsZtC7a6Ctens%2qM@VXD;DTcz;w(pt=C}H^Qzc@{R-F;3TV9i&}l+MkAW#hb1H31 zTNMoglgb5CuP~c)!L%#PcrKU$g{k4W}OiwPD zmIW#wz`*ctm~Y0j&E9y{uMnF7A=0&qhP7xsq^R;t!CP^2W~~M-stqaX(xQftqCqWc z2`MUCC~ftG6xC``$}UQYVHSSO-~57?FffP+j7Thl zYfzYqUnm|I#vWVx77LzQ1EcW{q6pi~q_E){Z8ZQ!joQ;lb+Z(;gcLPuQBO!wj~1mu zib@dipsmezQLx9y6lNSSS>@BBFcr51U~Jy|6{Z$2LB58ys3BMN%Fik9xnLR;rYEF{ zE-gxh6b)+8=8&SI&r74@Aw{)XRPjq?IaHO+3R4Rhk7bQ_YY_^jqc^|c#HMGQwQ%-= z*$Zc%b%(^KA7h02u~V=&zXzDPw$>#nW>`q;Fh@=D9-%ky;a`Ul@j{b>NHE?FVMi`n z#Peq~U_mt*+MvWQ~&ge&)8qsldJ$l zHkw{=0T=%2R@^4UqHXtmV};?6$cJvZrG46)z8O1%PF%MfO}^Ns#o>6J-hd%Uh$tMWb6EJg^^9 zG}q{03JUJ!;a}}R!C~QkF6uPSmSZA-9_I``=MmtqRtI^vp&Iz5<{=d}en@fhMC3)k zjNcW%KluLA-?Lj4XjLSpa_&)YnGW5tc22DOl@fMwcnq-_mK!!il47Wm3 zsLI|)6!Qhe-|-6@sVfp2sWUdhm%7|XihgCsS3ER)H6J7vdu$Fck7}NbyDim6cdy9FWx8Qvr*!le1ic-ffH5? z;k=e-so)GF&*5*|c4ZC6-(H7(&3KS*JScb3kL-vy%J}}Wz!-mf3BX`!&3KJ40U5S{ zGE||b<=@Br5W;7g-glsQt{I_;JNM>pLVtsk|*eZts3&>YC}J+*2^-2hpO3|Tq?lrKB#E7)rQi#f0am{X*R|9M;yD?8>) z9_i2m$ld{DATfawv1wL;O&<>)35|b&bEJ~Q-PtWn*`8teGf*3R0n6zV+L(@X%z{t2 zP0(koLJ~ikW&-KZmFAMppPmVk4h=QH(1+ixN^_<23^pAA^C1{g{nBA&TRIJy$X=88lg>$yGo-?D&tnduKBPnR8PXwsBOUk)-a(i~2Vt2a94kEovvGBlm747De=88lg=ZMGo-?DN~emcyc&r9M)VodA$}trm?7`bwOAdQlodp_zh)Ab+uwLo z>H><0S2^b*ZrTI8!toT3Fz75yfJ_?dc22(g(;n+^g4NwPOVkvHqaYzbVd%+*g2lFk zD{voB*L!vr39~VgFsqpUMri!gJ!J&QkP#ukK^zIh!8^!^28c}dHe~LinY2cE@W~6v z#{!aOi9#+|4kSzv!?CuAjJVB%PZrrsmEb`($mgPvSQA$s(I6K9USDb<+;Q6d?sETQf`%+GUCW8TLsC z(9cBd^GJ7)s|7#LAUo2w62Ia0`JKl?>~jd<0TLr1Q5C6MI!|h*eV%|{+dh}zbGwdh z9*nZ!CJrL@Z{pa992zCb_Zj7fplBE)%W0JNF%<_5+Zo~w{@Y^RjQar1XKXKGeH>?tGpRu)g^J9FHIt-?t?&Z2VgX6BWKAwu4kS!qE37TH zLfpnySY$Ibi2DFjm(CKV2q{R}nqi92E>i@^V2Ti+pGok+KKQu@*|}`x!bd}FWdM}| zBt91L+sb|y&{p=vZ#G*gM_JHTh}dr{{m7xMkbIx5>;Of>Mp#Z;xtXbBD+9d2e_O06 z+y`hr2sA@7>roma zMSu*Z2m$(A0EOU~cc3S!nM;ugrdDZY8xl7-<_#E2A~Cf=KjE#(C}9xUG69S<)U7X@ z*l*yNViJ&vg7|@gNe&dIn3!boiud}mN;|M-JjvJ@`?2+9mC)jUVy~(^==k$rXy9la zNYc?oxRdu$dT@KjneuGqOnJKEMoCX#JtA`1zwrJFM!Fh+;a}0nW4I5jzh*tZluu!L zQHjfHn#QWl5eQ9*890az`5g6tr8saG=_~V07wSPXt z=|c|G(eRu+SnB(S_h^rwyP(~Q;7|WEs5A)p%wLg?oX$^kdF)sJ(`CPl6@u$)BqYH8 zj8bL4$*{jInCpmcgWpW{HB5gN8&W6CKEAa~MtvNRIZFhCDuUr`A~^LSQtGNYMpa|- z4OOpZNddf8l=ykA04E*Mo$N&bEH>5 zU806Pq9O$nwQQ!JsAXubBif2zTLF>fA1R}>9HPz^qN z0Snj)1i01;hsSEhR}z{SWD-Ji&hV9lKxHOn>a@LaR7|^dZ3|^G9RTU*s}F`Kie4~4 z(uG_5WpW`mFh@UwUt3X27J2gcD9VDHXNlOqc@`(ubqlS&LG)?}0Q+WJxOsNzw2^Xf z4C%3UPJmmp6WF6LG(O)Q1t zmzHXoh+N;|bVnBKqs3m&rKm|Ha4YKgt>eO37GAT3#}wtL$v3BPuJLlTp18>BfXQcF zz3%luhF%j@&9;#7XqlTx-w(xdpYlxZQ=Vyc{-Ni^|5ZQZ`9JtQJi{K@8Kx6xHQsU9 zjrVVDjOqdD`4)s{Z&H-k|IaYCCJ!Pb;^ui7X}dOK5uIHFlJYtBNZa?2Yqd|gfWpY+ zD5Q=8z2WTXPH%|KST-~hzMn?sj7OS7^D5JVM1s$ch)13uVbf@kI@23Jb6};i(|wRC$SX@M9zBp1f0wQ+|b!HFx9S!{msxakB}%`_UO!g2i<{>C)6`5 z-Z8(bab%OP!t~-J(101@(1 z8KFv;$-GG05B*%!YTs^0-^QkyYYs56^u5-T2%mF6$9gn|_hpkMfro%qN6Q9jjzO$pCOPA{(C z&wRLrC@m-5til|$gz0PeW?-?*v7|tAZ2gZXp|aw=^bvSQR5R)~$MJcdIm$^oq&3VO z6PH*(*FrTBR7ax!EoaPfktxt>3{@&JNd%tKAo(|tXMTvZ-0`ImN zr_lHxWe~3o{u!#h5vO`G5ijq zLC2}OJ;0hRjV#6-z;quOX^xl{`AUN>+&d;Q68GQ3pI1ULn0JL9@%h}V#hz1p9Rgy@ z2q~X{5r%&AWFbgWCUwDjVKoEd1^CSt5XVq9*?_p~HJJNk1LB{*BGP+{1jHY3rnHSQ z>Je`UhZ!jiPF{xT1jxuzJhrx+f;DIS%iSU7Kr0SJWGB7sH;0q-fz^`b8+4IO$6)GA#*Te3y~1 zkZp~Cu|dbT!RZu#s7mfMM$4yDg&NVQ$Bk#$D5e1=Xi!DuOjM4#|4x(THUFUFR^95J zlh9dAfL^UY2PtDVKA095t1TDlS)Uaolz?ALLW?e_C9}6dYXI~ODc=FdR%1pq|8V4xc15#hLjj`M=&o`Z(Qw!g$}(mRIF%$1vs?~1 zymlE28E{SyWiZRy6lE?U7wNVbw4_-?)`QYKz4-s_L21q^*EC$J^(xg81jeB%JXRWY z2jT|9CDuceymq<7XziL6SIuCRpL67_qHn~zmPh|Ki|8Rqx4Jj)64BQN;z+ehm1+!; zWchZ&CI|7;Wnj-7%DZ&cuR0r(jUbDv?1iW|B)^4UIcS5o>F8fjC=1Xj+{y=V-2LgN z;=R*mDqTJW*vO5!*nTWO?)q_UqTK#|9?XN^t7u(2`2WxuW=RY4*gWdi=rS9>M8n${ z6cWd^D28dgGf`FV_tM-=f9=1D~KGpj*g>( z^bfa_;Z)Of^kPtm9=2?KbKtzOE$Lr9<8J>NVY8F(UmqR|>0jH5hG1S*17ZDZ)=Odn zH7{oNuYaL}V*(bDa}AM>d1(iRd3~DjLcI}iTyfVM+#tj?uPeiG4;vH?Zny77g1D24 zyEz2+5#hL3xwt3QcSoMJ%!_Ww*LUyyO<3PeDUn@rZr7yF3gRA9 z+_4bc9pShiHYg@J92`EH)ui6_t1y$QhF)RxjfO(oq()F7WH>k<6gnm)5AdfX-l~4h zwXcWR?&QaV_djjfmlJPcZ#HmZJlF}7D2#`Jcq{&@NMR7daDo&k+;|KX92>BRJk${B z_-q|;Fdm#iczZlZD(+!}+qSRE!f|glC?;tGhmB;|!0cPqMj?P5aY+IAqk@zYS%QQD zu+8A_s1PE6L$-{_uHg(Kow((*sW1x1l)~x|3S&ZHhVNU8Y{yXLeOcLGB6DPHp5a1n z9J|o@98KQxe8{q+K8TbbwZr^~FJaEb7mUl_gFH;1 zV#u4zuP0l4jh6Bq#}+=iuvna>EcX9fS)|eN!_vy)w|?nk(IWd1mXO6emmxJ|>$&CB0H{RkA;%`_ggZ^#_V~2kgP(NS+H#&6oS6bEJZnWvEm7j zDF{GL7o=X64PAoUL>aOYWv-;iG=v67vl5Nc$ONE$SY*FQAduqSlc>YHZduc1p&)oBct`0bo8Q1y38j^>M8H0uA6A zW$bWySyYBB_UHf%u`!ganbfzo!s8ugab}St?yCd z=(o+-a!bgL|O`um?)-7;FlxPq%+LEl~l_> z`w4@z-f|DUaGmgg^`@T@R)$$DJuLk>wGbwOyIDeoSj9xNW(KOKqt}??oZW0sQ0|%I zOklHmS_HS!(;{tAF3VFnlo&?ImI!{9Mn*ovd?*WDO`gww??BOJGe8rdLi{;XE&&C) z1O<1^qiDXfxNYBn%;+1Njo>O-oe|1=J$_vS&LOxtH)Rvt2f&yum$Qq__q8YlMr(9)HD;+~R#?M9oBi65>nX5WNzsx3>SA#jdb5%smODyM z;q!U`_dG>JTwb%!Q$%w4yJl2({GDjeve3=#oD6Z>OIy|~$F;Bd2 zPHHl|ub5qKl4MLB*$H%6ePWzWgClM_dS!nBn&0jbNAvnTal38#6lPoe`kp85>nNwJ zc&seU&xJWNgb}XoS}_5(epKzRryP(_^!?G#hI4TL4H+E3C51ee9|jkc7{l46@<5&e z9ilC(S=zs8PnJ+;5xM7UYUoV3*ZE$mpDK4zBu{5uBv~3z3Ck-U_(gVuE|35TSJ(b`o-}2*&ojUOtaYTbR(`c;6vzI<7flY*%uG%;y4`* zU%pmA zh((=BG=wjo`wlx5>0ND*Vnlbn{(v`6*)y}v%v1Bf^=B0?a4)O5& zcXBq3BmqMb7~BbCi%b~x4M1%5dK_i^Bb#gyj;y{^g4dd@wz5n>D+s5@Tt`mB8th^T z8l*?`8YJ<8x}&{;sLhKc8ivSum2b?TL%-Nb;6+MNYfx+{l4z<@tBZMY~(Pw`?uEcg@&}W|}B=-cT{3@fV+fVy9z^ zWU8h0Fv(oRk_^f4sekhfa(=o=F_+I!jnhQD(|l&7Gvpg*AAJaR4uC<(%c1LqzXo~hS=JVt@)su1m`hX+GrsUzPXt?H#*YAx z)x~IbW^rb3Qfv&#(KEF%1o;K@{k{9?`m{Hi7j3TDijl+B`1Ngk!G{+NSPZWkeFeqA zy)Q&BuNv_}CX#A4vH_QQIF3T5EXIqpt{dKMtD;-SLBi}*AIj6wj@2IJo-C8<64Xgq zEDynrVb$Y95QG?+*)w44e%4`gr%B)0fSm;YAa-;N+R^M(C0oSl&2Cq$fZbSl8eBZ^ zH>VfR03KHE_fILqCN0h1@F(+HSY3*H!JwY063Wt?BDi-_bK?80WSp@_sfuU0spjs>z5}q=8oVD3vzWC+evg; zGo88wi9SUh1kNo*wkT{pUMY^L}T}na3agXgD&; zn66N_?txU|7Fw;^9%OBf?S=gN)6YQczY*ceEptYw0FVXtaZA=`y= z1P6`rjxM=2A~udSI*f)S+P5@XqLEX*!4Bk#9do|zfqe_4F$7eZ(ir$dxHNVFv`FJS z-xF!DHl;xg+0uw9z0D!gIGgN2sd=UGZs1Hu|BQx$(y#(WN3kMnNMm}T*xx1Cmxf^P zSK9kCWG%1ZTS~E14ln&(?2@)LpN_T=w>SP+Dg^X}1{zdopFGYFZ3z(2vxB&I@k3hz z0rZYR+|Pd3M~THCpr63bV@rzZ=m4On+@LPJ$8S*VA27RHE0Bso9e0&$P}7Y;Vf&an zKn$x=HX5bSF*x08mT*^qLA?W&($N)YC}>cXGo|y6WvDzIy@}w3nCs4heZLUw4T^mh zJcK1^S+JQ8@F|z|^xyeq&BZdxdKOZV_20hj%9<~~u=C1Vn{j1bN^gy!w;08atXF^M zb)ff@yZ!V!uGf9af1pQin6nvFdg~3nw(ETXH7zSDV%*!ht{IT9{C~X|u>1{xo^ow0 zxy#R;qYb8_jrwop)JCn++!dmYonH@ne>)gPFAPA4y{0E8vCT?se+aRk0-N4Y?{52L z*p-exj>3ZnBGqQ0rgc5nZDjkecV4lq=k$rxR#o*%svp_pI!+hw5yf%9$5Z{yj1D@E zIumF$u6@vSc^6_N48(a$%kcCa%; z1ULee6@MBjl%b`;Iav#nay{9CpP$E{})oKwl_R>mQ*CmmV2+m|Qju|-(>>r66x-&PHQDm+7s*q_M%EwQr=$2< z>|9={0=#z!d^UCzUm3_+lt}0{|?Aogw+43+O+023?k{i*7m=Dp@eE64J ztepO^0>qn+KGIDw>Ykx=^s~5=)#E;t!{x}D>3%RaFcI@8&enadxPwGvO9Kx8kGYe( zbhPywTNjPo_Zp9S)=oS7RDL@v{G*?AVMD@&nTX=xo9!G26}^H41ACtnE^QfC)WbpJKPY9Poo)kh7B{rRdxDU{|73d_31Qj8i zY&(`VS$&lUK9vBFDQ-&AZlTV8EIE7{RGFcLRPx$TXboB|aanrl&8bnlL8Tb(Ej;d+I?K z5SUlXw|A-`o>(O?Ag#GbQ<*N`O3u0dfoa^_uWwx>_iLVx^rF`y>((yqwV9Uj1!=ON z?lF8j)Opmm@Z{UPuul^fzjoZRqrQyn-7k{&_?gYW(Lm*TK7nm4hd(Jn?R50R7A}WaKaqTPdfRWP zJ#EpfaIeLFfeXmt#SnE`PQ-t^1q7D|hU;e6|PVs;R z*sp-K0Ccd1psS3CY#RV&N*@?mkHsk$QR})m1ii08^lS4MBT21|0#v@-VNg3SDF5R6gB|gT- z<{(|RNVAD|?79KO3#S7xk)1jKCZy@%uu2*M7f;D?-HL<|RfB8R zsAdWThrWOg7kw|l-2Y1MfiwG`Rwpw}(c2~F#!0IQg&JJRX6^b#Y*V!(#n@7V~cI55s z6dzKEgYyT25Wp4`;aZcrK4+C(u7?3 zT5Gj#nKm&Tzttx%&KO%dW(X)kk;=? z?=2mK*WA411Ab`6Rju7USb`2wYBZTbN^zh{=k`4AEw_sA-CY=WH{}WJf zn{&b}JCa89L?C1C#a8@|%XZ}R&tNLrulS>iffa=$((QLqC^4ml0 zFFkuOvQ=TAs`e6YEq6mdmL(42jFh%T8Aoo>Yrrszw;XqI%VeY%KiQO^Bu8#h(Z@SV zogL|liiVy(b0dg@a2-BgGli~oksLt=~-H{0HvR5?A@Z~BbpzVQoBN#^O1iBpy=$J~-{@B%D- zFT6Ju#3fhS;nA7a5J$5;Y)c;qFX0 zg9Y3c{rNVZrg{)#F^n|<8CQNGHFd9<<93cGmAGdp%`L+9f>egr2 zFt`+aN%5J{9cswN95-1}9|MYGB`5P1kzNi^U`FnkVbJKmcEoldQSp1U6{k7Dbs(j+hO@W@9J#E}HA9xVM5Lu$eq z!B^rEf?pLv_3%G#l4WkdGDv_R1p>4fpoIj24iF$h#E8`!v`XYwjhaH#iW3tg=`<20N`#^Tsz$9E zUn^!xg|t+RST#U}qNqWtOiaIf16C=B=6#;E_Bnf>Gc!qB^u6Ep-ubmTYwxr6+Rt8V z?X~}$fBwt1n?3X^a_jY%?YZ=-ub&91v2X@ z%3?;PAN0WD4F#tjOGi12WfXCV|UJp2XY$lT=IN2*L9Z!UKGqrDY}G zFNCb*<&<*B(u?)!D?mp;1$#vNlh$%r%-nPmJWto=KNo0FFvWCi4y`wUzLi)z!~UAWTVN9 z{_Rf3dvkksK~AJOJNyT~9fG;12%ar(^<+2XKrLS|%w5r~R904K%Gt>aQBnKSG%f&R zMco6@oC~mFQpMZRynq_u`_}>qeIL83D^LgFpojzkk}xL@5>x2ip$_ncZ?U?ISe;Jo z$iu28u5Mp?3bK#7ZXppco4*O{vXnBr_*3$VRRfNv#)t6-swaTeMZ0lPT6S7k61l{) zy687vE<2P9jfFzc{{BtBZ$=}>;_jp38-EP0_NDVdLphs}fMCi;^OvWJ{`NQc1NOl& zja@!|6SA$QRu?VzYNjsz6WsZ^$U8%UGhn(_F_AMG`3&k?d1m|44jc?4Rk%{p`)OdITU_wz4dLgA7O7ir9t);kJC5jl2q z7Jtn4JX&4&x;qCc6}}?Zax~A|QK>>rwW%aIJpDuOZZ&w8MfX!0$=V&c(_Do%TX({0 zqAbjsgaWytBDLh%PBb7)MS1v@v$?N6~V$ zco?~f6gN_irY=lQa3iG(0pHR2@S);@aaZTo1%s+6Rot)t zPIC_i8zcBedHXt%5V+yX7`#)V_nT&N(32_a9=34y2H#@n40#b|BYR^A8E4jnWVVAW zBu?lVNaV&11F23S4I4;^D;CmF15f&$UM@uX4&B3ZaA;g6O$v>9Kb(6m^Qx%u3U4#d zpXyU(P;@!ly^*iasUDKQ=8;kK$DUR?j31c_v@4DoaBPsTuL-=QTYb%J=r9@$gJUZ8 z2&Bk_e+QEGW8e6GYUq34O)x#D{Ec$#l}}D`%3sGcU^MWE=k*a8(+!W>9osiu518O% zb*xtTHp?BUsl`XYB{UY|0Vxg94ioP!l`zB`s5Q#^RpFLEtY%PS;*|^uT9&R!?}D?v z6%CWnu-z$A$OTy2mL^k#VVgz#*Z|%&ev62hu|W`bua)oGCAya7+;siK(~J?{LtBUX z2@8%O#ejOiRDuIWkWKRCvuwxw)>qhNl-u+gF6nH<=(fjtOp<_EL)3F_IBX(>@ zE%7~pSg`jjK0zG80)lWX)Jz-a;T899b@&QICX?W zD6Zkt)|t6qRwMP}tT7Thr5Fk4ai2{~*hm*qcd#qX7BtdYbaAh$TKGjJINWQawOudN zcKtE47>?1lPR>q2Js_8Wk))%}IPOu0iaL#jO8`nNi(t9^zDb%N5X2!F;7v~&f}8?6 z19M7|z?3J>Jp4RhVMl!;6IrJ^pt+3>=7GfBK+k;G?8e~3-BXVPXo5iT{yUJhhWtS} zk@{dVteOVBP_~AMyB2#ig$kx*S}-(7>)lzshJlk2ospe3dAxm{csj=z?!@9YT2B>H zd%vl34~|fPjBq6LIKc~O(-$q|I6I+vrB=-%u;>BVwS@nc$V z1_%DUdbu|;iFDWi&t^LA(l+-8EEpp4)I z8a#>vf41Vavab}b_=!x^RNMpZP{n$w16D_cJ8+s6KglB|mOFW`GxkjA4c_B(9P^rM zyH=Dn)?(KSTVE|@RSd0W>q~bo*&3i~ML8au%#i5sim}zmuQbPUT7haSM}dvUA)$AC zd-DzK9lia7?2Klt>Rw%_aWP|h&9Nu~8)8=sy+(&j_F8AiWD?H_K#B~RO-Kel;=~s` z`R{iMjh`cc$>tBs5zqSI$nkTKX^b*VV}pQ?(P-F=O%W|PlBmX72OFp03|r^f%=`Lf z%j`ugzXCINH2X0KvI1~(UzUK(DJad3!Mb$IY(1F5TV^b%m+Xa)n<1-M0DTx|kfN>5 z)J)ksV*z9ZYR`tB`OcSQF}V)hveCj~W}}DPlpuR&WXRg+A%{UAH@>uh-M{gr8Hp&q ztOlMI*+I)zb6;~VHRDD`lnJUiGZ#2rEk$~;kPPpjvD^foz>Nc}cz!2xxPwNdZiLUg z6~G!k1>j6Jjgva#?y|rwBzDY4(0AZQ%#Jt+cOuTWNQ` zk1@mVpYkADYU#UB<3`T0u^2%+l2D+vK)x>e&{{6HmAkh4q`Uu$Bw}D3CEXRbu0_yq zFE!0-gmi{2X?XYQrXzH)$4JUL(MU*L`d;9a=rwGXxJFHUj8A82K2k?kg~}Q*vdsJo z_s&DeP$f@dawL*Mtql4XH!61B&dsXBaXYrRSp{U6XiZIA-oEtjxa;{Kz4wPcz~98# zo|ofF8!m&bF8U>y9?hU4vII_YCuoy*c0JDW8Wy<>Gn33lk_V@O{o240r-2+JSk{a6 zc<9lzvAc7C?7Wa4RQV2-l7STLK9Gr;_h+lQRW&5S9R1Obo|k0nZ~bjHxQIsNQZGXx z@u?4>-IB{dh+fB&dOecup{}Rb%ec&)JIWX$`NQzf0LfoK-AI{*UTQ9`Kg!2?)Fz&0 zm`yD!tV4_MIaJ;uqoUo5#*`2Etnmaj8B)xIsh9}}v>1OO>_cNzx}!eMp*;0*LeYnE zK{aNYzJQHL>kAAgY?#cx=wzZiMjI%PCslbAzuEHo2keLmIu{cu91Po@PTB6~P)jD_`uP<33A)@eA z)={}lNoIKcRBXw6vGyq?lcQhyywz{MAQtJ9dB#JyGVk~d!)zRdv+s~X9Q!r!Vk>rY%S=ld`Y;`=bAugqZIat)R~EG|eLpUuWB2@% zm{14rsTKd}`kN~?ho+j0RHCvmM19bYkZaK(_&;;i|2@sopGd=0JTOT$A<2X1|1FTs zAC$;`%%9^32;{~0Xp1FmP!PL?B4XGmzDH+5dlfKP9RAOW0E1OKwhQ5POgW~Hizo7# za>;vO%5Z$yUsL|6H>WATfp>+$lw(|}4^dJ4&u&0dT03sYF&*b{dWVtvKi!n2ua;2O zbsTt#;gkm{yfzOJ0w;9x?5~aGYeeGs7)=N_bz{HMtlG=5$?IGy2GmffDKK5HE_v|v|XG;LvNuGl#+&gNPi%W&Q1 z^c$gTYaMe9`a)pv&|55cL?sJL=Hbui#X_7x;9>lE&)24AndeWClCXWk5Z)}t)c`eg z^yXtULd_aM%PA5qUxNdG7k2H!mJ%~r0whn6bRs}fgL$XpHXH$2NP_uUFh^G0W?yrg zLL@=8W)4JCoN$-x84#A5IKpMBoaH~jz>{tj3~-?;?(Xrm3>xg&*M>;9>7D%Quu_{MFhg%0U)SK$Vx&w;DBWLNIQ}K)&Gj46g8{V!y{<*Gelt>~hjV4}c@QLB>iZE_AD{L=H_uzyZ@#!bM;bo~LP+ z@Hl=7K?4vIAw^apFNFWiDN$rC&WIve%qWuFz9PwxrATrZMIulzg+M_x$fR1M9c49| zNoancU;=@{Fp4$S2)`33$b(+a1B6LMy&?=ej~u-P{~yAQmF9GA5tI)RrkZ>rc-mEV z1=1lMow{0d#L7fREMjy-ZeK@a$kGux(1J!Bpbn;>qjJq;fI#LV&1BD#-9Zx2kHaL@ zQiKpZ4p%cuUrpv_Cfew zj`FLaHT2R>l$d6epSusEeBVu?q_vPJN+KAeq#o7+)y%kUO(p%e9_1ZB?^Hh@F@8=> zi=Vf=C9p~D@A>k=J~~noin8vQZ)fMkPsz^CsvQEd*jn>il1p}4NoxW8bPs{t02?!Zd}yzcIWsMkA6)WMP%uL4<&0e_`IL) z8O`?Rp?1H`F+?F=5Qq!z8MD0b?gJzUG03mp959G;Rquo=Rco|~^f!UR-Y-y&A{3s( zyVHjchIV-dqn(5Sxcg8EYo2X4<*9wwwz<`NS%*~xHirD|x;RAgrWDo^ylf~>#XiYq z0EDaTppy9{>vQdDJDHkwM;^r zjweDbr6Bwc=hBlhDaxxyBbVOgFydo&0muDeia|{?>N={(dnI8Zd-( zV%8o%F3639YM-^!?O^1#PhXpL+Z^`6R?PIqNzBGAibT}4Nf!>dSFjXmbf`EE{CR2& z+KrnkjZ*O0%qtBDy0-y=ojn@b`+kFN36cM(_H|{P^c;m7A0}`+GW!8`Q_Pl-xr3pc z#km*6_$}Awyh$(8xWV9_y5RNt24n}}Wj>MA3mwK0>%c)xticg}S&u_h=l?CI@lIw+ zV0a-9X?AHGm|Uhw9JWX?Zm%wFvSEcB_5I1&t!ffpFVnzN7 z8!QNS30~MB2(Ky!CUD`cDD=02ma}k6?`L^SG^TlE@dFL}t-rsfdsF<2=k%e?+OEG% zTm~8JCrq>)p6HHU3^AVdy^<59;T*0@SdnKUY9rGR^*f_M-;pvJl$*#Un})#0pem!m zPcO?i8XN&|>?;!Scf!CYdMmJECfYEk}fgyI2XW3PqQ(fDwrLq zQIVA3ZOEr$ry%qBN6tQ^^vBqypn&HeIdh9^HSWB_PCPYBt4Auh9X}5e`7>;24Uq)_ z%{M#@wnIeF-6;bZmM;>NNk#gKJe(Dk|dunCLEA z$=B!Oe%d$;C|A?5=UN07rx+qWM-#aYu47fvWm%$YYi$gCwu+P$m zkmSK}*~tasvQ3W53iwHY@6Q3=c8g82Jr~CJLT1;;EIv#za_x-v0YhkK^dNs{lqYR1 zIqy>HLKV=!T5yJ!1MJyUZ~o-~IZ&8~sIHlcJ6=SItme|pE)b5K!RBTPOk33>pl0d1 zovb0U;YZSS*JwS=!^bSp8z_cdcl<_F8tfhHPyErmzDPWu{caV-lI*g2ir3K2F1iS( zTVCx# zq4P6xvrz7so&|Q>M`xbBV%o{R6zc5LdR5Sy*tx&m z8t6Q9sDQ;UoHKW`c?1kCq8m+Ac)>s}^oAS+Uj@+%DR4CQeEz6<>IJIjA%AQb)xb93 zw43*fvk+p$C0&`R*kAFW!pm(pZ{Yp@_5aoF9@-I#cH-O;syU8N6RN{jd?7P_owkM| za?TX7_}TmhdEW@59Vd&7Wt=SD$GeJkvbajdu*&dcu^*f{CW~E==XyRpK8P%HIbb=~ z?gR&uoKV3R+(0GoHlPH07FucUCkc z`=|LF8&`X1c&I2k~Dre5; zB-gv4nGdL3N*$V<;Ij|cVQQY}`i*aZ?$xbkL&cb@2⁣dPxp*Wj&%Yah@|7%x^BW zhi@)_TgGfvg*GJ2Ln=)n8{{&Vc}AtvuzD)ZX)c`qbY*7d-b3iHc_f`g%Y3^gW{cQ_n_jT3~$wO22U~34}iWv!|(ch zyE?(Pb1=E~gIVF1_bqsj=irvs_H`1N_-F=4#dC+^j1o@m;sIUj^X*gw>!iN_(wx;T z{5o-7>!cU6PDb2whekqm(o_l52@Nrz#&O`!Q+&A27c!qTbvicYVBtM0rghRPeCCBF zIcR84Xo^y4>fC`N>KvoK@ylIjZP$NZ*!5>>xX{$h;>NrD*5bU@%n-}gGDhPiETLVy z3f4Tt`lOE|{)`|245Q4$FAs)py|7T*^;G7QA5fiBltF>2*cs#r7_cZ14s^V)g(KYT zp`j3va+Fpe^hu8MLl75Z>W~iJbyzG(b4xojueOvVR<N3Rn=IpTs38Qc1Vx7m zLbp5?B9s2wha&*>Vn!|RZ^sW*w5`2g@3kKIdK$${)qNv@TZDo+n1T?@#Aa$Hx|k0W0O)FVfI44j(> zcqXX@ACAyxl4{DT61Wn9?K{rMI^^4C^mdRL91O|Fou~oij^H4(Hn@^y7yya3kfG0# zogk%Hjt87fe!?96nINTbMN|mFJm7R3vZ~V@h^JzoSc1q-A1*kSjBw~qBv5S+^YE+f zE_#}866=n%;y!6gA_Lo36$i@ zs|rUh+bVIycO!rewyZ@Ws@KeMSc25bkJn%*Boj`v?1_3Yd}^4Np4i#;pau$Jt51Cd zeQGN9@v}LG`O~VAQrXKGz=6V8vk_Kx{jxvz|o8|&?prr znj1qp*o!lL*TPO{3t9PCR^%|)FMMTyAjn2Q^dNu^GLKQi+zo@u!jDs&EJ=sKOGQG;b+-^Lrf? z5-)k&G6UIVjz*bOEeFXT$VNj#)vWeJ zl?I{I5uAgWXJbu-gKXxosJofN@+iyf6HFl$3K7gi729#(4{QX6DhLJVci@03n29Qw zxffMPp>P#XqAY*dQN5xPzJOj%{8NwPJ7U;{2N|*~UJHBPnda49`sjW$nYuQcB6UdN zBWAjh^jz^f^lORzA_$)(;jw#l&ugVum&WboK!yQwaRn4>C6rtpOx_j13SBFYAsy;m zXJ11r|8N8SV~un%Tp3<@q1WHqD+^kdq#}3XJhaIk<(*0KIf5o+Kh-vYf!33mt(UjLN{K*d*#xb4;a_&7`Y59Sb-|ybyc|9QU%d2;pz2k3vP=%-X8QgB`a=P7fGq~N){xNQ64cwr9wF74G z8i)RT`eOEH^-W`Mc^ppco$721*!##gFFLSb>QTSf!Y@tlegi9!aCFgXbWtJMNhW>Pa^yh;m|TMJ_0W$5^so*G{yaT!xavb*P!E}S{iF-c->fJs0Tix4~yAv|C#V`;f#lCsSmlX6NqWX8w(iaS1w zzmARK?C+_?H=c^Y`%WO&!8;ygvWAqAwiIW5%alk0vw}`3lQnP@N_KGIpspo^B!gu} zP4L+RAQ)_z^y`^Ko|I`t89efR%?ggnQP~j5&V2R^DNUj+_q$C${agtcXNmfl+vq?9abia{53n2Hoje$q6Wxo4aWk_CGqL0;WXu&xALJPmYB&ps z-Ep${oN>b}u~pF|ZureN6R6Z0`<$5o!s5Y{i5tbnAVCnAPXkOM%}?zt#Q`t8N4#U7 zG5elecRiZ8@1?Ij`^Y8lth=8Zx_ydZ@eM%0x88`(WxEe2kaO%WY zYQvu5JJD1>iljORh|5e^redEv$>`UhfY^4&L!r@OF;jXARKlG+gth zLb*eO3gV1!;*ES8h=V^BIS~91?M)?F1ztO=3$)^I>j@+&0e-d<2mVmsRP0v^4e~nV z`t7q5GRg{1$SQ-ZRVJWTDJzqHcPd9768i2d5WNyv;US~=mia>nwL0whp>_oPfXHCQ z9|9e75KlggHxTE5SAnx(cnlQ|s|PQxtO0lhE~_4papoH*v6ZV!T zGLKx9bEtU*3bQ-lU%|vHd;HO&(A!)?JmYzc5e(?X9k0V*M`PY(+{DMgWPAr3hw|Dz zJ3Zx&N_H}!+!2@6Dt8#!Q?Ylw&M0?Cxcq42D7OLnr*a3u;VXAQ6Z&FBC>2Xx$!XBn z@4TFS$^Uz#Yfdx8SYq( zJ!)RKcnSt-UnlXOBX$Q1j{got-Tn%D2%HwN2ZbAZV8+B0nw->3S_ej2qnVWEKMBIb zT%VEF^oHkAj>|GglLsM+2h<=H^@^~-lOX1FZV?0m5e82_5#}@{$rOO;me)FQ)fsFM z<1gtNn~J^q1UH^0HJ<7$J-3k<9-n%Pj>gSwQq`PjWX_FP=Do&Sb}>ATpY-C?R3JXm zU8R5*BU6zdxd~!$jfQa(sWBX2?VULAH@@?Qsi~ns1~>3bBG()GJbau0vQV&N zW|lZ0vA=8{KRX9ireaH2v9tBmQiK{QgOyH{dr(=7%_{-Vz;NnpM;0)q3PcTF=7qFiopXU%W+W7>jt1g0&| z9Zx^;cQKq18W*4E;x=nq!@C?B+jJbby)6;U0{Ve$qLS`l-=CFRnj=Pbbw5N&(6boc zMlU+jRP4*g&N$xR#`5qg>c(@Jpri2ioRT+lyuV0ZlC}5a{j0za(fd5!V~2a9?7zu) z54HK~x6_dd$qr8QOMrknvRb2-B6}(}dWMGMV|P?-o!h6^AK(8{x`R6T&19cK}w)kE`u96{iqewbIj5ka6qrT z0<|H`PsPrFMj3B1D31BmYk46=z7@;eI(#b@hrk69#eXHfwa5xNy{2V&@q;$z#g9U! zAyI7Jwz;Vc^`;h|jC$nm7+qHC9K~na0Vd}xrz&2DWCW*?gB>&T(Ko3ICL_bR@NCJk)2eb3+@V&Sf>!wI z392&mfe03!XmKqw#>%lV!dAGGEwNAgI+XN^ap2frp7f^S)7hHr==WWW&2 zmsi}eMk>#(lau#Q6INU%hT!`y#SkoJ3_)(+5M;P&+Qxy z$P0Cj%W9=_kXxLSrA_A`H~wjq5^>?FEIHs2JV=ycDD$Hf%c6HIW1f5xJER9k0qh!n ztJpmq*4Cf`*h_rYF->6B3<8?4Ti2;m=h<0(l`NTy(K0f!v}5mWC3ze4%U;U*wc>NPJ;^#T{S7Uq|tURQ#Q2dN95?p6pnV{oXgr zh$JxEstigD`SP$^X2>g35iAoj|Daojfl2my%TWdq>h3FbtZG8i-|H2;UWCHRg1p}6 zzPQqm`n(8*v|!G)7W#j=*ZYH4dy(o~FH)U$xJD{zPxtCRMiP9u3kO81FRV0?szbQ+ zBJ@=36-Y^>N}|#HU{?|vE4VVzzDqW-6Wq?ON_1b;xDn?O*}lTk2+I|T?iS98pG|aa znTl1GvgTTFwr!@Tc%8|0{6yDtnRORZ{VK5>*r;g)S(!;LP$cbJ>)!DIs)ua(oLPNY zIWOqrMpQg@x4lIdgT&~{&RlE+lQ&ZcUVe91Wb50!r(!<B*AcUnKHd z^2wh_C~(TJQu&=I0_@dkyrmq9d3#8HtK1Vt^);ot4x9wXUti_kZC{)9S@&n&fI6VL zMz)Th|7c1jk+~ap&?0kLR8C;rOm*=@wCGnj@%+O#ZeEF( z8T$Ou$W~U*0%(29Tj(ZDa1##u#-}bn%=naxArZpx;|pj3I9@6- z2f?cFFPmqzoQVPnfpMW*6#j~9FaiHK@r?+&%Mms4r)Ji~J4KQ_W)?!u6LmmJ?NouT z2hC|nP0Pth)yy@yqKP{BTf?(xR4q`|mL(*9)|SK3jaz;z34jt@0||K{=)>pBNW2|qWGrJbGaVtfxWfW$z>*L5e4epTf~2?o5{S>qQYwwMM`W41hA zJb`qmy>G7)ov*_g(Rn`zqjPfmIwwPx&dC9t^MDrj;*hQ5PUME_96wie%v1bXW#||< z3pap6$H~Ac9SQ%43-~zIfm5haIXoTfkT?R4u#V+SF$w$~PIUHcCS{Sy_>#(njO0oZFzf1|;dVz_uL8Wi3R#4A^)=oEsk< zzy}CD8cgf#RBTyR z>*|1DK$}yC=CE5a?xA({NY=J20)|?&&N~6YT0m;x)Tb8uuNVE-8U5Eft~NB!4JY+w ziGXW-7slWw@(xsqDNZNC5T@q+DC150k1a9_kPKM7^#|tXxX=XKa{kHSsF#3V)Uq6@MRPT`3XQ07I>#c|kxo{L&aouWIhY&ft^hY>XLIA3b!rD!1hDY3 zt*S4p2zXpIy#Q4qWUn4pcdOHtn#`#0IoQmon=qpees8D#%C}sBeLBYCMAs;{>XJet z7H#CU9=RRr^C+MGg81W!b737oZN<&a89YothfO3>Lf57uRWh}g)12SKk?}MTuCvfI zafrjQoHurDFfvFm}Id61HGKzLiF7iMk*nw4?XysTg)mwh@UgjP)q zkuBIK!s(VX(zl6v=H*6$t%x(;9lE4DF04y`c@T8FmW6R2vFbI>Ca7MuP3%dCAeCqn zzNW#`UemB?dMD)2%8#Y*FjBM-AIm|Z1{UgG`u*ivz4^@HC0%<^;ERC*8Lg#N3M_ty zDL`dGJidL20$&Og;6*^x&}UQ~;Oq}@?op!*8BSvqm3e1?vmzogcNoq9+`9sth*qq9 z58G!haDdE2!XkC!cw9#Cs&$APtUD&k)8vIHC8J|?6jSOd?wO42C?ludtI#Qb_To)3tf z0=bUjW(keQH^2RNJQLQ{NsY^XNFuZ!lEYptz&aKCgO4ti%m9V87xkUPUR6|8dZS>v z5SYaHaGOG~>uz@JF3Mzg@N{nabOJ>koAGTKVcdXq@`o+D+@N?|?Xh#n@>(>on0t10CNBIN^)lm^5u`AqCoY=jM_J9|=0 z3>q*k!@&%p+G_Q5;SI%1ye_!>vK*$n*MNru}2vv!Kkvp(GuV=A-cpwL*Hhsl{UwfkZv*l? zb(jTNAF{j!S+8H`1GrfyXMHiL??kEZy#d*c<*e_mfxP9&^XfA*@&vNH`pRam?^ROY zy?=`o4Dk&isiNJu3HRvDBD8CD$q9H#bL98PGL4>(46i~Hn)hgh`mye**dU;*UZK(& z(WiU8=G9W)#i-94t+;8@7+QF}{v^~0hDKT>6=?u~(<4{mFM3o%DpD)yOEtYL%DkdV zq@qP<;jg#eJdQb$a{LXiH}~?|%y$;bO#PpAZRQ>*K|+M9iSV_VlYoJpcmJ-<+zdTp z_4!|LZDtsbV%rs78b2?s(rYv2cufL&{{RYje!Jo&<3p_v^!Uq0Z_S8xNBRiyqR3x^ zUKHMXd-iqeMa}dgx>J+7Q>-CUFn3tiR81?7Nkt-(4m(M+Y)Z(Q%r+$3Ro9>r*au5A zEZ>{6_QYDUHYFOt-I!yWak*Z=<2+iX4I24BgEq<-=VE9Gb(cam6k@}Bj183Ge$xS) zUfic>3@q!fJyD#bj{6k#)9o?Jo?29w&~>P8Ip}5Rk@d32SU(s0L(COTG|FG@gViJK zE|Wd32D8Ui@3tlE@Jmh*eFisq64DUvF=lV_u;B?HscqrbOq?cWNC|Hq?JQ>qe-3vH z16kw`IKoye!GXD8;v%;!)(qj@whN$Q=%x?76@94rb-@l; z8L;_v&@$sV_c9u_12&+5*8wN?NC#}&+KG0C)&t%)j@uuPk^Z>y`CxwxNA;_ZrwKk# zuO@gGj)Cq{+P?I1+5oSjRVMmZIQ4d4b<9q{b zfsJR!?92^w*MXa|v$^Zs#$eAXVC?Z@b9AYuX}VMs>S&7c;=vo~r*tQ0GWz$wXh^Db z>kRBo9+*GgrwdFdXyriovOP?@a^m(1zaPkXRmv%gKclZoegT2PDnRsn}N3 zJW+*mO}NzU*4``~c49v6W0?n8fl~oh+yJitmS!`JYwc=_pNJU-;WTZBAs zc`>L}l_JaQdi7dWJ8v}e*H3M`7@K%ap+Js$$9wv9Qt|I5-PY@^s-fuklQ@)(i_4P; zG5n<>&tAgi#?$zVOWzsE`kiEL*Q{O0ikdS;Bgl&uZNy($cbt@p+{2v60RD#W$6*z6 zKMo1TUmK|OZle&i3P4MBl1~O#bEHH*V6y^b7cjCa8M&pfG zpqvX~6)+8b&57oEfj@+0-BI9!t5iCJDR0Kv0dX>v1a8I|WhLFcQhUJR{)Q&Cual<= zcr(s+miBMPsmygV4l6M2fC`v`RVW{sY!w>!q-Ii>e=`mfbA84!q|kQq`=jp~sU3bp zRtS@ddPSHs68CzibBiDlh%k8aiQsA1)+0!V^jq^9u{E)Xty#p_n%tt|y!T#_11;bI z>ez-ui*q4A=e=Irk5soG1~Y%`0z*oo3p{s`St4oX%4<@Q-%3{at8v39;;u08)+`Ex z=?Nxa`UBuWFgefD^y_)_z8W{o1pd298bmszq@w^D?c9npqNHIEMoHxMl|+WD4nYp1 zBsdaNa3rEZCRGN_ZO}|Y^Bsu^IFeyxtKdlFcN~d4@B$tnOe*RXVF5=nr*n&-e26gB z0d>xc|lIwA*Jz(aVt2KB5%c5z1!{<;|YkPczE z9vJ9QJjGusaz}zO^=ABq(kUSo`LtvuHLDj{s6oyYwIDBA^d9_`nEK9CVPt6A52DCxtd)yYy5tk7m>N*FXbBe=r&9NWGiym5;Ukob5^yi0;pAU^_;XB z-&@5wznj#St-|_c6-p+NjXPIZ(&-@eaxQntR+MC&`AW9BZ4U1PjhDiDzy{L+9qeW= zwsT8iRn`o<8QjfLEDBm~aOH;ARiA-eXIp9G1$6QC_zML!rXt^xbibyTLtJC3=r*LH zMQiX^Jo~MwNGEe5AI0C6^WFOqv0$1w%dK6OsKMFW;NfCMZ;8sW10`f-=avjFjh{G7 z^bx#`OBcntZ{yx_?E!z7aeg}yqnEn#+e2_)_34q>_={Ma0g_bY z0Lj{oJ6n;p3t8yca;7MQylBxc@z!m@`E4DbLI1l|yDxu!+vv}4+cxuR zlv$u%0wwLu6nFD{8s}wCabNu^ndgk6RsTE19V&zx%sXGNex;b3VM=-xHDpH75h@G+ zWjRBJtWlI4@D(25o7>1S(-imFL)G(#!OUN9id$TjHN}1DTxPwDDeh75p!SzH#a#l> zXy;bo6(tRWFiIK(=_`p0SxO>@QPRKi6u0yc(b0OeR&+D~!sw_Uq^~0~Wa)?;&=C(W zXNud4Ys|qZ?#87u{^PGd#r=k4-Jw~%s1dH)!}^OpgS==_JN|l8+)pqk@-W2zeIr}oj-C8U<{fA9)^TguKV^A9g(V1qsivj25&T>Bk6aCMb<<5Qu4ERr& z<$j}xj*e+1{1!8X?Pt-;AH-i+5wqM8NpIA&ndNRkDq8dv{PkwJcQGf@i@*Cm%Y6t9 zgBkaAmiwo9qK|)xS?=-LmjD0Ea(hmmZkAh0m$9?lQJv+sqKvnbyZXt%EZ4bTobPks zFvbmC#&y2Grk_Xby70KM6@R8;?}Y$9SHUdYFIu_)2fd%HwPgOw z!q>K?@e^#9c)A9uisL%*|dExQcW(#o`9la^6Q5$MvttBc#EV$mjIF zf=ArNd*8nU5#`Api8~=_{94>}WRZ1N0ohdScQ})77eto{B7+<^tIlIhT&Smn#_jD_ z%Ob(!=rynL*sGh|U;LGfKAk{k2BAc^uGHDWCM*Ni5IKH$3W_69!3}chFb;~(<0oN{O5IqRdxKo!L6XoeoN$JCaAY9Jw$T?@|I>=y|iaiVNbf*;j%?_gX ztF3etP8tfI?({8E@R7$HPsaU| zmmwVVBd>ahv1TfE7SE%npf~yrZ@N_AG`~jhZ#zBI@S7l=-wo@BfD6037$_1cxwFDN zlnQZiFJ$0Y4n8X4yQj@Ug@>c`P$g@aZ9G)^DTA-@*bFb`cqaNT zGOgg8CRzZgsmNovLY&t`-^0m$H&IeeG@8#uUjnxD=fp&79wqktCffaonyArd>Ruf* z(WfdxCaQ+?942a<$wcovg(i9wfU->F+!K?}L_a@3O;nfDMBijH!X_#~RWq3ABaoVk zoQ^Boc};XEPVT#jhSWqQh(tMi(MtfF{=ArI{Nd?M^d_DMg1WpWdivy$iM|NwIZT9o z>FImXLnqNh6)2r$BCadqhyl|Cx`ngUM2VaxI#`-%BB$4j-iJ&pIAjN*B&Bb@a=fsvY~U?Bx$)-;Ko9H&>QVBMe3x8 z0oAr;>^z*Q!eri=kpJLk>YFkl7t#9aBl9H94QRH^X6d?G^XhmtYHr(73T(8z8Tw^X z{!DI+5}Qov1}$BQ(wKD;x#vDIIDJ_psQ#dQK6@dzrQti%JOd|baG><4um%H#+JY2; zvMeZwPh*%Z>aQC?(j5(|5f#*G1VN53=#@c1d}_xCy4ncB)3UyD3ZP>sr!R+~hp1}f z8nu{C;6}O#*EnpHzxL@-XAZA@4tN7EbL` zg7nXKcP7PIw0B|!$E5Es3n0pric2ZqUj|TVx&V`I$6va)sTDA3^Nmym3C3S8HSQ!6 z22Zyah)RZxN?d>Weh_9q7q8wb#gr}p2q<(1O2c2s5%8ClB0-^0skjCkS-h7@Evf@< zwhzxkqFECc`x^5!dMmQir-(-9fSiiCde!cUM^v=8;_<%o@m^Xy-p3v;v4X+DeLg$a z{q=Iw=f;nMb1GIKjgc8~G9E{}b(P&*-0>i;u)L=SYi;yXoPRLciqi$@T7mZ7pU~LS z_RfS@n(wzrB}w~czJz90{xgN2)8@7<1u#x}IB4UqJ)|~n^lnJy3!P5OWwcyB%E7LL8nEjfQkF~gw#|H|)&zn7U^e;-x}1uMOBmiV zY^sNs_#O8uPXzq}lbh_$wntqu+}SQjpg!sZ&zV9M;~#0k?a9xa3cwe^njCyilzzi{ zZ3*P1FA}wVZ-h(-c_7uH<#9Rm;G)CuifDACINBv0iLn6JXZb$AMh1um?ibai-z%c7 zg(xbkj(6JO@%e{Pi$-X?EWSpcd#-~U)nSj`$*=I5oan|24}z<{%xm$$6|q;~rOI8K z+n<_+O7Xf7zSLpv^AFE8Iq*WrKc)~TtAymM@V$%MO*mIGS8ielle}C1`G=n$J$fW? zahraOSFYh^^oMvuI+|6ZlK2@&hrbFf;Y%j6`B5|5j$!*0YsN%(_-gp1w1^+|!K(%7 zr+9543V54@?}ifPQL;vv+~~40tnY5o_lpmD`qnGIQ2AR>+EHz#2Weka{_Vf~_fz@g zv?~Aj^K6l-JYFIy-{GkIIq1<*IRcr!xuXujf>X+b9DC)V8IHCx%zg|#VJh~xn4rU) zN+!^c&6IKn&KGwK9R{v-`nnnnPb~RhvL9Rp>6gTYXZ#Q=1bx86NWsS1D9+nXfltz+ zSg2nd{AV^vS%VLI@J3k{z`uftV;r7b_c@UfQh11V;29rmTrL~Z`|B;7kr12;u!Z1! zY`4N8YY+}^mt_I`E10<2ZB;q465YL0dEiE^pP_B->&keZ_Yy4Rar_yP++ki2s86GW z-eAXSOk;2%m?`LzQjp1}p@n>@0x~Jmzt@q8xjv(4WR39qxAm-%0`LT~LYP$4E5e*x zxq7|RxkV5NL>N5zM3~d8NcNJMZn-A?H*uD^55QSuy@7o*`V!xFJq*RTYK zr`92B&^m{~IxK*H1rsNwXBSNtjGuw@cpOSUSRfAx zt`Sj?Pe!pm>nrZ)$DA|$ckzIOHZ$a#P}H_%_{}(zz;3FymHUBVoJSo4qB;##9FZUg zqr;h7FgiqWO5d;CFHFWX-a-~1D3t6TcSV@!#+SY!BcR#iVJ2vl`PA$@9=-60I_-2x zJ{8jwtW4Yj-GLK|M5@P~cCs>BI8fQfXQeXcv$8o_nNdXd>ekC42G7&Jmh&jxR-lvl zyWx*hFP`4IR}U)t2-wNS$!cx4zGq$*zXL(`jIw8pbT%a*{Ubs4_!R=C@R*=fFw2OejtbDMtDHydpFjEXaW3Xd!3;mJ*&`^-X*_@k8-@K2SGER}|YzDZgSP3e0)K>t#LfcKyhph4^Cr(Tk zAaqQ{u94EdSsX@y|1@sE6$QKr;?f67fiqAbYy9KbNd@D8rsN*~OgqRLj1k%6pW(^X z%PV05G?s^`KmN5I0-26xM^T3hrvltT&1OFK|K%Hw_hosaIF*1w$867^36^{ELf*tdibRD+d^1OZ(d2ic@$fKqi@gvCc_gZM`O?ozJpRUd(iQDZtL0JD~-d*RgQ>{KtxcIq3Py$t!LO}bRNPr(LspQ?TDKHHzpQ&VvV z_ZQsSUPPJ!!GOv&L~_;$4j!Ur@>mQ5ec}SuKV8is zE5-bQSpinX$9y-iDjo)Z{$*@G&ZdfL@Z=CW)emJ6vexfZEIR%+bPqa?+AZha!oP0B zl2_5C7B>zeARNxF`WSrsHRoxEpnW{2WyE?#k%?GOd`B6mzf{pP{5nD^)+kFVt6VAV zM66{mCt}@?8q-Gz!2O9>Ykr$2j>u~Y*zf4py8F8C0puJi0FzjH&|U|S!%ZQo$qyiv z`yN2v4AV_4^`b}1!CvH;DBPDNT(0H%W6ONQ*QWmjzQyp_VtA0#97i`hqLU*^@Q$UsP`HCxaQ91nPfOqOxiBggfGn_Rv5 z*Aq&P)d^_U^@N5XyV+Z*06V4kKyRG4`Wq`J1li5TN?k}gvCDS)i(d_FtTb`ZfKA0_ zGij|HHO#4<6ZOC~&;q70s11D~f8v;0d*IP3E{gP(gSQ&)2{f(6q z#6J(q%ZQDYb7q^3m07@_K1B-r;mc^sXbCj1ZyPHcf1&NjUW2~;>j~lUkK?DiPT_JO zv;};kfkW0{gx#O<4>m%_`rnO}8#_b^xSn1i&XT?x&e9ih7EU=_>%_CI)BUJAOZMy} zH2%FF)%=66CxmzDhC^_<_76GJZyr&&WX*Oa7Qnx~y`FyR_G!jHQ$w!J5>|s)M{Qze z$P|VZj-tr?e|$Y%t|y!-9`OA;XBhu@9zX}7prOW)r}QJ!TNB_59O6h=$@EEf50kDR zaa;>*?>_^xpj*y7-o@JPQWQPG3itII`gK|%tv$n-$5yd*;T``TS6wp?dshe9XBzfS z3$o8N?9B$db3MTu_Gqe^E~BrR;wbdl{{0^IQa_(z*n7{HatwPvmP~)x<5w_n8NCPN zx(q7VG+pEvA<7Z#&1jN2h{410?V z9I|FdDi*-MP^99pmUFN0CfEr5>wkwmGwc<2P=UCfuw^a$W-0xK*AtQ_YjBal%( z`obK&o^UpNi!pE*s!tVNNcL20i>#^sN!JsOMvdun6=2T2q~<#m5@%~!cbJ9!tP-sb zjfmTRqHdqD$r42KT*#z0=f`HLuKn#le8eHHfk)2+cfT5cMw}6rE&E zXim?3#UZoMn$_Phlq(K8tuZ$Mnj4YwUvVgdH|4qFKtcO`#UVT|3!61Z`1tdW^O&`` z<6b~dSX{@<$kUitf{PW#m)aw7Zl!)8q*gDz^r-V{zj?eew4?ZU-q6XuQ=`~ zw@TdWpz&Q(Xc&&^2MxK(ux~-*KX1*x%0LNvm4VWy?N;kWGr79el@QFqsvja!MQe{2 z%O5N2HEVed#^>_F0iOM{R}s96;nQ78C|7L$r37dLT-_RtAv^KgUZ6w|}0X zyh{n(ymv1p9IKZSxbq*ll<*$>{O^n6@f%>}(mpZD#Jnei2zwm0~m+iLNRD85WieD8*Kj0&^x{aNt+^|RLA z&cISnH<(G8gBEN)dnX*E1-MlW_U>aTSCiiNuZEziY3%(M!Fw_An#tPU*t_xcz0v1g z4_JLxD#`>4x+uloF$*zEpU(@vZ+r&$yl+d)Z10jqdxP%)2Vb+|+mjRDN@&NmH~JJF za?{r_b+3+}fy%mLWw_xWr`zAYMb%J_&N-&l6rzaJC5t@y_>4f0e(kQxd@@nGZ^g!s zGcu>8G@m%U;3P7U88!x!8Y~&EBN! zJ-OI-3Oi6YzZTmD=pW?_X3{7vHE2pbkKKE1#_zo%OEEhO6xpFgMzf0iQ9&8WCHh{3 zNwA^fn{X#C8_lZ&QUQZ?1%mY;HUOq#+io@vP{k|94EKR}4~MMfWp3~Lu_!lusQ)9d0xT)9<4@<(2-#O~vW9xq$ z1p@U~ROYY$Kkm2nSG-c{F9o~*UJM7wzgZwN>!TmC%;U*#4C5J_2G2VjJWYyc1ndrs zkxv`jOskI_w*DWXKtLZoXXe+(Z|<}DD48$%Xa##NeOzXN%&da6^D zE_LuE6i+$Wp%1!k?A~ri86EH!K+XPME_`s(*8hE!3Fu>Tn)-kC1FMfAt$&A8|Ji$6 ze|gNdKU{zR+0(S&slO32&_6oC?%G2Xv1N^~2=>+)`)i-Y^HmfL=%a2)emwVWvicZR zJe6S2!gJa_;;DKS^uzhpvCauA*cCy_o+USxmfP0%7XIQv-y&+u3K z?YSBCav7V%8E?YJ_u};+9o)<0Lw$d{XO7%RKyIw;59IySm<+^GvOJDFaq8+cyE@pX zVr?Q_UT@;R@UQtiV%Uu^eN1dTgi&c>-{26U%FyJ>MNLn zATw_RLMnxg$HqSQHdoGVU-}HT6S7{Y&o)lP9qv@Y*^!Ol{7@7-csx4`{~@dw2YF1E zdBq(A_?x~!V2<4g^>s(Se3;c@Zap7Q3qVz^siI~ukNxJAJ9(tRzmfq#ul_&b5d0iQpSM`*1Ao z_zCjTR|wl7U>mCB+&iRouPm-UicxU&F^OWhbC5~V@^R$R%z_l(?bU*%QOk|P#eJKX z^5e(FUm7kPX{ojL%jaZ$8|>O+;}mKMPAi|yTn~ovJ8+_HRapx~->`IO)}E=U*{E;g z?DPt0${RY^V&CQY-Y64t*HRa7dAi8>ESOln&3qyX19bDu`1X9)b+M8fG_$!HZ{Ds> z$01St{)u*0?z?=Yy8Kv`y8KaK<5R%2qQs-SfHnONfqk=-^kFv;HXvf;{5>0FU~?~0 zOAlk7Jr$d4&LzQVUP04RpZ+(IxHKryQN%4$D%d-7K=pd(OAwUq6q)0ngr8L9=$%6ibHs+8Qg6pSAA4c_={+&^b%Il}|rRw>?fYfae)xEOCu6~GqhF*pw1nptaJ zpHzHLZP^E+i8(75IcBM#qvM@8(6JW;P&q$9B3?i};0?x}fE}8M?x6u6F$LmYJ6NuMW{$>WHJ}lCM9??@H zS}Fks8q$r|e+10*1A_SRBs`*ztf9zZjh{1Ke`Cpn5-EFL<@a>rxy| zyna;Jt_Iso@mh>x<2952*m#}!)(r9bM_`yHUhk5oeEtTu*bjVs%a@7QyQqto5w8=d z-s|7k&CM0BPms#}c-^2b-)vkyD_%bb_VjNA_HREPfX#QDnRva}fgO(5Z)JUE)@9@M zZ$)BvP@RVleOUjLPs z>8Fd=pYp)J?0DS+9eei7j@MU;`tro$+1^%!eYecM2|J; z(Vt;+w z`NyHEeV^|)P@jh?!u+n&3QJ&rxE&1#Q)MAr2SP5<;aHA0D2b&Q@W$_jO46?q6TR39 z6M4%5X)YQ^O=j7R9so&k9N;-RSO)Dz|GH;DO-F7GADei|e7f-QMtF&*QW?JFg=0ww z$iLRO&_&V@)@&pTfyCp=xz>n+VdCHYg+|maH0I`AHsr2q^H*Je%{dI+bv+shIC*-0 zzjmbQ?Z$-#0m#DQtuUMyz?{kJ0M6rk?>H&Ja2QE_h|Ii?jv4zM4^I}C`O$T(+3y^D z{iGQek0hpGJS^^Lq_dqOCA(2_NIs_E#DgRe<jHzs zix1DxgI5~q?DWnck>!z5&h|28kjJz!)Tc@nN8?Bw7vtlXjn!67Wd0e zD;kE-e)I*3K1W1fmq)beM;R5}vDc!{q3Cl(^bvVPn|@S+9)eNxlGqFiq3e!s<817F z5xez+@Y$TBX4sMGBv42khiOL5xW)tU^oVbOE-xP3-ZV|uFaB0Y9ZSsia3!N(I4IC7;WZ~Z_Gdh}OXBPb&) zEfVRJH12)xCm1b?RZ;mRkc8`k7#q+@dvO~qdlGFbwtOR1ky>1dLg|}X{xN(M;wxC+ zusu>6W}W3Mf*DBQqYyFb^KL2PR5w&B)fHYO)g2kGZij$d{Nwkb>{q0$IXEH`Dk5>Q zi1^(@A;g~(5gno$?62368ycbph?yEg_OQtwMz#$dc!MEM2gmA6;*L4^8pna$^1`ss z0@3O@`spEZ%cqAbQ<0}i@I?-N!z$|`AEwqFvM4V8ww^$eMR0Xw2@d?ZbysB9y=|>( zn&|neY%Li6vM~!zoX%Q>kF{=K0j&)wt~t)s%#ZWh3=7a+;8+AwR&2~G?zn~%$*+o| z)U05Ojia>X{1(P{{v9lk?<%)ebxyAwMissU+#6MmB;NfA!?D?Zr+(V42=^>1+laC6 zh}svT)FZzq+{TdIofY(7^oW6AUFJI%845`y$;2vsw{8wrX+CCA2&X_NxF%fXc|mUT z#foy}J~PZcU$}A4D-_D7hF#_0*0Ae_G0YwRAk@5G)cosfG0Y9jLn|;f6Yumi*B!az z6{=r0j%J&Sqmqj(S!u~7maMX5jU^M7thHpFCF?EOV98~cu-uYOmRw=UW=pnM zvelBSESa=qhb22LxyF+G;+(SC`EIDe)?UvkO$tNv2X33qF%vf@lB_}Pp+md@M`I03I*xm4h8_lsK-)v`c=UKAE zlJhNDYRLtbly0nY%WQ7BB^O$o-8vg8U&He0gAl6-p4;9X_Oq$N8n*=fl&mh7=)uO<5|xz>{XmRx7a0ZXp8#3|Sz*aVmaMeo5=&NDvc{4LOV(Pl&XV<(Y_Q}q zOUm9RRDpiH+~zh}a)l+EE!kqpR!gq3WYUrymh80T8cX(Ave%M*mRxJeeoL;i zq{|=UuO$mDInR4ZG&NvCHa|Ile@%{RhF!=WWtiQ zmaMa6y(Jqgxy+J{mRxShCQGicWV0n(EZJ(wRhCRzvcr;{mRw`W9!vIGvd@xhE!l6$ zb(S2k>H(7Gnl3Oh~V##fm9JSJg_h(qY6in1OIBKP zi6yHnS!2nBC2K8NXUTd?Hdu0*B^xcd+>(5n${<@|$!1HoShCfUt1OwcWQQd?ExE>$ zJ(ldXWS=G1TC(4g>nu57$@P{TwB!a$4q0-OC5J7!)siEY+-Av9OK!L14og01$uUdr zv}DGTyDT|r$=#ORW675+S-^|S_;LPV$wEucvm{^WVR+|Tvec3bEE%_CnI+{?w$`@L z=2lp8ktHiFxx|uHmaMU4!jiR?tg~djB^xZc%#w|kTyDuGORlhFvn5+B*=osEmP}f* z!;+nrTw}=|OZHl_&ys5`*>A~pmK?C;dP@#ka)Tv@EV;>&!o-8vg8U&He0gAlC73p zWyz!^J1p60$u*Yjv1G3$`z*QElKqxkXUPFeuD9f%B{x`d$da2ZIc&+TmK?F!X4OY-BX zvh`J=zCDGZR@K<^H8}qg&ZB=Rn}Y{z9-bP-bMKw`Bt@%h^5emKQ9S+d!sKKaPpv)A zE0ntxkFq&w}u%HPYmPnB}J=i^W(t>q&+-!s88;DNO@OA3sP0}_Iy3g?@~O< z=HLOFho@fg+}#zGl=n62{YF&$rW)o^W)TqwmrKu1qA(JoX~`WlPPemQ=H1|;8bQ0CsT^^ z)G$t0YLwcZMxXsSYc<6b5cGv{t_yH61+KMd16)jjt51f5}=8vp>fQu<`tx}xI?BG;p4<}QKbNtp2PFHHQ zjj8-NM*;|#0)pl+&TRoMroh#rIF;GKsmvZurW9v&7^f>W+Qw9VoTC8*OaVbt80Yo? z7gOL`p*WS_jfGHrT3*+1s;9?3~^@>xO9h}PS;bcm2-q{<{rz-CsROB5ym+$z{M1}7Aa0;c5o`Qhm$GAIV+6Ql^ShhDnHJW00O3fpgfFoet?T9 za4l4v%Ix4&W)CM*iu0|X3F*_78f{}LKTduZ!|IbMAc%)?E(mZj1+Fs1smu;eW%h6~ zr8vLS6T<0AjkYnBA7?y(fGHp-4dW~ea4`k01&ULd9h}PS;bcm2&JE*qrAFJB%8yfC z>+R2%m;!>5FwTX@w_0ZkT=NyDGCMex*~7_{;=KCikUm|h(Ke>?K8{lFJT)P#gGCMex*~7_{;@tdy*?S-OxT-S$Kcx+%q#*@5 zPy{qcga8FnC=j5PDFm5HfPe*qR18=#XvH8wgBDC|swtDKVXauSXjrQjMG0CpQ!1O5 zE|eAghCd5st-C?%n%Jtv?MhK}exJ|t+%xl>letNX@ZImvU0&MBbMNOo=Y5{@_nv#_ zPFKKby_UAqis!8N2xx&|IOJUDsc1p9Q#n;^I92R8X({JnA*c0P+Dv1%jcF zbCIW_1=V)tRI%YyvE!troHwR}cv`Qe?X==K8$AMAAlMpmF7{NkpxUOKDmI)dcAT`7 z^Re3lPV2R_omM<&lSe=c1cM>x5>G`7s%Ml_#fDSGj+2&h9u;z0uchs@;yIf=0$L#0 z5^}b9Dq2uIuAC}1oGNylw3PFf6+t|$*V1-c@tmz50WA;=gq%w~6)mVXE2oMLr-~gX zE#-W&GvKsdOWSG1bGCT|v_Q}wa&~wsT2O6JP8AzY6+2E^%6VMKX}x0q6T*1TPLF^V z2>L?KE>A@Zs&&e#V#BFo$4N^$+dmV;(|X1JCxr2wJstrq5UdS3S9&U1P~E4TDmI)d zcAT`7^Z8E)oYpJ$KOv0gT;&na0zq%cx!P0Ff@+O&s@QO<*m2TQ&e zJpx)FSQT=v@l>>+TCJQaHk>MUoV1j4#quDY)+_cuA&lo->k-fbK~KnepQoY))k@`5 zvEfv)MUoV1kl%#hQ1#r`LR z@tpl00WA=;g`68a6)mVblvBlqQ^k&xmU7;)EQqJ|iv3Rr<2eUB0$Lzw4LLV^Dq2u2 zRZbNfP8B;&TFN={sesdZ#r`LR@tj*c0$Lzw4mlt9RJ5RKQBD;bP8B;&TFN;;RBwV#BFo$4N^$Uu+9Ftyk=SLKx3Et0$L!b4>@;wDq2u2R8AEeP8B;&TFQCPCxdueuh{>DFrIUlM?eb% zsgQHGr=kT_opP$!aH`mG(o)WsZwolBSL}a67|*%KBcKI>WXSo7r=kT_t#Yc^aH`mG z(o)V#Lr&`z`=1cTa~ADm`w=Y=)P$T$5k#r`LR@to5=0$L!b3OQ$ZDq2v@Qce{c zP8B;&TFQCl(jcDJEA~GjjOU!`5zqobMaX%Cr=kT_rE;p+aH`mG(o)U`J`r$Quh{>D zFrKr*BcKI>nIUJTr=kVb5z47z!>MA&NlQ7WhMd+b_CFzv=dAJwXn|mQ$T`bX(SmA* za;n&Hs@QSTQqF5W9>mjn#r`LR@toBj0WA=egq(9c6)mWyDW{4Jr-~gXE#=JI5^!3t z*#Cqup0maypap`WkaMo5q6O6y|1@J;ou{G&)o$ffvEfv) zMA&NlQ6z{AdtQ>lOQ-5XN&hdIYpUur=gd?5Su$wM{uy zY&ccyIB6;8V>bt!)+_cuA&lp2@(5^wU@+ud;;Cps^^9_=*l?=Yane%Gqe4#W75kqM z#&b4%1hhb~CFE@JRJ5RaTsc*2I92R8X({I|EkQi3SL}a67|+@25zqp`K*+h&Q_+HI zvvR7~aH`mG(o)VRZwffASL}a67|+?}5zqobf5_S4sc1p9K{-`yI92R8X({J%A*c0< z{Z9zvIXgW9S|I2PIlDX+EvVKhr-}`yiXA5{pAg1#_Id=gK(H$0T;r)|LA6>rRcts_>^NyD=ZfYap4Kb&KOv0gTYC3#u;VRI%YyvE!tr zoM(od)+_cuA&lqj_Xuc#pe^Lw;HhXq)uEg!Hk>MUoV1kljvIn_TCdpugfO0Sz$2gq zg4U38v!|j3)l%hDvEfv)uh{>DFrIU( zM?eb%jUneYPelu=#mcE-!>MA&NlQ6j{9wRoy<-0p!g$UhkAM~k8bZ$Po{AP!is*rPr zr=kVbEagMUoV1kl zf$IWJ>lOQ-5XN&>cm%XSFf-(=^i;H@OfDq2v@P)-#aP8B;&TFQCN`+|5{uh{>DFrKs8BcKI>l8|$br=kVbH04yW;Z(8X zq@|ph#Q~@Fiv3Rr<2h?Q0$Ly_3OVO`Dq2uYQBD;bP8B;&TFQA~$Z5S|{}aM^&ZI{` z3j}+LgOb;JDq2vz0u}c^MQk`#>^NyD=k@O$!z=bbA&lot;cR}Krv-vtA!nVZq6O7% z zpAg1#Hh2WIKrj??F7i~gpxUmSDmI)dcAT`7^Tum}cv`R6|Aa7}v(Y1<1%j<1=VDJq z3#x6(sba&aV#i5KIUj2bIIUOge?l0~+2j$>0>NO&xx`b^g6bLNRI%YyvE!troJWP6 z)+_cuA&lp2_6TT!U`xo^;;Cps^|*4X*l?=Yane%GTiz4I(|X1JCxr2wtsVg_5DbKz zOFb1Ws5UF7iVdfV9Vad2eDdmm(|X1JCxr2wZ5{zF5cG$f9iEC7R2!62#fDSGj+2&h z9v5<2uh{>DFrKs1BcKI>zL2xaQ_+HIopP$!aH`mG(o)X$cL(vbUa|iPVLWG#M?eb% zYeUYJo{AP!_bI1}4X277CoScCeo??_y<-0p!g$VA9sw;7^oE?PJryme)+ncn4X277 zCoSci9dcT)*#Cqup0n2@pap_eA?F%TMGLCc%Bf<*sba@TOF36u6~xne#r`LR@tkWt z0$L#G2|4fcRJ5R4shlb{oGNylw3PFOcLki*EA~GjjOXn02xx(zGvr+7sc1pfrJO1@ zoGNylw3PGAkkfj_{wIX-oc$gFEfBPYoEtn9EvWFr)Lhr8*l?=Yane%GJFX1kX}x0q z6T*1T0gr$d2wFqV&7O)DR7;gp#fDSGj+2&hjx+?E)+_cuA&lqT;t|jSL37CYxTm58 zRf}?}*l?=Yane%G`5~wEiv3Rr<2eUC0$Lzw3OS$gRJ5R4qMRx=oGNylw3PF%cLwpa zUa|iPVLaznkAM~k8bi))o{AP!iaXr}c{cPYB~VXLpAg1#R(J%oKrl1ptn^g0pgKZ1Rcts_>^NyD=hTqXdd2=H zgz=nJ9sw;7OblOQ-5XN&>djzyVP!e*^@l>>+ znx>p8Hk>MUoV1iPb4kEyy<-0p!g$UakAM~kibBr0o{AP!Q^NyD=k*r{@w8sC{|R9{ zXDZ5NEpj=vofZgo$w9+e=c#BxwHqJcRI!PtiXA5{<=l8tz-hf={}aM^&U&29&quUC zFf0cR=R!|K3#y&?0H=x#r-~gXE#*8cmjn#r`LR@tmzVo9Cnjf&n>bIG1`V zT2O7q2RK!1I92R8X({KE3j$8-75kqM#&fpeY@U-A2>Ruq;q35Kw4mC64{)m3aH`mG z(o)XjLQdA@Zs&)7Pr-}`yiXA5{2lF|lNJaR-f$8rUm99a(J?q(NpthN ziXA5{)q1KI5rqLA3-Q;8d~URI%fvrJQ%28^qIk#r`LR@tj+6 zHqS{51dVdgaBlNdw4hpy4{)m3aH`mG(o)VB=LekDEA~GjjOQG}**qsL5H!d^!@1p4 z(Sm9bKESDB!>MA&NlQ5wgq+qZ_CFzv=N!h_JSQy>)XPD`xzkh8f@&c?z^P)xsba@T zOF8dJ2Jy6BvHuBSJm)T)&2!QMK}rr9&fT7h7F2ck0H=x#r-~gXE#-XqoPg7M#r`LR z@tk{bHqS{51W7q)IA8Hpw4kcR2RK!1I92R8X({KWA*c0<{Z9zvIo&RS&YQGAP=h4i z8=1MKkS`4_sOBoCiVdfV9Vad2y!WkRc*XuFgz=ni*F`yLfuK6%2|S{lNJc7LQZaZDFrKsCBcKHWy*X<*7kVmMQ1QkoINhDx9H)vMCoSbXEabFavHuBSJZFPPKnnzV zv)gbk@>H~-;*Dr^NyD=TRZ2^@{yZ z2;(`MJpx)F*b;KKcq&>@J+7Q8Hk>MUoV1klmeYcGTCdpugfO17)gzzphMcQC6)mXND5r`Ir-~gXE#;gY za$2w0|Aa7}v)3b_1%g!}=NeB%3#!%1sba&aV#i5KIakaM;%U8N{}aM^&b1x^EfDmC zocDPuT2QT2P8AzY6+2E^%K1Wdz-hf={}aM^&OVQT76>{+&UK!O7F1ozsba&aV#i5K zInN9^tyk=SLKx54?-9@fL0ibV!Bf$KszW(dY&ccyIB6;89VZ9zv|h3Q31K|vfJZMA&NlQ5wgq+qZ_CFzv=N$G3Xn~+UpRqQxvDd#;W1o5<9vHuBS zJm)TtfEEZ+A?I#SMGLAr^NyD=QYO!@w8sC{|R9{ zr+W*8a?%1pNys?|$Mc-Dpqi$fDmI)dcAT`7GxL^!(|X1JCxr2wH68&i5EO-+b3GL; zsHP~ViVdfV9Vad2JTT<6Ua|iPVLWHjBcKHWeff#m2dni|w4maPNw5#*-jtHt2UD@* zq@|qKR|fI4Ua|iPVLWHbBcKHWeVL5mtn*Z~pyG>O!0FyRljBselOQ-5XN&hdjzyVuqEVd@l>>+dR#eGY&ccyIB6;8EfqmLtyk=SLKx54>JiWa!9d8l z)Kk%dYO`{x*l?=Yane%GC*KrsTCdpugfO17%_E=%g8q=R!&A|MYJ+mB*l?=Yane%G z<3djBwX~g9JZGmzKnnzYA!nDTq6O7DoGNyl zw3Ksp$Z5S|{}aM^&R&mz76?{_oNGK4EvQy2r-}`yiXA5{(@5KrqB`=1cTbFTFW zXn~+7+w??0-TS&)Me@&;mhc$hpo_(SoW=IaO>p zRqQxvDd(9Xr}c{cPYB~V`#l0$AZQCYH+U*qP<1G$iVdfV9Vad2yyFc)Jgryke?l0~ zIp7h{0zqrYx!F_Ef@-O9s@QO<*m2TQ&XL0cPU{u>pAg1#Zt)0cfuK3$eB4vff~rM1 zRcts_>^NyD=lqb@Em2Mt8%`BFPFl)&*UTWE)+_cu zA&lqT>JiWaL1W0d%~R2WYO!*v*l?=Yane%G7Y_|Mtyk=SLKx3Et0$L!b4>@;wDq2u2R8AEeP8B;&TFQCPAwfK? zSL}a67|*%OBcKI>RLHs8Q_+H|PB~R zDq2w0DyNDKr-~gXE#MA&NlQ5=g`Cza_CFzv z=XAefqnxxrP!)3WCvWncw4j=$oGLb)Dt4T-l=I4igLqo6*#Cqup40s*kaE%jK}E>P zpAgD((t@f|IaO>pRqQxvDdz(R1)SC^_CFzv=dADuXn|m6$XV&BXhC&^a;n&Hs@QST zQqHL%r}c{cPYB~Vt2_c)AebI<&hk{WpqinaDmI)dcAT`7^O^&Lcv`R6|Aa7}v)Ut| z1%i^0bB?E?1=Td=RI%YyvE!troSEqXr}c{cPYB~VYdiv4ASens=XxqyP)$)z6&p?! zJ5E~4d0@zCy<-0p!g$W4M?eb%`r~(IAFS3>(SnLUOox3i_e*)XeJ~X}PFl)&eR&X1 z>lOQ-5XN(+JOWxE&>s^toOPax7F7J9KXAHV9?Ws7*m2TQ&W#5IoYpJ$KOv0gtoI0L zfk1zp(Qq#GRJ5St4<~}t{gPvjQ^k&xmU12za$2w0|Aa7}v%w>v1p@uCOT)RyQ_+Ho zKST*m_sf_$P8B;&TFQB2SrAX_75kqM#&b4$1hhb~6$JkNr>9!1s%^@tV$+X!+U$SA zQqISwjo}shpAg1#Hi^^R{wFMO4u+gdJQXddo>5K}8%`Cwc+yhNqe4#W75kqM#&b4% z1hhb~CFE@JRJ5RaTsc*2I92R8X({I|r9nKcSL}a67|+@25zqp`K*+h&Q_+HIvvR7~ zaH`mG(o)VR_YXL&SL}a67|+?}5zqobf5_S4sc1p9K{-`yI92R8X({J%A*c0P+D1%keiv&&P_f@+;|s@QO<*m2TQ&i1K6Jgryke?l0~+2aw=0>RplbET)E1=W4Z zsba&aV#i5KIiD{HIIUOge?l0~xymD;1%lp?bG4_U1=SkmRI%YyvE!troU=nt>lOQ- z5XN)%dIYpUuqxzS4pzdn#H`wJ4{G4X277 zCoSciA97l+*#Cquo^#M6pap`aknpAg1#4toT&Ku{lY?(|f&pjxP$DmI)dcAT`7^PaK1V*eAuc+OoO z0WA=uLXW#W6)mXhlvBlqQ^k&xmU6ya6pTyOEA~GjjOX0r5zqobGUR;4Q_+H|RykE{ zI92R8X_52h%e^ZZ>k)gO5P6KtHdL-bu_oO-Bh`;=iunH&@~p<1Ye(s$`UelDv2TcD zFAXl&W91!fl&0tX_S;j6GT+{RS5fx4RCn=x=S-3G+(t{6-AOB*xMas(a$f{(5rJ`aQVkpG_POhbUx?$gy!NTv!$o zKu!Dwk1HdOx6kCINBgFd3x%`-PKxTf)qxPc$RK=ISpMxk!;)^RU`pgQfF$XXbaKk6 zWkvf>DM}~)G8GJu%zk$2Po@qcs=HbaNFi?`@xL9Xx>`h%(&8r}ZV9T0(jC)WqNt8o z6saSgE${de4%ekmdpnpi-vi$K?6at(3mWp31hXkJS0P`wxtUr~#~P}Y8mj5T8PrhK zRw}yJRq}IvCw$NEmwz*?veb$fvxy>>zPtEq^E3xmZGnpE#Nl*VD@EzV{1LW}4ca== zt1C54n^t0NWpir9qRIxg7&M!u`DW9~ssvP1)NC4~W>g>9DU#V{g;~&VR&!WsKhUUX zyP|hl2nS(h&R)-Wm2Wf51#r3$Vq7<5TF`zcBG`>5_IdZg_xoSnpg z(#$5_hm*4hQtg`?U|#i}tLI<+a4LP;{oh1R8RqnC^kJG^st%d8Z%U!r)pZwd*>4I9 zB&~1c2;`mPNvGf#|UG0AvAjRAZMk$JtNK z?=OKpUrIW>|G#P=25<;%(>0jV`BU;OIE(*woK63tVqe<;IS(+0G!OLSkI8x*7Mp9~ zu4iK43h%n!$hNbySvr+Uksu7;JEdsk$Ea%QmW+cbEf?L5Lf2%`{N4D;vpy!_-e!>2>cyey}+01KoyP(OlSrVVyuHt1c@Pr#lC!|9=ufs zcpPRshGGu>)BzrUly`g_hcoM?K}}uj1=1hX(1(}*axyweS2SE|Uapr^SHL^V#*R6^ zpF>49(T92hOckaSd<^1P3ghW4Ug^V@W~e646k(F0qUg4Ix~afl9E2($b}fPZ?=cyG z-v14f<_7Lg9k@HqzzyYs6}z&Dc~YjFa+mhCCZnWx5=vV-0P@U(5{*B7-HXN^)2D15 zGT=cSKJlzyhsAp)V_-kepL>~Z$2FOMl)OIc=j9?V-KZp+XaLp7Wq;CcFo4KN-(qJ= z7q5bE1d|;N70zR~vBK#-s_)OoJnpgM*R)Ij|61-*ANBx z=K


U4yui|Wu+M8$ll+BdC@!i%jZ_3}fTQTOc)D%%1XM!X;Fqaz-~s$OBE(cRfo z%J$M#xk?anRoSCXhUp~TMiHxpleU1@`dMyKbqQ(_S)Ltcd5EtrLL^X*Dpi+->R|>Y z8uIJVr3Qq|txOV^u%@u?sme{QI8VB2e%5uD9dTqfyPkp0CW_%|%wadEGdkn6%hR6Gb}7wD2X&?zJ-ErBE-E%HH>gwlnhomb{xO@q zuKZ0M@QLx_o%64_;+^kEE!&B8KwV`MgV$Jx`M04iJ@2NkA|gA{Ugu-&nZ}7!_wBtG zOa1J^yL?fbpT|p2yt+>@h`)77>X4*;V-u3BuRvzm#2pMQyeISVs;jIII&sO<3>HMP zG&>1dv>a%AaBXG%j-ypj1YdL0#rr~oziQ5ebz2F1^V+BgE*4_Ag;m~hz&_|;zf&&v z>58;fi!`W3TA!Wl7wKk1A=TYdS-;>RdgcF9-AgM|sq~TW!l{uJIFO5{^J&6l;630fUiGt_Let0~O4OYrZ4?L4#K9oEu=z`9St*afT*KXAG$ zV}X!SwW|a_{>XE9`IGr7poeO<)Pw7=NUYycecg4}o#fh&sRsU8jaC}f!>tAD;nOHo zW|s_siF<&-vIBRm!;M3FdHDB(d8E?fnSYsF)N)V?@)Q?CBOQOqX3O7{jM@z$W~GaN z_vgv{t7o|PuS9J1H46uW3pg?3WVz_+weKMDV_CyxTM?t28J1ZJvZc0E$i45 z)5TBWEWFjRIn+xNqPFh1jhK6=!>p>ql^tl8R*d~4r+=xY`BfBUh7{T~k|>jYZ+$D=}x(c05=IkB+Mh`iab zH*4633WWVc#5z+cVSmGyys(?r-pmR?!?1(R1j7C=|IDy|mc2f9kogeKzBaA>{m2ci zJ$29o!#+7G)EHr(L6;K?``rv43Sz^)R>NLYKYEb)!50zsSrYcI-o^M7YV9vW6o!4z z_^tiw#~AjR?Der>{{vn(_}YYh*A@x;lX!9Agj#!7RH!k+em7lCEbPZb-fY;1H0%`x z!hQ~7ojFOuK5La1cGKEF#R>^p`vk)Nt4A63d)Vt^!~RK}eQm;i3vxqie^>bg!=8u= zHAdKvq05Pd{eA{7XzepEmnk(_AnaSdfUut~VgLS}j8CD~UK;tdgG}f6t-bjXhW&W< z`q;3S;OuJ?_I;2W!v2f035Na4C^-W)M%eHFrwNC>Ch}%md%cFeu|U`_MXWP5685)# z-V3{F?JHR!Al%Eazr2}Y{~mjNY}oI>+1DoQY2=2mFPTQx2~4TSMui$9>@{>bvDUtk z!9zi8*gG}sZ3V*quPYJuc@p+VKgamwT6-TCkX-O)6Guiq8@T+$kpgSz?DV>u?nPK>r8dm zh3og>@(82eVVnbGJ}ywS;}QqYbj_*mm6EBqaegDhylgS<@#CMCNh9AxGFh<;c)10K z(}?H|Fm@O^MC~?bFJ<)~}2rSRPH2Bh!M{RuR$)oEkTd-Tw0#z&a zMV8|DQ%7yW|Nj}=CoPfh(P^5IhMq^Kk^E$@qPe`|0`673RciIcJ6Nl^%PzMPLi5NS zbC+T@HbPDQ%5?bq8}k>>>_s)a3=1|@ns!t&UP-zBl1s4~y%fW)N}cSgG_fimSt6uO zEmvpG5y}gF$`a0s+K6+M$>>-Ob5EuZOfQ&n>U8YytoZTlho?S06)|1@e9IDEp*JFr zqLDuQlR00!|E9-_L0{y_jv}qmv3h!Mi19v~xANNHm;dc()v|IgE(*sPeiLcjak8{~ zxd#(nxOx(G1{I;B$Sjt~G`8%QNrHXH@tJe^agobeRNB-aR0l1`XWk~|ES7TSt{cte zKgOfV-%KvrQI$!F@~59=L}HZtKq=d>{{(-TOC`ZKB|)LVp@>z7x^g|gyyFVCzRM)p zO_D5EhpzP@%DH21wUW%YKD}fQ8sMCN)CO1|g)_+p7~F7eW4#KY#-W< zjJ5~4kr8A1#2e#>#QW1}FC;xtv$i8+Lv(C!N5sj8^=-(|0-&X-$L9nACm+75mjZT3QnAPRcZqO6%QvQBK9^hV5N08CovDV97tG>e!VNbES233I4B33;3c+ zMnC$hpYqaEM^Bd}#meYR1lZj<7%?TW#AuU5Z9D`Ztf`{xWS#{sNiY<&oXG)UD%T!v z{yAEKUK+$Ah^wBZE=l@Q=6xWSG+55yaBikaVpWN~%nE#Pyw}Hc;8VKzMH2fi+0@P^ z{(VLCG)6A~u{HiMZjsa?^|GBQhBL_++9JsyhaG`F79-I6; zExca?VWwG<-)@pu@Z0Q2j%+k}zb5a|zzDtwyv?RZm$y+4(-Iw!HH`$%2uaH*d-%`1JKQ-P!H`=vsfT;e9&5C^ z&>pKYUp#=V=@amhF3$V{*AqiXjHa}rz35sjeT(n)j!nTawvs9|vXVoDarhdBXh67H zz$N+Au<^5ZY@$)(Fz@B%DAL`8ksGhfpieW{g&^Q| z(C(je3On)zkRmTWZ}D{I%btE%_0vz3I#b0I1}W#UvqF%opszueX5v#ay}l?2XH698I3QScx9Pu*ZP#5z28}2d`G&9 zw_qb+653Zb@tF?vO^)mIS%Y(Z=tOLOIE$xWO8Bol2$x#1Y52?Q*vfSn9#*VXkh>06 z3SUu2cKxex{yq8p_Y3oHd~baIZ#4Ngzy}&Z3UZf!+65x@@Ywkm9fR_hqG%{T(s<>c zzBoSrFMlsz{wTziDN_Zp`42JwsQ!__D}VF*#wh=H^W|Tw`8PoB;$Lbr?*LC+`Rk9(<-cE; zf5mn2`M=TRKNCJs{u0Pt{^@p8_+0*GKEbe^oQ7oGiQ1p(Qzc}yt$g^w2egPX#G~~i ziz*~BAwJRNf@vg}ui#(i-0sBoZ883@GX5L)6aOhppyFSf&=YO0I~Vdl9Z$1?za!57 zpZfCrRqB6_^RG?hLu2|+a>de};E%r)8U=>GWc*hbYkXuCgC;l`!OSMg#`M2S{crwh zjQ_KZ|2?ivEtLq)n<2Mah(63-k*=pfco#06)5--F7RJ8rvG{_N4pbK z;{1QY_{Xw`?WabTsPMm!`2WpqWAPX9Wmw&bHF)Z{VEhj^{_EBMu=B4yx_eCjja>es z{m1!#@H_eV45327pF$Gb{>St`$d~t_{o}#mg8Ww*|633c`0qy&@vrUYiCf2tPa7Hm z{CC9p|I@eg{5|Tw2}un9hsN|@T_OG}~Zm&45sOae8({L!d2&u#Y zmtizmmEe#BQwQ^+e4g%lyOq}&c)D|8WmD!2lHZqZ$v^hX`zejVX6x5NWc02x+??@e+F8(Nw6u08v@J2)9juJX& z?YkyPitsVeNua=m8@AN3LD9H&Qp^5O%Y&Ue4q3Lea&b{ha8suq>iXpafSg;ta-jSN z{Hq3d3wcwD&$hO2T!lQNg~+}Lx-3L2Ln?^Gt!o*3KG&$*HGkMRspU*0ND+R=0-H3M z>Rwn`@6GN1juPNzRB{sjshzarTJcoqb`($4gOof;{Jju=^FhSgmXl!BPK}OABf~lrinpau%eAhYgJ>0bxP_HTkLv=~FP7C!SU*%9Abql5o00UB4Y<=M%j#BK zAGh!9L{a(hVw-#}tZdC3F0JVDkNK^rIf&i-Z)huOMmlLuP5dKW{60JjJ#|2DA>Dj* zy7+Rj!$#69jifnjBwm)iKvLH-*ZNHcF`7fa#pgbS@nP`j;?wa7-%OE|AidqD#h%^5%9IzR z&m+W$PYr`Kchbn62<)O)sO>vZKj1)ONhz0c*VCJc4Mj1GaJjuN|-n3EaeS_RZ1( z+c&f8`Ogy>wBQ zSCe%zHhg8EvUL{riCSdFrjg`cc7E(+jcd2K#HKFLExx+Oc8ifOArj7CZ0A6=kM10- zcGo!?QSF#dayRi8q9PaKd;{uYkyq_J8mjA|X2%oEd2CK4=q2m1`o?1DVpKE3@7?eBdJA_>79;-XE2(n)Q&&!h;h2Zs zyrigQHoi={77sYnV+E?Ds)B(=DVpoL(eP!{Kp&URCT1Y#9Z95X!gpnD_2GF8bl4p; zuufhCU*0LN-Ty>G(Y$P^TuQd0y&8M5plit=nS&#)ZRP*@#qZaX>X#eQMBheG-AG<$1e*c&YU-A9pI~oepKZZv2kL{*^ zB(;BR@%zUsH;mCg{QDipNY6-rh}T#5;1T5f^;HrZ=IP=)ykoUE<}N?_H0vtaS09jd zV~6IuY_qxFf&R#`i|a=|wvob@U-n>x$s8{kAB2qa(deq&g;T-8;ZLg(d_4ETQ~WPo zd=!{YEj}3k;uh<$96Cr2P0>R;ai}ypQ?}FbjDn z1OMQ%#G(zs4$vBbhP$b|aRxK0B4WtkvvX9_!;T~H-C7DpJvujpV@S*oz;4G!%ezzQ zE{RTR*~Z3b0osTN1+BSDUJnqkJo6)pM=k6_&M?d)fl8}Wa14T0b~YIIS>L`s1>HiL6!=I+olKUth{5To}z&};*oHO z2fIX{o1MEPceEQy;Y>1GDbCDHz0#d7?1KK`BFZMt1y9@XY=Irbx8;jc*JP2pW|F9` zsm|3k1*7Vk3Y35!2*=}8EV20w;7E|)6y(Qd&r|%5fA{O3=mKwkG`fV+gEzlFU0g1Q zS}wB>v1xL9nX2EZXVnwNtNK&Wf>7maahz3uA3sMe4q$Pl@8dXQ+jhC~AmDH5_&Xh_tXhC~fo0YBhO zGFmClyoMB=F6@H-;UdZ=&IM1~81=#qVsyePi4ilC7%@o{BdT*TqF_{vs6Yw$5iaKt zo;5gJ-qC}9EyF6<0mC=GppA>C_+PsC2|PP{YVkkfUj&^V(!~$Sp*}sd5{Hn3oGDv_ zW2I$x;$In4uT2;KJ&zQ3;NO-7egk9{JCb=GKKX&x*Nekm>syDgU_OqvN=Dv3McN%x zGa~u)`gloDp6BkAn68FWV#;JuOgkeFG7wWRDyCE*ru;w&yQnBM5I^_+Q9A*;B@(b) z2o?^Ob~E5ybfGplmdd>>Ul^|K5e#PS1%vg2V6^gTcj=^&f5drlS!n#F-v~c?pa&~? zKIFrapg%dbO9AB`0Fp9yi6qxe>hKT{{*RPxNRrlvj!Xx^lR!~E=wNhiQnn(c zd!)Kh%2r$EaG&U~&!hIhhN=TO>W%w+vhgF=M@vVoxSOGFF6Cp|w^_g8b=Ss~Y~{G} z0V~?`uki@{DPe_07g+ijmjh=$LqS3mleIuU($yZyrF?tf43>xqrIKD&3mdYSy^D?~T^h zT-EY^Y0UceBw62{a_zY5+r$4}$KqYclK-WP=i^c7Q;Sc$<iUyDyIv?|JC2U*ERfA;ao!zXiwX>8t~)*})y-i_s(TQBMAf~;RyPHsW>G58 zSNVaq`8XBhEpd-Re(_7(b2f}x;?9yof5j5_60{&x{aVny+9mFizmp(sh6@SO0RD)A z)JM1YzbrK<7!@Qcq9FY(FL6`d5~KT2T8RvH?!jND7XMo9XqCGiR%zL@_}8s+pW>0?&G>ikSGj*g!Cu8G_h@h<9)A<7 z+;?_LS^nQy<@TO5)+#r}CX-*~4(ckm6KUKH$n_20D%ZZx2n$|LW8Bc?xXumTUVh@D zke}<@@J}{z7aZ_QG(3dwbI6w%mJe{1*V-}#-{EM@w9D6T4tytdk&E_CeRbFxF8YP{ z8ob-C&>^YWWr4d)XVWbo*yp1y6}UfEbc7VR2FJO)ujVJ-_V&=nKtzA?x}6!wY~%xY zP9{&*S&xL-#6dWdStdlEWOk0cyR&E-bK-@1TK>j+ZtuV~O7C1V?o)FB0Dwfrj? zpSj!w5$}zf3gq@pZ5k5y(kgi+<2O+_l#Q9l_fHAZ^43g;lzh3kiR!GovypC4^2S{K zbl={;uect7YkZT23VieG(~|lEAHpiTi*NX@-u2)Em2#`#D1c--CE)>nwlyZ;{2KwU z;3ZP7O7<$?8RYZY2mHMYy@2;$!Cb0T)_OX_Fe;Xznsck!S5f`IoSugu>`!n^&1(L=jQX3<@|8VRL_KEni`l>|%t1gr3% z8roN*CitLBa0L_eNP@Hd1j8lTAT`0Y5gMOYlbjMv_&G_qk4=ayF2-=M>p2bw9Bc3% z>dR1O4kETqUZmdfP$&3b!Q-mDzR$I^AHpTyoZ1Tq3%XZuph%_V$qVg9uG7kpfs|Fy z(a|q3bYv3?kh;H%>VQE`oQG;m-!_ z`z$K-CN&eoRGGxE7d7O9$6LE%^LeSF4VR2vMU^}cg2vb?`oVoc72OE$1*)iV zyehi8g;g{esYg|jd1fZAin6z971bB4qMuz{po)?x+c;HpFTAFU-;0~YvAyVA-bvo; zUevEuRDqGmwQsK%%>rBIPN|~9ggv+J88y(gD&loT1;zl3M{!lO=vJ+wRKY4bi^T|g(cL(hcU-U+?F+Bz;sLyzE4GTh zf|Gk+MZ2_$8sn;H1=upHq>4U@cZ~DB^s-pa5B~BbD3)~Id+FaL)v1$Av>MGO{sj*3 z7;XDzu9tJ)e{SE&#T%E;T;Fl|%yF7)TYj!@$3NM`yP~-1skSY^O)Veb%b?qE9zQ#P z=aBUK)Y&z1h(V_Kkv5t6GP9@gx%uy1sNokk-JR3<$cCz34+q)JHn&iZj1U~dB%0e$kEJmrA(cXBhU~>9G&Mo8p=DmBXWe72j__D z)zR7lj{e9{M@^#+%LzPaSIlc1vgEFPdbKf!*FJB48}M0mc+V)@jNFa5?WN`doEh*h;C__S;9=30t0dE2 zZi|{zlL<)!*wJ3LL?N;5J=o&Y zCK%MRMF=X(mrXo^V(qwaR74-e;mpYr(K+}to3L@!?uoCIYi~_;m!0>Dd?lSdT*e9x z4tU}>|9<5H&)>_Vlj}bPWj0YQg^?9;n|zgs!vq>idB-ET!*X2@uC>umasH9E&I+W+ z)Ct;M7qGIW?42p8X?{N<-NwIj)qD}FS@qY8p3CO;O+Dx1aPsHgnDG4Dw2skj^?}tQ zw)s*)ygRCY^g?~&Iaw>U+XJ3(G?+?lz=b(b)3s{4KBPmv64e_Ao)AXOzJ}RDhX}nf z${t-#-SnxO!6S1RinlWgKU2*t=P(%Gb1IvbEA zo46l`GZ#znk6^H(LiR_&M8QX_!LMM6 za4r}8rUerGT~X<6*XlA{TcduJ3x2Prn|_o8pQpV}RWE6gJtK#e`{*7O6S*J1n~_6- zvWXUyW5>A~nRFDkRvgQ`UBdRuT6U*19HcgAdA9?4aM_{yZii}=OF9zA0^Fay0$+%3 zu+?1f3l6mL<(i$u~>UwU$CbOfys{*Nxf$)x-Vb*a0#-GpX)K|OM2*-^fe^VOK@4)2Cn z+{_XoYPc0X%yx>emLh@MB>X&rC|?t5l*LU40$x-U#=BeMef)VY-g@U3A^!|g|Ft3i z_mBMlFXTnoXvjCCFy!C9DIfBR=@Rl`6Y@7Bjwa+7$n^d4{8k*7lqvaK8U5~Amwc{Y zhHA+s_LC~;h)%UNpdUv|%B?tG-qDXQJ!3IRgVPfy=ttW6peo9|B6WD(d|V;u7eFE} zSdI?je7-bY_=3);O^dT`WRXC zx6k8j32>?*3-(aH)vP#_`Z#=CmI?4b{5*^;RTU1Ux>ri(-V;85LD`nAspNUyP@cul zkza{ty%&xg3KfRgM1{ePU|NVJz2G2=h7!)vgLIjD){(~4oKPatCHmZBJzc_pmOv?- zNk%KhnR%3Jr8`~N1wr5<;)!z+on}U|mrT3m{h2>YV>$C2G!|LQW8aKz$^DRx4QmCC z2eni7VXd8kpmxez4n^%S0sdFC?}fEiIZqdTu^YEFA0;leUMt*nK&NcUVVe&QfeDhc?%m86X1VAW13F)XiP-%8q)(P zI~ozsvoX!!=Lpw>EMk;Hp&~MysE8;GEx^+Y4zg%C$OStN(q+p69OfTWJ(1j~CzAZZ z^N}8FcBYp1l+m|*iLgsNM2@8PnO}KFFV>uyzeo!>V;+b6Xeh!@Xy83`nN?f!Te)AE z%Y}(PxXTLziMn1$Vs^Oxt(YBT`G^TQy7)$RB61gr?q`X5+!Y~0g~)bQmVm{J>^o6S zh$xSj<8vB6O`iF+s$|v^g*?hkngzOpTwJ7k+_KYURupFT>kmn0JkHFfXl79$x^Lfd zDIoC!GA>r@x;vyOaxt@&VRT{czP(1}dm`^F zis$`aU*4?pnMeEH$u8bM4mtOf7z%8j%Nv`J*;+;j6nprIRYnE!sK(#StBRl4KcBpq zv(8jF=wHPmn~v3{$_jkI&AI~L`rsD&J|EP+)dp3;&8456#iB=zBHh_`6f;bo?Zu-A zaYeLS5f8aI-?ig}Oc92TY~l-&I#(@52>6Ha0Imd}9quywN`mW5>7)hcvw! z1S%LK&ia6sLuXc9;2&MWFOrX*{~}GHUQW*_EI&$f^Iz+Meq;v&oa)gy-@0CLD78b@ zBpI@p0RIbyEDr63=RcHfS={^=JEP{m133Sk7|nl?x=^H<2O`an@#a7Ikw?=_2LfD<{sHdQ?^j$(Ev_~uCcyuK z#>APT@cf6eqcQRP==m?op->T-O;kkm3|g2m=miJ=FVBBp*ax#*iL`(-usF#L%!TH^ z({LW3eG)ov{)_fa-gky7dPVW7C%<>0ju2LF^6M`N296#-4`~YdIgh4oxU5xgg8jpF zxjBzj$2kud=DERjygBb+8>{i>yzLBK-n*IeI32Jk(R`7cRKM$|7;oBJ26=&LPpWF1 zX>S^~Gw?hK9K6bDuXM3?skVGED)49iX^;Onm8B1+y#;gpkm$76fO$PP?UhQi?3L8H znyJYPG3`Ct6HR-!!(HYeN$_DL=r5tn3=I>Q_Rf2+mgDu9_QI9=AOd9DC#RQSq*`~a z;!tWwDqX2F0sa?^RI$_EeyAffbDkf4*%#$dXry8`sJR+^{7p@J_<2PX39lnPcQ)G1 z!E85AV+*k8VZzxmk%Mrzb~I&R)lA$%d4KuCLTORN4No;NS8gfiB*(1SFWkn z=~_R%K~rOqi4DA^d~LA#W%I@)5~AXBKS|xAcJ7zXC&QMXj@DO7W)t@y$4o*1M_ryy zpi$q@Sh!J_;QoTm?T@fIAne`Zu^bh2 zKfcz)3SyIu+GXq_L3Ui2==LZPYZXta@pw_o*}Rs~mLJu3bXibUMY^c+*VCAv;lH?l zg2;?;wuTe-2wXnCKrIG#IvUv~#@-g|z5U@bhR((r9KLY1R;w1kEYZt5NP%Fx0b=BL zfw$N(;ur5JFh<;rafC|CM*24u1`)%@t}=(w+8>lfA3r0qBh=xQy+^ z*>qV8z72#<^4~Kz)9T7Q!Q`UW72Bi zUda$opiy83Gwq?3dt4X^n)s+NJ{u!DH=|YQ@!X7tD?Wcl`#*rq{86^tHXp|uWQUL* zmBBGXcktzYvkW2KWfjA6znRLE|RoqXwD#DRY@4f5lRoqYb&XolwjsMyA6ONI_GI9(W%d5Db@S`iVu?(PH`OE&` ze!?td^WT3z;eZIYZxrsUxSw#%JEbvAM?)XIpAzLzXwK)F3_YU)ALtph(5dMK2mdec zr^x+;W2FWBs*>YPbm3ZHKjjjh2k2S}oj0uGe!^jBKd;^Wg#A!W%YrQxGnE7ju^u7H z?ceO}{e&-GE}0df0>-$XQ1lk=dK9>y@IxE7+};Xb$j@Qw4%oQ;+5UC3D{b2P?*S9d?*yO-%u&<6H` z_Y=C`Y-hC2Er81=cFDoqeo3?Lmkd;zjg{l1eO?eN#Ky`EpNuwEW`jR-vLrYJ3Hk@8 zBLaK3vGVInwH&X<#!5K<4Mz2i4_OcMGANjiuZnOewIl4Sx}WeJ)Db$?|8A@-?~owi zetNYumXSBH-3N_@OAfnO;)os8&W|q9#xiSbaBt_luU|aTIgeKYEM2(c zKVZW({4Gt$V*A`k!xq{ieO}!eS5= zV>?8FX>W0aL+R*|iV5()iQZ56A?gVI>VK!bXxc09U;uGH;rBDqZsxJw@P0zyH_-$z z#K~l4?kDu)IaS%6``{aN^cg_t(`C0%o=*H8>*rMZb-JH$DRRu5qk#DVF$wzUCT{Ox zip160s3*+AcE&x4U`Bl90&VuA+u7(l4(Cb-d+1Pfu)e+TV1FOwG-_QLf5#z$Dm00* zC>WagQ5tQpaKmukz4$0#~3k)A`d0XLX#qFI3fnx7C{Dd~Hx$q%taE=lMAI7;66*y`XSx7Z5u?CRZdKrT4 z-ElZos=v^j{`(w>4L&T`(3=#s7`n0^NjX zKd{qq&J2tQ=dma9hQgouqW=OzRxutNmLaP@VTUaJU4XW0FXkz?k3 z0UWhXe6^$073URh&V}wW?ERooVuCo~LE{%|H1Y#zn7Khi?lSD%pz$WuXLy%^9`r5) zy^qE z_*)4bAj-U1CXrhXw-UJX@7+qk&q12+uPxgNR({(A zcfeAa-^-1P!{MD@K}q2&C}yr~VRmwh1RH_G zxzcnlV~OnWy_JUPkhgDI#Z+l{mB&@(w*)XlqKI38BHyTJz%3B0wefW!%ln_g`@!j$ zQgxAt*HVs$$b6Dq6Bb2pQ%|jEZ=9|9%kkut{E5YKLRov1H5F-dtg>}>avpSy`0f_o z2g||heyd|#-puq<0H+}p^63SmdeHoQRJSPUo`R&y5v?es@_U6gp8uuw4?b7_=Hjsh z3C9a=W*`q6TmQ*CY0-fw8-BHtsS4IVP{yeLKWP0QfOzV5#;dIV=2K(pzeP@H{nsdK zL!=GrKMy)a{lBF3Uj|Jvd zkkzLnO?P6>2lVv?=!E`W!?)h5*-$&A*~~-|(`Aoz{`q^DykEI&XEORVWeHjJ`sHvc zzovW;q^b10Z%xC%_Wcsf$@1IB)o|Tg3`zT@TWMfHf8;X6k41SpGo*>S zPg{I9u<-0r6$chN*n{B|4jPe!-6e~ZdTESQFK8+&ujXrw@KUBi@}G~EH>te0kbJwy zLEY0oxrlGY%`x?As(~ogOW57lV*Ju2dG|n&WUD3_8kOYN3Kf+W4{Z^@=vWEY7x1Jm z3(dO+k^wt&H3stmY!GA<+e)Jbkd)tj59b3k$U5Ez=g;~gT@BM6gbSt$c*`cn3oRObm?J&<@xw&nwHkKMxNGE&%u@!P@EQHG@h8+ukqF2ZF0{QRB=l?4t@bXXA z#OME)v-9~^A1wJ-L7sbWh5`9-9wg|$1$cVlD~iWEL!Mn@@Z4Z{nv`cNeBgXXWEDeTKxN6Y@gwcuyW={CKp%SM;{v3qqdyv*LNKHasci zsev5vV6#p9c&Z6T2iglz3;urcu6+KFB8?Z1qO;@k|F<*q@#xq5hfV$$PBj0jM85oC z{(WQQf3?ZK5kAmARzYs-Ljv(sNiGoVt^WG0K>J*k=lLO$dhuwS8y}CK)a2tas5~ji zNAaAympsWs5Ff5@vx#Fvp6T=AdERPxO5g+W*#jQwFSoGnGxG81)BM*$ZsXy8==5~NTlT|u#ra3(TzS#`g2{+tegl;6 z`-#f)739kukO7F@bp^llH+oZyU-~OM73&jZNj0`l4rxUBuo$R7%Bl(+lSVy1!>%rG z(z_$_-p>Q>>5%T*2hN3wHPoP)sgJtp-O3fX37Anu#Re*E2 z=@dpi+K1LJc;7sA*}UD@aTxWRQY_|<3ZqUJon8DWlpiTIn|yFK2SWKKEmM?tdDp5BA8B$!8;rdA)r{@}y z5gzsMVUNZlw_||vX*YHg=w--p1ku2oN(A*>qM|Uos84s^cNo7eyu5QQKFd3vV~O4& zvcnI?tLcRodUVfF4c5uNt#G${_n8Dc)&UBUR>F7Wmod z!}!>vK6WMLI^kn@#MTvTMSl;aLH&>FjRO-Z?sF$;ajC|QV04zB@v-Nz z2972cCh9BgHPU3h&{6qWIAHsd9#n*AWroluy@FRAcE}4ka<9=S@2KLKx>(})+dp}6G^0u_ zBMc6m{6@vG7a8V5(zh6(_Jd@NIFMW4wEwb{6NT-FcFrve9LF{(p-Hc=}P z9yfkPNEgKK>f;%|J-GkOmfnkua`B_u#qVhd5Q3FB@5QeN2N*w|ztaEY#$rRlzcgQfa2nE2QU>*ggK^C(7Zp^p>v$1$@59Fo~p262!M zE0E*tr=%ks0B>e2HM0?&?aDi=J#Gu#$P3M%M2YN=3+_>q8>#uY%icsXP+I8a8b0$5Cegk0J2 zKT|j}O%jNG#7(s$rGLz3^Y>5w>h<|18AR@ViRH=-#!2jiMeFk{V+Gg&Fyw<1w_N(r zvEKUpqYIfBB|yr8>+=^Q@BM!HE6ztauz8AkjCtDi`F^a%hXs1~AFxcQqBK}0iYaAg8C2t#muy0q&sK5LD%P1_>9)) zPmAmmkd3!KmwL&s&uP9M>+@GC$C+^FVMm~GFKL3SO@|`a-=Ui`SMNp#k`Oe>K?6o)K zrcqZa*5YftK0oBBaeDcGRlIKN^Dn|Rdk9NgV153{U9s!)?Gnd}Auw??qskgaIBLUg z)Wved#jzI|+Sb9nx_E_}&x2@0D{EiVG z)egh>aZwt?@1Zv`ehs+S%o<{Xg6s2BAwc|I23S{_Hs)z91xI%^Jp_0TPsWJ)B}lRGd|%P%#dSdtXNHo5?J>tg>#tz|Gf#V&Fa*-fG=YBN*NDL&r6vYGr}llHbNHS&u&`Pr zbD3~rcY(` zKKu!drIxl(v_HUlh-xxj)<=ChaVf67c%`6?X@kCyQm+)2Mmzc3)2~3N_I@Y7lkq%A z3FDhi38IX37bTJ^zd1QH>gTYvBQi!h>&Cskt#ZV#9UDHF;&!*M%5c* z0#6nAK+9Pd{nA;uDgPfc84B$Zx}e;7J|i|p6Z;sdz=c#+FY?0SHe`dXEc}*u8vhUP zA1tsWy^#7Xh%J2)NSvzR{e!b1*zv*krIjsm=b%*Y93ZnDQ*~<}eczBXDnV0^9Ip;bDUq2s>hZm6v?T1Yz8V}1m8rj%RmXtq2%6|DVH8UQx zk*GjqqMuD`x&WuqpeRFw8aGH1oF#~Mrdsk`K-vQCqaRo6fqV3ubMP?mn68n##{EFL zo-M9_`^&>*xpDhSc=~a6JO@qReTM>fKD0uNnTJ zKr~5wk5QQLBym*2neSuSMQj?`D$Niu^H2l%z&a5)XnjbGksU-Ht{vM5$>M z6PP5H&R}6jO%f%andl_((@&2(Nt9s%#w1Y;#7qroIY~Txv&K?Oo9hQA+UK(#a+5@w z`gCFruB2YKN#ebX=UgQ$(AcBCRE3&AWB)r8e9XokZKq@tl`Qx?sisN40Db}2Q9r6z z*X7A^T<7}HWAK-GtGNF9&kMVb`cbR8UU$w!UEe_0=ZNbIV_Zl5s8?Ozx_lhhT;Gg5 z&ehm?;&%57akFOFkUNnQQLA9N<7dsj1KBq0toZ{zY&+JhIiK|rwXxT5);tCzjU;e!?cGRF}Vz5Iu{4pMp9h07IMqJ-b*B=nqACGbEek)X6H&590xr_o@ z-df}}Y8mluob;9v_W3Q||B$M~)fHPge=w~LpL}MemCv5zpXj;@%U=0y;l@l_3i17a zW2P#{;|;BKNR8)%-!5U6gl%%Nx0NcSfUA6X~Hjs39n$R(x+X3M47KM z{j<@B$$Qm>lJ{11(P&(ZJk3IBIa2l6L}$)T;=g|8JSKjPKi%>KJ4n5BhX6E~W7A2A zV@cPqQr&fx&HjRQ_&a6vgM_%Zl}guDCb=C_%C_Gudr8OWNiK*N^3SBKjq4}F#38Ox zTYw7}xu}|wF=M?_hE!VA*%C-yRT=EY0@w{>VZStu+c@8EBRuX8htTQbJ8{Y}Hz;%Y z{Q*kI@T+y{<^56O6l%wlXioqU^0q+Bd>-Y(rr{Qzg$;Y?B^H# z5MG1kcU6t%hwL=J(|vXHYj>@xJ~336h&uWmsa!ztg^{iDLuvdD38GQ~o*L$W&uV_+ zx4ut#E}M;h@9qQybjLK-7=HFFdb|cMvx#%zU4|MCDSYW&(foJLze2x5f>-tNH_&he z-6(;tOV7LMU(o}1q8jJx7e^W~jO@AyO`?5M5+8`}=g$a+krcAd{MIGmuV=S!zm zH=6Ez;G*d$Z6WEH!A6b8lmdtY^!G z0zXNQ7FmxM^m1A8JLPhp{3;8JRD~ZeLy;=9Nb64zigYugkm_!!tY7dDy-J_xUdk`v z9r-St8d-sZ_%X^3e85lS(}amdjoQwF6?^dYm{AyL`ez+mK)>%m%No>M#GdF>zg zO2{Z+&-nmW&L}jRjX0CxkRwJ=3Da00WW;ABDhTbzpO^K^OY&7f57iuV4z9yDdg^yn z+xf{<1OKd+!AI&rMut)K@M&b1*(D=GVyoss+¥EPEW%%fr9lFOO7OJo7ZPii0?E zr#Nztbl}0O@;467MWM@F|FM~@!97h3e*58qy}7| z+lYnx^!OlHZUnei&@mKe6E7h>y414G&Da5$fA#$L$P>@`=Zj^3v=^CjKVX%7uGDw5 zV_7LPdU{?dd}PY`tE>2amYzkkTzi>hUSE%o?$b^{SRo+t)!l}3 zqnpxM`*QNyeJSzL*K;=@sFq3h#B}jfI16w1xj49#CPZyrp}75I!Epyr>c6)$HKO;O=;1qofgID89T0u1E`9ZOvicw?O8 z8J>M2Z%I>)^&0M7=-p(`jRXVuUD9V!?{8q}6D9w`_~gRR7w|;kzZ#-(!#|q(;VBIJ zt@UGt{VJS&ZNmQlvG*;X%OuRDu2d-zPpE_Q30Z2ckUebMszbt@WJk3HuK68heqq{~BL+`q#kz^X&xo zZ=Kp8>~A-tYIyq+ifjz_-A!5udqrXINWlJdU_CNZVBhP32=>6+d)XiWCk!?X!2W{? zg#CT&^@Ty^jVS%s!2UYa25;}erdmTmW_vTL2JE|2WMi;@jNrkL5cW+L(-W}YdOu)4 zTwwq781YGY`~QL{5BsM2-hOTiVV}-kUkLldr~Rv7-!Q%%VZZ+r>e@hTzs8KJ0sF@& zvN6~XGHD^~9cLo&FId%^fc*quJ#vJ=e)xS6?18txn+=ll_6A`8-T!R|*gwf$UkLlV zQ2MX&_P3!nz<%}%8ic*gjH&_qK@`~->|Y{yIdAV#*as4@kKPN|pDD0^^&aAr^7dAf z83vg}^}W6KpM?ET_WDBDCv&6yUow)}4z&UHU(Ie1_K(AI0#yU{Fa5uUVV`BvLT@iC z>_Z9I&j8jVvjq0%eJ_GN@b+bF5NNoWu>bEr2>UJU^@Xs%AEo~q*q5L-z<$xm)U|;i zbFdjz1NK=I*_gMlBzQ0+gnf;|K9+#}CwBw(;|2CR?;<|l+xfeRHs{{gWcnqh>QT$J zf8P$wXc>3DfmvOo!b7t)ki4oxHP z>{^NyiCB|$Q%#MzPK^^VV(t?iPUqMe@@2sQp90cFp>SV(-CF?j!t-(ZAOFms@X$>p zhD~!t@u{KW5Q@dv5(o~&pMbj;x75CM_RPz1JAZyJ80SyX0@49kmiynCor6tl{0FK& z%*ihgep~iTJXP7%JiXwdSsos(%?}tGeyCk{bzi2G877Y*vU+ zeu3|+o3w$sq{3b_i_@^GMLztrwwpc6RBV<`#kkHVt17v5zWL{loFH1B7Hc_=gAsLN zulayIo5k8Ym+ZFWg%gjN24xoCebl$7e1FPHyeIkN`4@49-VK~v9vZ?wBhMGR8*Qy z3h>UCHte3i<47lTUw`PxNxZzs)htx%Y9Ler^A8<)f!H}o>`YDn5szlh#FAe7NS9Fl z^bR6YK)D?#Wm)t)kT-IMFx(^zso>DUrX!mEB*9tqzLyBw#lq&>(0LzF_RrjCr8D!s zYi5=JMy_i=<6(8cxdx}Kyzd?&ZgAqIH@5~LKB!CZvex`gzI#X6Nf^%^d8sJ<&F@6+ zGRxd0RJzxmp&Mb2R^Tq;jD4uhoblx%y*rlvuXM%;n#j`-F*e5;C1L_age5F~_Q4s? zgzj+0vv{fJjAx6TeXAoE3l^5(jMp6?&UlVczPJ|UC7={%oCVxQUMmdy3WImXAW2+I zaI2m10%7~@x1)CTbDuQHf zA~FtU?!Q@)@ey>!9_S8dd;>4_obgR!=lYeAGX@KoaK@eX7ia7h%9XV!*X?J{_<7(q za+xp;fMIxu%ceLNBa53+YFWBy9m|lnHMMjtnX+UG)<5~Qbuw0TONbAWCiuZSO(vk6 zg7k{vkqn4Vv9ZMzja{C&Ya)JAe6swmIO!mZ7m0q9HFx612M(OJ@qq*G!j7+&n1V|e z&5(4*Jn9rE@evfln98b?JZqXD6wH4X1Hu%JJzV}dP!+-tp6jURa>ugd4A#9H>RyH2 zAUyV&W&o?gobTeoc+a)WIZGi^y7-F(d)=lUZ~L!`Z8eoaB;Ua*`hLu9+!GjYyFl2n zi350N9EN(XtS}aI;ALQBB)7jZem=j91*$treIRNXdGk~*pMLjS^bj(m#ioN9NXTcw z_+_lp-lBC0^jPF)vFQ65XgJa<>{kcuGw`(rvZES)p$o@`mA$O&EEe_)$o>{#KP_PI z=4V<$_6^EjQFay!`@v*?tFZ4JurJTEx9=m$uT^#y3;R0oj9e`2_k1(6eJs!3t?Vs_ zi|s5H_Ro=hzOcVHU~iw6Q+~O!&ro(2v)%n(>e&=?iHJER5F?-84>1^1F`X)g#T4T= zaGy*umx`E{K#YE-KWK@``wAA_D#m@b-|xzN54et6AE7aQ*g?kL*hCx)9QqO#0kjcn4?gRQ8hg#S5mr8Uwyb zAC8{wj%V~5e!I_oPU%%dymI0QF&l4t6;zZe@$Ek84{rArm5y1x*r~YfDp1Q8{N@TC z$3JvCO#2v>!48pDVBbq>c{(VU9{cM(Y3jcBLsK-cZV!DtqUSe}xXTH8Pce~Td5Mc= z2t_9-*gO-V!<;3@Ug|}jh@%~oB94l3bcZr`h$uEGMJbS}wNu!R5oyN(QhhlTHYK9y zQHn}Pu~S4*R*JPD#m*7M8l`ACB7k9+h+^7P6{wJ+Euv^YP=N|5_J}CDm7+VOcuGXE zTq#N+#q@|`OexAC#aX}Wv0p?nq!cp(3h4vb z*)O3dj<@~cHs{o`1{|Z-WRGcyQuz_gHV5~)PGsN{u$>N*Zlfg{IMNH{yHaLUFaKMbKN;5 ze@NxeFOt9hcbWcn5_36NM1OUWSJsrjwoUZky-5C&K)!v%b`&fDKL5P6mi_}wgG<|1 zJgESm!vgs|lLQ}r-7wJqV>RV>as;-t?aU(icmFoS$G(@;E~7Gx5zrjyLdVyXzd_~y zZMOpbFAU^wnkf3Oaq_nl`9J$=EquxxJuhurRwTbQkY7>xi)2I%{WYRrQB!^kKDI#r zFOvWH-(>jARr%{=$PM}GKl|2{Kcw;>oK}F(X@PwF9tOMca|3&ie{#Az0fXeRyi|DWZd3;Uz9WzA!-*zp~|H452Je5Bk zEFxe1^Jia)@#(>gSZDq`DTiVIyxAC!%$1=aOuKHSRUZHI^1GM&sqW?YA7KDy#f)kVh?HZ;KQb z+j}JStJ8k308OLzzU+%^(4@Vh%B1Dr^Y%WOb<RqkeVlT>=_s@0;rM->W`fXm1Nu3H|wG!G`PV z2P1&+=0_m)c%cud1X;?Xmog~fQBPW|iP@ea^9JnF@>%Wf*f zLsXeGc#rq@V_5g*xBpPe-ip~fT?&isJ&*d;v3Ch*8nyST&lTD$s)Y8Q%(^$<-f5R5 z+II#XvVHq5t=+zFrhawo{U~Uhz4iS4y1_zwMU^mLy;QJ?{hi|l^Y`bnxCwus{+|p#<2>qL2ahG7ad_18_p5F!#6wh>G<=`;_mf%o=C}W7%HB0*Z-*2X`}>=z zUmbft3Ytdkz3#Jx_KGT@y)R|mRrdCA-5PHXor$-HFjDQgjc+xKw_R&M;$f+bw(PpH zLcQ@W&ea%}yY)Hj=U~5V_RJpqz7~jDMh0h@ z?wUQNf(`SrOAb-8&WWv)K7!KxF`0SmC!?NuR2JgSkv;Z#^PfBNG*P@WP@Er(vQ@VC zI~6%9?BVtR5m~UR1yHPi_DH+%P7HX*X!H#HGv4+};{{F;FSo^@N%meYsQI8B%Pq2k z%IUH!wpYg6#vps-P^so)H#lq;uI#o|IKi8(6GGUXgRu8Og>bGx*gHWWd?PL?2)Tva zI_Y$j<{|7B2=ixV4jwsN^!w+hsh^tKsW8ojso3g07_!zsZRAKH`(ZU%pOS6DytpPX z1WKk(w*iWg14P_a5I5fTW5LF|qwE-=xpsNE?ikUJWp%X=ldTfPob@uipgV{^_m)nNV~3-4uJz-3!%5kLx1Syi3YOXQKEaaj6AEQ16|^` zpCT;w4$*>@;y_D|?Sx!;UkpFDi1tHgJN}IXW~uJOS{L~d%er&i0oy#%mr&P`r*`BM4dQHZBHEGzw$QBnb0`&r@nBZvup8R*L~~z za@MjPhp^dY`&5hw7;pO`%+Me<=aR!mo-G*s@{<7u3s<&V4|xtPhPs^(J&3yDC_l&f z;%bTK1QPcdd5%aNh$VJE^lp`K(Vhe`uhU+Ugy)Kc7l#rwTCMMd4!_+eC1DLPaaNr* za=b{`IhGJ~{a3TiVHjM(ylUyy)+H7JW`Y}b^1C5u{j)}%CsJ=MgEz?r5=3+Q&Ujlt ztDu*KPLhw?|G3Wu9X&Ad@X87EcLIY1;PrbkRwqw*&O^bxRs`tzduk$Ku$v+E3f`!f zyz{QC;IG+JmsO_T%D*~e7Uy9>jz01f%<{+EUifh`Ls(aqQ$9n1gfue%$7pgnWuJSsd0krG2Zwl(miNRZl~eSU z;D7vmyQ-WrS8@s$L8AA5KTRE{i;kc973G{>*g3MN zh&w9~*GIhYo~Vi|H7xGg5ckl%RB>OCxt+))j2ht0VP8RXfh3Su^{qaO0d@73AAY$P zvPbrlYCd|sYpn$M@d1(FD8P zswtJOCMnggUk1OoHS*k+UU=8~kE*`2#JhF?UgK@2n6mAmcXi~v>zjA0ceTsu)&nO$ zyz+!gq}#X4$6OdkI_NR$bH~Fw=*!%UZpYS7R>7GwJEHzKj*rzyXNE`FZx2q>y&@T1 zoDciOwD5e%fDYDpHJA(o_$>yHgHfbr`HbTv9(dTbF$sZwLU$z_B|9%?Pp#` zz~>E)uH_jxh~9Yr#<2rNaehpxpa_WxU7wt(-T1)9`wzGu|E)xgT9nn86F~V8pw%P= zXi*A==XyJV!7v1!IE;-Q#i08mia~GIiu#*6IZs*kUG_?(DcX2WnFE9(w1q#8L4=VHHz8KgVF z(0N36h#`4_q3Jw`JD5%Dfgu@y;mx>s40!_#jZmOev;quC0|0n|FxCJJzlTeNp%te^ zP(DOhHANy=oW^j)a>YA#L^enT&HEhSVx^GhvCk5Du$dqIX|Qo>{W9U7@E#y zkicx3mtlAV?mULP0fuEz>qW$ z#!C$Vthh|7DiM^Q=`%{2ge#LOjp5;j(=1|G2ANwZdFh7~z>YcqUbR{Q>_`CEkpR#X z9-yZB8SV@~hCvS?ZvdbX3XTd@03c~#Jufu?wBob~%7+N6rU*b52LP^#QoSB|j2V=}v2@?Lr%Ov41SiKISu|hE0)(6(GCMYx}SN+sFW@*g$(>{nMfeTPc zj&ATJtv5o-ZIa++N{{S){6|QI#vBG!mf&TIUN5nIeU-LlLy0ZaBv3(;!l2YWQB1Jq zfhZvip(Gc~PO!Segs_4F5X_>4Kwcz-3NC=Dj0>86H7@WYGA!0^>HUa(E(>NA+yO$; z2Yy5v2;&99SOa{?N0#W(GI z!W0dPi03jiFE3LJ(su$&i%&N3I>Gl#L_ zvpoSpk4FlK{>N0i^x_ z&=g*TJJ?Ly03aCvAbrjQ$QuA?gkqpV6#z&YSZ}_{8d5#Ziqj$}A0n)pA`vW30Qd|8 z2|rJ-mbtw5iuWpd!CWzk-uu3x=(Q)%YfqqO+Lmak;+3J-kB1&T-T=K4E+7=^6sG_^ z(!g|HKvQJ86{kf|K1A?RLj;RGdN^l<(}7vHOuSdUr}&g+E#$o}&3nCis8A-l?^tSi zkBN@UfWdzEf*PO;TQpdUQgI2CjfC%Y|*1K@`id zRH_JMlU-&_u3eVo>L$Cr8eeb6LqFN&4caNskejQL3VQOwe$`t&+0~+~#+(4khXAc6 zDL{)-7@Y3y1O|f;6ijw6UZNQEWbK*s^Bb|y&fwmY@bjL8pNqaeFVnbIA0=+Uo%eIz z0KYOWz?*Dn4AKXFP8v8VFEyOhiqj&>DuS09B3PXEqgKPIn}LEoElABrUac7VuO*O% zYUCpAYp_j04EZThH_31wF)zfByoj`ATwwNcT%a?}YgHG5BV3O=k0Eb>p%IpG2MkFc zU`QGW;|0Q410wD1N|U83D=xFRN(AL+`V@&ERT{%P7b{M4i4Us?(*62HS{9{yR*}PS zf9x0$!>$B|QMxBDFr+rnSyG3eXV7e5$lT>I!VzFd8VKX128LFg7D4%$ zK2$Q*45Uh9xX^HtjL#~9jDO=*ieZ%TSw#-RpWdn%h8drbFfv6MpS(pG-!Noq_89U8 z7)quwDC=bWA^%t+BFBTk^LB( zH<|B<`Bk}^H0C?>-}dS;w)st(J@A_X_MFemnjYt97Dsc_OT-I&jxK4Ao&h$%AvyX- zR|Yvcd*4y^uh&3a)%>(mGIVc0Py~XbB+>clJ&@!lhc3q*zmbF%DzmgKQ`EjN9aSJG z=fcxhs0;T6VPG}uLksnVp_II#GF}U&ebhaO@+=)n-Stx8^|xrFV{qRy-CKBOpQr);zVFPed?X1H3Ed96)RpX_X+vn&UDkh6|^x7r&m(3U}ybJh#L ztPV3ba8_n#I%~8*OJ2lVQ+5D%Fo<@+VaNdBmf_;#EpGsv5t0qSk-jizl}VGBUs4k4 zN{gWUEN2yoAXRlwYkOdUf+VZ6$y=1L z$N&JhFk!KVM|X<)egjFCW?8+SX7^g7V!Od6?d)$y;%Rvp#d%{-=|Y?WXifWqEe z7OdnK7P63^SV_I}OLPIBt%fyQjRo225Wpb^OPHECqjcvFaN3HGsXgw>GQj#e|m?O zMTvx#|myB4Lw*XhozE`%>8ESEtq?NfWBMPAmQ>vuQZs6Nvk4B*(@ z#G^f&Z3t+7(V+wq7(~0^Gh{%&>&M0W3~vBj370~jAq{}z#i6rIgdx6V3pZ9QjaB7# zln)WS)DXeqASExyE7Vy`Pb~62W00u$lx#o!RMI!EWdeEaWkE{LzOPY`k57_(eDtMN z`PfYuQ*C=Oswi6Dy$+(bh4tMxdpqIZx%B+OOB928liE9PP#14>QacQ1flHNfflJ+v zOY2sho)0seQtP{?&sPlVt?w@Uv|_leuJ8WtV#O)7zT0YL_11S!_>^L}t*-BW`mKtg ztnadu1?ljxK!Csu6hn!hV&&;4MJ^!dz?d5QU z>@pl-{zk;1Ho&Mzdgd+R-Hg!0{j}8-@fN#8o0LA`R(uDs5r^|c38aI&u@3NvaSE@QnVbj@gg-@ z@*U$uur|E6l|}ik44T~3;`EPJ=erv~#@H6^XOj1cu{IoGc*})~q2#+E*cjxyAH6}# zqI^dj5dYou%kmu|^ZAZ9i2p{(jDq-2h9Lfv1_4~5#(O-~`Hn@XD9v70c3v3&6YN^# z<3HWQwiGJPEap4r0hjN7_jtSx!7WuOx7U=ZzUZDcxH zCVkaLOh29iI9?n&#naKWh?0unrG^L=2h-6_xdh7MD1m-PQ1R2zZv9Z*+8}}M2z-MC z>eh#g_cLcp1}(o1%>p1ssgUkx{Jo&{>*%iDk<=oq zE5}XA;MNL~+*+g=*?kj6-jQj0p0~}%!HLv@`$(?_ev%Wi*}A5?+#u}Q_o-)xi+wkk zDbZohG9F?OCi?n=h_noelMFC|V^hB;z#AYZ^y8jxQ~y8_`EKOT1LEvo)-@6tI&b?KWf1EV@kzWNyB<@fB)sJL;3>o{X<-@W)o1L&#ZE z{t^p+HO~DK3n{@s8gJhm{01HVqiR(LOTh_|X|6t2SiptnzTeN=C(LMP&Wt|Gv+l5K z=+l~o@V1A2BD@nkE}bofr84sxh1T+G=fV3PGXG)$Pv}(SY8mYXlF??I4VauRI{&%? z@`A|^a?$ZOkqQ87D2nD^_4dB*UaWnxp}ntAlR$-T*1a6c=MIxbdq!oH=iU-|vZ)ZTgtWWTGnxdf5{c%^}q${wFP zO99;avqxs{hn!9M)N%WNsIl!??|7W14*mdBHk8h%jty5vsblhF#EAu~HUz2TC9u?| z4*gzO!G6~%I{$fTF#pl4Qn=ssOhZxjyEs+}=RapE!cp?5fF>8f--|EtF@uK5V>QUS zmhg~e@cuD*Nywv}63iIz+yjgT5y#1gIL;_Nwf_V77g3ovm<~k5xv3CIj1BHkA)kE< z=6=4i&Qn5|Ba+HjmyrpiC_!vzmNw%E zl)V+eDT<#A@CJq(rKv_Ef&@kyWFKB=+Pvz?0E&}vY^1Xl;U#^YY(76eQEG|6yfa)G-{#*fb5EmFFeE#H(#Z*t6%YGy=HuTvc z+#!Eb78WbB{7I@J31yT)0xvZru-MOnA|Ss2&}Gq)U4#k*`SbqMv@F_)V)V6m$ox6C z?x`=ZgkVP;#%}&{1=cRyMi&7@v z>CAG0Fjzla|3Wo6n*LFRT>jkuZQ5PJ^+N|qw0~MzkGg>&ou89&Ib=NKvVOgCv?(47%vdU8W72E#s%YsY)oLqX%Up4=~EfHtT~iztC9)2B!TsR96}<8%KRE{O@|nY~2CPcVlj6ZcguU~}7g zx1JDAFx`2|qI`U+D19_$B>}rpXo%C2_2nf1uj$jsV!WeH)!iTeQvHvD&vGJRLEMmR-09t6T&(m zgqIpZRFhPPd7c3$^LFYGOgCSByn@;d$&?^-FL5a~k>i-?z>jt9n?VcnjnY)3wSlXX z27by5Cr{m5wp^QFXYFY}I?b6yKcaTQbo0&6Rg*a)p#;CWfL2vq{R;IBDE8A$-oWHC zE-v)dTdqy4P*X}FJ7ohgUWizNU5iq!JkOa$lXL0$^5>|@bF&DLjEqBX(5^E#5gDT| z4hU{fyye>64W}+*NT`GKJnPwtVKikY4!P`d(d!k%aLVr7Dw?tzh650RHeZAb{9+j{ ztv5#tV$SQH!tisiO&tN2#}=Ntqpi7VAmqw??!Xkl*Q5O2|I`v_-wjvG9)ip zH56pi9>5VS*B((XpPnZ9bi3oK@@e7x_5}vG%x^2A;UrqfbB}L;946Bz;`@Cs_O_uH z4~pFro!xJJrrO;dM#3SK=6dmGU#q>ip>=AE5jj?|$PF*M9e3!(EBMeYP@4nBS%hrp z4x|ryF=-&oQU$fcsDZ*jlMS-1I4z>AB6xv5MIu<7PM|v(PO16r5yvWqQ8u9(ISgNY zeswmXrR?ZYHX$!CEaL*Rm*WDRX&x{n12DWE7as|EgGgwEW!wQn(gzrl2EurOFxCJJ zZ&#WOLn|(`xJm@&XZjS0AXOT}JD#C9Nj4#SumJq~W3;Rj)lxz@2%%EMsI%^wS#m-E z83NOZgt-r+S!eaQ%UmbTURHKq3fQ&C2SbXn@%#6kSxlfB6emy)L(ayd)#PY?OWvH@ z9QJB;n{a;XAc^L;imOWvaStvFH5 zO#?BoDiilZCamWL0I~-3I|_?pmldZ)lt2v;ywniE;sC(D8Fl8j^It_&d`6xz1?>1i zHn6$*?GHP`jO_Ap@%w^vBqR48iB^FljZz<+<-+fC+yg z==VAHK2Mvo!TD^aNuWZoWjm32x_1@prNK{}t93V;1}hMbrYqxurdy3mYa?l}Li(y7 z*Pz!x7%vc3l*6nzEuyR^$1G{-)H%@HRvIzE+d8tZUlnr)X3fQ$M?IeBsVs*AHo!Fx#g=@bmc4`58d7-@t zb}g#1_aJ8z?HvGnFxxxzaJ4s@?NR+)tbOMzw1b4RJ#D!3w@OV}34A)LY4sh1S*0LZ zkqy8RhXA-ZSqZp_ablRuszgu`fM@y?iC}S}gZ$<&1xcoQRMBOsovk1`>XSgP^K3;g zJnG}ni(YLfZ&9Wq15$lIE*?GJ!0k%7z;DF5I+?1-bV@1|LHR(B7w4Xd24JyA55E|B zK%Go=m!RS^)jZ8q)4=93)!~N*nJV|bVDbAEFOqy!X-A{Mmxig8=Bs@nE=U%9zv9$G zK!t8G2&!DZinc@(je6lcZPcD%5y>@bv?WU3P?JD~Zq`kY%9bi6SJr#K;?FPBy0^*q zE6!reB45b{9l;Iq)!Pr&GU7deqHw;kOxnSpv0*~>@tZg3NM&5$;pBt>G6Z3WH0TAq z6a-)v6|$F=otFZ3Ey`Th^794GrYwH@4autyQhPh0F4@Iii*l-{pI>R%wJ6C8>@`Yq zLI4>8dr1R(d7;9I`IQMPgQA+9vMuBmu#=*?W3*lBY@)rC9ptNzJWcK8VN$Y-y(Rns z*i}*d*n`d|`|;GbFK;#@P*UN<*H0(~6$xrpakhj)3z7xwq)6EN9%mEnrEHg8zA#gb z4Nm^(Nf_$UwZxVY^Ka6z7NA2K-hC?TFZW**#VBlRGIQ6>i( zFZ}x8sRt^=-GmeTQy%`tpvl@RPjA0nPuFOkfj%~$h5mY48 zr$_{;(!TTi0~9C8HDnKR&8}8f?=Z+oa}~p`V4y%t=~VEz?6>@A0!DwP^CH~AY?=oQ z$p8!)K*16_80|?o$wuNdZ*S?A8pt6tHWNwze*e!f?IYL~kY{t3w#y)jpRk-nE;}Hm2m-# zM6A^>Yutc4ADVfC&RWI=gk*y=lioYC4!0qUq@Wq%B{8eE;})R%tOf@q_-pYQVgTHDAmXf5nl7c>LCIxWgLq>8AD!RSjGi9FUJLD(>!2EhQ(Xw z_Sc5*lh*AAd#t2kZOIk$E+=szBu^woylV+zD z<~jxJq)5BXgU&1$>x1;R=_zV*w4z8Aa&B|@De5-iilT!gIzJ+~dADIQ&D@3zaGM&V zqB7}gO>H4e0D82yzXl8^SyZH!E?<6a4+StfKO!n-Ln0d=(0o5W;0;2KQ3_5~Ln6T| zTY^rE4@dz>((IINUAJI-K#IU;W*r!2)McVvbbjQH7idzI(6sdGmYu-9VATw;xun_- zI8x_Fir4?<>iXaAfDtV}OpR>)52Av_Hzdg8Pi+I0&mV4D*w7E=T{wF)a!061phDB@ ze=m|Mm}#9W4UG~56#XxtUJv^Fv=lY~;W5y3Ghgz*AlWnPM>WoZ#qB-5uz z1gWZlYdecATeSZ7WGy2b*~5TKg&PaFwiyDdpn^n*~Q)mSQsTaA%N-!_L2q)^FoCmz4bq56YVXb z_8_}_WH+@pI$Ti(O)k6K+o>HSJY3;ANOZV@yyzeV!los(*Yysrtz8b=-B+pvuUu5M zSxI46I8(kt% zR2zB$j@NF&3nW z2{7Kzy|98fP;_4Z49O0k+O=xFRd~Mew^J3@UixE2RC9@AaC(W{xW z1U5Ipx^r?g!HUiUN;5&J3Tt&=RC$SH(C!`4ENIDKe)SDV3MMzmiO2t*RA|sa(aWVx znP1gQ9j(W0M(PMP2~?1W)Hg86`PidfzjvL+%X}fN&CpT=G8A=2s8z zpdC))?GOwMa@Jl}#&}CN4&yDm5CN(mZ_^!s0K?Z5=j42YMTK3ktn9oLOjoohb6Fcu z@8E2rqf)hC0q@XBibWJ`8J(bpa|jEz98CLQ8;-1Pj$9QjNdtR%DTtOVahqcs$Sr_3D^?coTPya)wU0yD{8 z*gy?(RcemG~J%pe@M_%~#{%UyMnI%BI_(%mc+%t2a z_T)iDhoClaiXmKpFb&LbT!A}3&f*PlG{Oq*U?b^$2hpWS2qP(kg(zm-L^sh;oEAa( znLb4#NR`I$N8_*`&L?ZPtRhH{|M)*Gi}uV|Mb0sgJVG%H&u=;mqdhb7X5))N+`%qd zpJ8}2?mULP0ft6MBY+|40}M$60C=f^p%te^P(DOhHB{0$bJz?lPGk5%!%6nc$R4EJ zul-XoBqt@v5JS|+-dndlGaBYoE^jjT5!I?r6p_Zv@4N)__j40+%4g>yOT%I4y$m zA;PLD62amCz)exQUuHwx$sn>H?m1E1O7k^->0qs9{Ix;G|McIt)78~=;KJ$5*{Gl( z-=8V@{-poiu4Q;|XOL~*ulap(t$e=_@@wS#8z8Nbe7~k$oA=-O-ljH(8E~n5fBGf` zwO+noc(8)Ht>*jRZB(36`M%Z4>gD?r4pI!a)qMZyhZRG~_Y7M>zQ6N-w5+SnVE!L7 z6~nH?U_LrVI|liP-OLY&m1SHIPnq=)yC393ox1zjeFL5$c9(GhA=xnUAbl`qA`OJ` z0vgtk8t_;l8h9!3`1r^k0Eb>p%Ib|7!r;EL()JPFEs$L;xehKL{NUFPf;_FDvjaZ zhEsi@xb4v!V{%bsd z=;Zie4Xc4RRD-L_qqvUzhm0S#`%5r>;B!AZfK$kQv|eyB#LEDI?K%wdV6otJ5XG|I zFuqhklj|EFouN(J8}yCUtQk$)8xF3?%Q<>UuG#z~c&3X54+0#P{(x7$xK4EKLmOO3hB2=W5M zGA__r0)P+NWPmd;x_S(G0}PF@j62vsI06hw17Q}GE2K$aXvJj~SBaqfOrHvA5+X>I z#_$5eN%kYi9t<9?{-a{piE1e!+>fAA?7a2&BLsn}a7!ehH!qy+C(b{y2yT&PFDpAQ z1?*asa+`~tS&U~CALRP${-7pDTM`4HfhMY}e+6-k`OOvP_1mrXmeY=7l;x zs{6mrESgO1Txz~?z1kbSFS7^)uvZoJTN2mcskfImu-7QX?5b^B(!gF`Xm4Umf<>q( z%}&{1=cRyMi+mH$J2=jyERGi6Z{3@H-EY^<)lAw6HkV1K1CG>r*rI%TmgLi~JhWN) z^uK?vnD?gCgipQ4~{tNHY7!zq${vd0wwh1(Fq|ZxmZfuV=Q{VZf2$Zq zE728{=Efv%YEulum1x(wqqjH6TeOf$28>A<#Qm6rH(8cSXI9c!mO^N*;4U?wAQg(! zA{fsh!jfT;2o|TuBoF*Xagvp2vIhzJ;a_W6l(0mNs)WTMiceU)f$2t>&N!rj-a52N zCM*^eCM?PZJ1+$ZON)HM;;pSe{FgI}If2@_1igb5w1;CbW;UpyisnuqTTbOAOVF$d z0>}^yKS=|Hd7;9Iqr@gG%}y=Au30$Au0`J7$?bI#bldK9e4n5fX@cGmB&Y?)eh^%FiF zI#>#bhYsX~05SymBp5nS=fs51h7Dw zxo-0JR_!L?+OxwWT6-ohx``=U!X4U>cD3pX!Qz@rL(odOx4Qb5EePLD}15K`lr|+aK{O|p18*^T0z@_%D-}hq$wWkiK-=3_X z_5|w?53)s<JH11gEBYa-%V8bc3e|_2-#V{J>P|KWSzH3LtFdXI33St$#Fat3h+ zvuS;X;mx@781e=f8lga0b?}HZz>t?37+P^!1m#17RYN6H&A?(#_oTl^`%Az2k>V7L za{QEeM=Og)Ijkaw;d6FS48u{5!!R1Qe2#Kl%K!d@YHvpvyI6nDXLg*ZKGPAzE{8{SUX8q&&kW!$^BFQM zuDQ%2NLTsHGV=NJYGukyq4<&o!eWtzeh>bZcI=KWd z?-bQr4}Z>f+LjHjhi94uDkPSU8+w=OTNAYIaM_qqnf)r-h8@DgEC-fxhqhgfORI)) z_z~TyAof&9UzG#J|8yh{VXOgu#M+T3vm8h&6jyku5>due_z^EPM6ft)LK{RJ#FoYA zE45~P>it?)Z_W6fEehZ^v}TMxU;zGROhHO*z)rEUPBa=LTxx#0b!@<9_WsX(YOiD} zjXo3TTHO8G)5@ZgRx~^pxnJ~u6X1)SRCoH_FSi&PowO3r{HFaPNP>s6GqmdJDSF&B zxbr=ZH%NI#SjHWYCw(yLBn`R?FA&BW(Bo`nHS2L!TxM~V2+D^DtENZe)M+@prb*KJQ(Q9<7Yuc7j6%3|fKu_XM&8e| z6aqb7YKUO5M{mK(>4(O7kHt~m`>>$mr@9?GgMGoO7O=Uz_mA%dQ(gIBy8pec;{12E z=D)kqH1LB_DrNZ((tPr9XO)hB3Z53Ez-WG3fuLNcnDq~B)^L8in)M+K^;I9S%9Qb1 z@UwvBMp(vObvPmogza9m@eQmR*z<^p&(0KuR6(dHE^@Fbduk^p0HcUiz2n;O(+~6uilcY|pNb z?8;PuK*p%u>JM&niO6Sr-XPl>p#;9FQy-*(FkWh8dn-F>7MCUw)LOx$+G=KXA?su^RG)*hgB4aXud|!a^7;@pVeE!`5K#t$t>EMByZ*| zCER7+BCTF?zLsw4en>%6^8#V40ZmO|(fX1Vr$v-N4H3N55W!;aEelrm9ad+)_K=|B zv)>xce%)Ym^R?5ymCJq?G%#N~NAuq|&@{RH2WdY4`T5%Gz6ru~3amF@Tl7b5*2iML zwzJi}P0rUYX5$vj*RH!=%j(V7?q08rw@uF1jy8~FzE(!R4d!cSeO&>JHtZ^($py8m zf3E;`1xt7?1x6cob!}G0-8u>AlMy>5ux1BbZMTjC z0l!I|Ijuc_sw3I)=*+hG(noEK0BMJob zJ$YqzZ*x?t!W3uoHBR5WvAlt?Mk(l2jdMr?ZF!-#iPK0dg0X3K$_6_x1?*a6>uC5% z#>3-`#o}nbcHBdBd7rVS?E=XQR!sw&%UB;C&Sfk&Un}|^#49CR9sRYIa zW*MY0JVyCz494Vo@aS)=^VfrdR&KqKyuoLeq>U@_GX#NFe-4CUz`xST8?)1TX+VLn*!##{)Ll3fQ$MJ0ojIfM5_}>k< z1pY@F2;&6}QsE^rqqgEQi>pLXex^@_Gzk%;N_V|;45z9^)rDVH06W6-G?brn!mq7W z06P*xy68L&dAIr@-5MBy_`fEX^#|}c%K9gYTlw=eU7GbPLDv8DkY)XK;H~}rr*kFW zpY)~8%J&N)zec{l0n!@D_iKKx%^S{FA0!9}yV3sQm^}1p)`#g}4Df`=_lFs9lJ9AB zknd0bqJr9kep*41fG~_dEZh>bp75vPuFda9ha&=Lxc&_mzfbZ z(X>{-_<1w#e4OPCurork0XxzMah5bNo)-sDB1l?sS_I`ogjG|aq=X0-r{nD3ZdRP+ z#1yLt^8Iuxi}F3I$c5TDKUECFeD5%f@;!Nhq3K-49n7YA8HUV69z)&$!!j-)6dS9K zE|LZS@KOUqD^81`e2Czsh6om?F&z55Vkr5ZRRsC|!JD+KUcR6F6UA^_&G#1>PF4B- zgP&6X>*f19*C>G7RK8#I^mvwEmG95^F;VgPzNGoS7i?~pf6HJh->;#wqfbcobl+<_ zPxAdsZVdAMsL&O@zj`f%Gn)sKP`5GLb{pidESiK?ATJkP{`5m_*l-fMn$7PKC3`RGf|0jRWnh>T0axz4GcF* zQ;kNXGZc|b%tS3arP(RlvQq&&DHv5pd5sn@&UR)oyO&WMOupaw88tb|OjIG~J70NF zeJ9LJ+Dy6cDUmnJOavaDVVTKV|9U+1nTa>R&Ikp!t45uJ7ZAW4rZ+-R+ieZ$Q5*WVe`-)+hnH+}E_OM}SIuEGXG_Tdq=9l5l zW5^p|XoO;8)v_sR001vFFtp;d2+D^Dt7eFj63xKkG=>j+T5*!hMD`%NKl~{z+xmBi zqptT~&MbDlMKIZ|kndmx^)`v0eZTrldocNCBQa(_VK<3q9T6wvlK9GG{yQ=O|E^mt zsQ4xKVNJ#}z~++iL7xnk+%fs?2OCf;_~)N^oskmd3%HAbt$>GIwd=G!mR9{B@(mr<6L6S=(iv@7Ybpw-jekEMYMs8 zWe93TK%IzxCC)zZaV_gcmSa6K`Ic~>rRQ#)d~a!b?yjDEZ%%qnuv5=;Sp2#(izb&r z7NqC@`k0y=?OW6KTzY=;J?cl{zV!;JG54;i;N~Ze<{w*sdcMqXlC5h(9ZbGIdc9&8 zZCxiYyzg$suq)_`4#Q~c+At(|SvuVM)AKQglk8s8xF9{h?4yd|tT3J>FkEt%VmK>- zVe|%%VK|@|F2V()5+=56h;t3@{1AsX7~&Wq*$@Os9}KZcg9hQH#t_Gf(;_GzBCHxJ znHu7-INg{3{1L^egMFFoF5mBA1?^Cq>=L^~hwKEi{n{+8@>2`mWJ5dAtLohw(m-im zI5$Xq?}k$Aa{H)rZ6M?9VS3<>yyP zetzom&C1WOK^;Z;`6`HFS-t%H^*jHapQ8cot_ECBB0nE}or3xV%g=i|vl99FglpC0 zdinX{?`+%o`9~j8oKpGuAIr3?UVc7u^|qa#KWI2fejbvT%gK-ojlcFm#c=CCT^2=~ zvNMZak7>rG(>p()_C65~m@Pjtp6xPAN9pv3tLXSXowo0aMqjY11U5I@odr1j3qjv* zOYKOLK7KBwPr2)P3`Ne}9yZu(aJ z&4pn|%FSa=t7sn&HxX}Vg-deZTp%w;E4_XaD0eysCI=>=H{;?5QoO-HYS8iJ*Oggr zJOG5^fj9+4N6kp373C(+lnZuVYOrhVwwM6CZB2TF&EOXI%McOF0DtyAEsHWt0=eD3 zRh?lRa?zWn?e$MsAzEPcCZkOuj;5YAr-@PO+0Hi85Y=+IWtyQq^s17S8!XARE#LIABeErRkheTqboDgoee22yJ7_ll(o zU^Mrm{2YMqyIlbc=Y9^rXzoW|0B8y?!X0p-Z5e>q;LZcc8vtm8f@7VzUy=2cgu2oq zC?5b?HANy=oB;6QYZN4z_))&gRQp;%w9uPC?~Jc2df`H^LoZtBHS|o|5~_klG%Q1p zi=7@l-T=K4E+7=^s%DU+f$6+}rpR^ zt*NgAY%cX3za&U~^7V!b8p(gJ(fqdnP0ZC{KsY} z%72TrtZx1rR^-AJP}hH+`1#L3lKjW+8!Ufbf0Y7AKVOa_KVU*PWftPl%D%L4Gj3A@ zCQy>II&F~wot_tZZQ`e4SyaeAsO-EHuxnA(fN7DliQSUXHdv~>`8{fHv>QwHbLndB zSJh#{-B^c5v>Pj+`6Y9Sett)r49NG_;Nk-=Z?ben3jiE(2=YB?030t4o#KxTrA1H? zh%kMmeG(#A97Z@Bah_rz$yO|RUAlVHm5N?;NQesL(EHR1MK3%gL>uW%(V<}SBH2@q zEIrWfRs|90F|T;^c(ZxwiZx)g#M*&y_Nk`LNLNK7N|5Q%Td;Cdy>xZB_>@mq%QaoC z4bs(n`>WGcBl+rl$yYNLqIKeYbp-_aeC4*~j=zzF>6C?xmhVsUrM_O$55eHIdJe@3Sg8269E3_7Zt!T2RZPvq=7C6zTusU9v2rE(R28!E=@B9yBqs!rAxQkB zfizwi{St4lvIrHW*{uP1DPY$ke_mzqsCb6O;%J8THgN%;vc@!J4S>zfuzq?)K4rz< zTNtG>u&E-~Wiovnd9CED-phd`Kp?vIeY|@h&F3qgXI*mq-$~_@Pqe<+6LJS^-C5Y3 zDAleSmt+;q5(ZI{OIGVYuMQv15;$;RlSZ=y@)F@5Y5e8xPLmZmkU2Q`^Nr6NG=4^z zTOee)@cFn8NNZPNR#3^oqo< zX_BIlok+lD^@=3B7F9V+yR(VitOO~+{A$kI)ZS=0kcQ{FT4_)nCR`3=3$d$3%Yg!# zt*7#pGw&_Z>a%BFKL2vqe)$v(wosO8-8nNaUyT184$EB7b#s9;r7X?a2TsbR7H}%o z8O$Qi_2@0Qi4Fw{O}V}Ep?NVM>Id&{h4hsKRk;7 z7vzUmUZQ37^27UY*oO1Nruhm|Fc$Z32kc{I(O7&CeIgex=YCoN49DU(v+E!xL}PLC zZuKiF!?Y~h0sY#=3ZRU|X<(3We)?7|<8Zu$B1y1|@CU*t*LVm}{g26z69ULk9AFc} zaS5=teNx3vErKwcU?)Ww;cP^9r?ZK1tppmELH_g>HMSkPk{8Bmexe?H2y-t5ywr>2VyLAvONrE+Fr z6qZT4=&bR36R*?tuU?=7WXNLki7Ox`$QRtNxrtQ3wF0W_O#UNsUg4vk&`#UX5)MIq zLY!BaLV%GkWXX^{IavI9`kS?k@6ZjRNP24-f572fIJ2+0+<>QkILsRigp87$5I}~Y zw~_|kjTd5>c!!Qfs3^@|R(4(r*tID0FYB#)I-BTK9Pb6g|Ks1J_SVafZ~55f(xy7=oy6uoU~zh*c}QOjnQ zfJ>C6F8?S|@rz%5nx)EMbCa5%zahW)bwU1o!6>9w&2M^i{pSmd!;oF|u~=$;z#foT{~`rS@{E*ctzW=M3g7YzR`xt2oXsRs#Tn9^enK;( zsbVhJT&lR`{QQKb#`@Rmb^Yr#z$?xVUx1Wce)tKg;D|#|IX9pASMQJ9{ef*UKRk;7 z7vzUmzFNzkNcrJ~&L(D%f3UcPdyrxNnHS#g6B|h}bBILUgFS#t?b2JlL0lOAu16CVQOm{ZX zVJO>8KTbGLjpf=LdHr&gs%Q&y`Q<87f`K&o97esWmeF*%D$V7Ws}fvU?!phn9UBJt z{BqUB@1r;S=)O*)dkc76bpOG*`RG0yzmJL+Ry%O&g2VrIxh|VIgI8F`>upP5;&|J4 z!OO2CYEh|c8Yr%;@Y{`>P(HQ4{oSCtL2ew`9i=j;T1MU|d7}N56reJ(?$v%9WJB%( z%7v5-xC`fh(@~ro&i(Zo8mOeQw|vYlf5utk*-Iw<0hh(AW*@a`%3ZUkR5p%XId;j> zp0aad>!iCuFn{+hoUd(Z;eV`=HLP#FQ~%&Yh~5WRuQStXWAAA2Ni8jNmQLz{c&GgHkNWO-+sQC?SY}<2%TBnK1Umj1^_5qwjpvf_a$!6zW}MzCs`e=3sbCc6K9&qu2*X}6!y2t=P;J{YsOh0Q zu0S6w3Da-p;pO@1J3#jK7KkkTxQNrWbkSTEBa|_oFx)UY;nk-lQ@WOvSk^ijh0|d? zd9<(v(?gOf%M_g`GZM^yk%fdQ8W2L3E_~ztz{&XU8VMQ@N)8uv@X@&?b0=Q)rROt3?BwLCb{1YUR-CK=7rjjj39O7N4fjB9&K* z28vqzV>8e)A+cIHw0caf(FiR*O`%09uU4~YnUGkm99m^ltDRt~LclTi_TYe>~HwD>fI7OA{i&7x&OVzqK; zwNFvC1|ziiG=&zayjsnoWkO=La%gp%T4hzs(Bjh+TBP!7HH(%BiPg%XwcONNu4Q)rROtJN%8CL~rXht}ksP!U{yQG^zsrqCjl zSF2gHOh~L&4y{g8tE6ffT6~&9i&S2%X3;Vsv06E_`a~_BtMJ1IKB|TaBwiuGiDMN0 zfwV(oEM?aiJE(+Mn;b|(rcE!jF@*T28lgEnEgcTAs0~ePI0>qRSeqO+>r9)T3>!X5 zVS~lTa9q^{Hk1%+lfz~P2g6tu@6NE{qZBsXUK??pCa|G|SeqO+^Gq9_ZLomEM=5OP zd2O2Y8%l_^$zd~K+Hhsk{DzNG*v$3XG>Z)-#MNlMkHhh%ArqgTFEH;!7Ym>uf`Yx&smjn$PK1yLT%WKmtHk1%+lf!0_X~Q); z^BX=&VbkHYX%-twh_%UKQ!#DYGi>-Mg-yHHrdez#A=XB*Ni9veHK{pEHP*{4{Kiu; z3tu1;>SZs)gt}`oTDEvXU1BlP@&?Il@r0UX9&<*kO+xe-OsI!V%XLs7YXv^7ZiPp2 zLcPJ%TB~ZAtMaLb&9d>MJE0bb)n8G`(At#rS^KS(C`~r8{IEtmBk8$*p5MV2x2h-9 zc$z|MOeF@(HBBr(n2@+bY4`RWDp)`bI~1d!bf~jVL5F&`bf}YGfDR=Izqmtb&P#Ww zDEU369ZIv0c9qOUd;+2;+sKWc%WfA(wkBM}gv4#3!OgY}7OY%{dTr<66kyGD{I#gt zwF~!l*etQb3jttIrzpWW#`~FTv9s+LfMI(gAaqC?#=-rkd-|gDMsx$B6ZXA zu?3Dr&6aVzn65eoL_@S9D`npZEuf5?1rJ}GSwKN#k)t@r?6%~E6Bplc)V))FIAs)D z7aJ})j(%hMJ5qF#yb-KKwm{?FzlbXY><6DZB6*2b;<5D?Dlus-+j>T&sj(r|tCayu5ds%^mio;Gus8}eWV){u4 z6|!1g94g#;Y%2FgtFn)2sBkNL?7x7C#$wgjuk1a_p~7bqw}d8L!4DIQme5G$BN$t? zndMm%5=Ss~5wDh8tCAk<(ei6mIkYToZrRem35nIx!0Od<%N$IIXhf=kMl4$9c%*Z$ zS4$Tb^fY_sTYhaJht_D=h%~U7rGFEWLdy>cf*sDVpHm&_=O>?le%>$ryyJQG`?>2u zsebPJk@l;{aE#q!!?U=b^XX$c#$ID;vGZk~%crSPBdH#he%{6N7swECKj+gFT8~;k zFPU0#Kj+gFT8~;kA2zkv`Lce_rzx}^>3;6R&jwSgqH0-GyV3S@_}8l#7tctMy0@Gag^z$0yF<*i7iTK5_0e3!eKP@!XBi5YSk*GLiiX6b@@h4UmI;Z~%H<@N(1%nl8@BLiswa}ltJN%8 zCL~rXhnCCWgArOq8JtvJt!B|OA+cIHv|I)+t6GLuQ3fZKSF2gHOh~L&4lS3#m#bRl zTSXHHQhBwSMazW5YUR*!6Nmv-%g`#CK#jBvvbjmYYBj zBvvbj)&?6LmQ*c6i%(NMkyKu-X3;Vsv093jU!`7$>|)F4Ek|-09j~9caTC|jsb_8l zdj2c90?pUd_*J-LcDelO2`x+7-j08<`uxKw_f8p;)#oFXrV~r&=b|jX>P*41>dZgz ze~9!-b>bdN=WjkDSUN|20mTp~{JJ^5-4LqGW#OF4E6*{N`MyeT!E*UgPGKQxaG{*q zS1pvY>9NRMf1#Y*Fq`zvE|jN5$f9?n3*`(bP*-@Oqd<6Q3+2)?xKPeNuuv|w>auy^ zc_A2^s*Y0Q?dA8HVX zT;_UGww**OQ=nP|CI_Op z2{(BLGz%PotrnOtL=&=I#|GS_^s-ES*Y=OJn=l@s>DcU(dO=o86KU!YR#)YTFUd^WWUd@}WAo$%svtc(m>7^OY<|e0< z=qAs{zct+CAQU&@CdWauVmI0R;J5H4nfi~Go3y0dgz>|>32*)#{DR;YycU@Ze!~YK z_$`&-_n8@30hXhq#d{%omNR`i%OC1w&UsAtLU>eZu5r-iVpCR%1mT z+=Rr_N*&evHF|e|Z>_4uoQXpOe43i=lFF;a$oNPdxp1p=Yg8?5y;9LC9jUxp&7x&O zYNF*<>6pRLh=x|tDjli3TFs(mLSnUaG~pZ3tr?JLIa5xCmOn|JLyJ^fjFt(B)ykozFPi1wj`#1H<gc`-z_5_@siLm}kZOy)#Dv7nk@J$r>5=BO@}^?`s3V`s zu>Y}&KyIgiVIk{Ne43h#Jkk-Uu|6fsvuzWfj6O9Wed;f}p-=t$n>UZ#oYlQ!u{QFO z=U(@{L!a4Fy^wld;OECHjCFquYZzii{rHkiPg%*Yrg2oWtvLlTYQ>| zK&0|&Jpx(X&+y$>TsV=;&?@?h3#q(XG_aY~BNI{+Eq73FNY%1gn13@SHwGe=SF2gH zOh~L&&bRbS8}@s5eyuJ2u??m2YBh`2pxPFzmGdqAtc3n>YnI*8UzSiRuU4~Yl~Zcv z&~j^S%R!s@R?%7;sk~avqGdvAqUF}w22?Hct)jIyQhBwSMazW5YUR*!Yi)}nw2Ic+ zNafXP7A+GJtCjODx7JotwG6GIwKh_DwVFlCgv4s)(9*TG=$kj`g|V_|#)CzE z?KZu>_NaXGMpxG6%jBvvbj*5hj(&7-B?E7EV0XFbt>vMA?U!~Y7j zOh`?%+-CQXHlq1fw9OsNeM#jTv02|TA+cIH-_q=nA94Exl0$1SRI6FvG9j^AIp1>2 zT;&KYzs!~EiKNRt_z<%(YzAvbg1!xpHWc%B$5ZS|%h`D~HxxJEAb4YMF2G zX=?6EDz8?vzGXsUwQ^|9vbD-Z5n6njTB{_LSF2gHOh~L&4y|5OtE6ffT6~&9i&S2% zX3;Vsv092&VUk4)NBwF;*pbIuTdX%=bRsd z&xyH(n|07Ki`RbPCfBD(<(s2fFEJr;bL70_aXNBx3pZ;bwEV(N4lPn`F5(_^p_RATFs)xsvsm*D~FaFXAY=ZhE~xy zlT==!AH`S; z@Gzh=FY!N$LD+(sE~&QIOH4@0OZ>Wk43?`t62+&f?6xLUtJywfLSnUYeaa2!#!z8~ zmLJgN&?40qqh&&3wQ>>2ErpG$S{ASUQWzW6R*$)GNGh*ZvuK%+Sgjmd?zmz_)iU4m z#}#vEk;<#pYy>hPv06E_+yT5{Rm;%w2k>%ek;<#pELtWcRx5{=8_*4@T85S%(B;q~ zl~=1-v`k2>Rt~Lc_M-k^gchHsdLpU3TFs(mLSnUYXu0F%WmU`2^1EI+v`FREY8EXM z604O%%dOBYSGCNyidN`I<<)8yEfW%}rD)Ylxx@ zR9lRe35nIpq2*pT4X9cc<^9Mh=Ub%mYBh_N35nIpq2(5L7DZ?kE$)!YtJN%8CL~rXht}h3xx%Mv z-G7RXR{71R$gv*Dqg9QJ~~>ZK-?5LZBalZ)fPLg2}wDv zZ;#+dtHT!&=@@QYeFoZLkqnj& zOE?LDaAwXxVb_9{0T2s-8-Z8{W1MgCn_Kj)+()bax+}Z7lES#fD;NG^0>IJ$Yu`ec zvhn^Y_FNN@gSbV2({p96$c(47|>RpGZys1Jb0?>^g) zEVH8o{Jy#KAouwr7oBeT89M56>8R!ZL`Rh^mcTzX2>Om1WRV|( zGucu7G=oj6{OOK5zaUkxlh)1_HGe|f4J7Ew*=W!=M&KGukLvK@PhB=~T+xKYjiEkL zuQ8~Ogv>(#|Hwts5_|k~0v2R?@PH69G~7`tPs3*+-EG&>U52h0AMaYcs0)ves&p+_ z-2tj|zkR_47aTEl|9)8B(sEYUfD9CEmkoUT?bw|BSayv1<4T$2vD4 z6n9-&;fF8hPVN6JzECoL{!IKpWdBSO+)?V{;g*rTTLvbKx4r2n)KH~$EnL}cA^c9L zPyR0FbX`j^ILgJW=j}vZ5ZMQio_C!5?9_8`$^GoqAxhSXj|A?E()qh}4a3$J{wJU3 zTt6B0^zjzrF2}bvaW}R9Q<(6M>?3O4`D0dP#xK2^F}7>Ls<|*^&eBPDJaYoW>#WHX zhd(-S<%4!Ca7x_WAJ-E{_`4?KZJ&X`Bm0Wbw+L+L85qbuN9w0*Z zOA6(0I-sHUZA@sV2>pMMH1bpt`rRL;%$Seno^1Qs_Y<^pd2| zwJLOeV?xU!^raMfun3)z6gnC67PS8UjR`G@(Eo#^k#-S!_YYF$^V3)mde_knncpo! zKS!a5h|o)uLg%T_OBxf}DMHVr&_hM&0ZE}H6*{9ap)*A2I3$f6CPKgWV9NYK6?*qk z4Vm8}LT{qb!$s(2NugsZ^wP$JVsHyT{SOL#x(I!0Qs^cXdO%}BDW%q|62)e_4cn9_o!8DMI^_Lc3JxWsM0fiO{nsv_pg*m=xNpLZ8~0&~6br zfkKZGq4z$JGJm-Wz30e=%g3*r$nD8%XO1q{h;J{Xaa% z;CHT#rT>1IjHNf?k{V0T2o#)|{EGYUboBGv_}S z`t*oCXM}dl>sor|%-$1un%N(V1Jz%C(bZ0(HnrsM0A9r`|ee z<|(aR6E6Wx)y$0D398MV4ZAymckRj9c-yYfY-BG{{ikiqQo&)%27M^#<_4?-}A zF>x7<3pz^BP!%U^1{Iw^0uu=^Ws$hSum{T$VIr|24klEFF&ejut+lDzmbU(EYE=@n zHh=-fK(wSDeymY2T^7JkB7x`=6sq{m_3V&n@{dN{VpxszU`nvzY27w8& zKyH9^<^uUkN{;4`F>rNjcrUqD^B5K-08!*uxaQLM0U`wB*_OuT4lnBexj3P*H13~I zX9J&%PutnRmUVFkt~tkYIj@V$$@$D~4)t#y%fkKk84`}vtXo?R94v@kctzNrCrExj zqtr7B{fcuBsE&xlvIJ z0~fDu$zHdqX75SaKW@p~9vWj>CzR)~jz98#?1E3tUEPg{K`v`lB7_rx&>loIEk0>w zAEIB=@NoRE}f9YYuI}u8R45bB%!Ye@@!pV4}RT_;q>`=*go6IPlxcvGycdUJ2i>s-dphC*= z*732ZV2rnp{-nZt`0T2JjJM};Ej<;+HBVvr!dp#$Q5Eu`0><0*palb`b{iRQ7cr?} zAeCgi#mq=^9T|i=?`s%ufBLAK@%A7PzS?+O2nwmjTi~fK_6xv%-5SmuZ>Pd+%>lOH zzn-M=R>EB(;|(LB%XmYbIo>e6VP>Q8f$6QBMoRg{o7u&BD;ZRVX%|C>n?JkuMdaX^ z-u$Fj`POhFxg=JsL2UkB2?>~pWw`y3RJ4btvb=y}xD|gWcJgVqT6{8Rt3?2bvQ;0C zxc<26bk_jSZ~-_B&2UpVk-?tz@sw8=u#A7)A|^-Tx0bfsFzTA10$lbJEJ0IR9zrxpE=**h$^k4*P&Yu8PPFXL6$~;uDv>*z$^C1SsjR-~biI)4mo~YVArh9gr0o3`a{30QRw1|J#BP*@a3b9r zA~%A`O|_8I7rW9AQQ&vibw@6)>#>Z^_{(Dm!N@q7>iUpgIWH>7u9cJsgW257XwP}P3%|*=SS__*-VngYEr~4&R z(#l4MUrTpvaGiXI*_0BSQOxFO3meOPxWvguhh?lgHaZ@e&EG(~c{H>6^%JJiXhN68 zojcRXMu#gs9h}ky)ODH7HN>Wv+4wDN%1t&uAtkLw(_u_mMA~eQA~q$==8fN(+W4q% zs1G~c=Q!Eu@D6sz2Cd_L^FL4lt2%P%?^@XK(;6OT^8zVp)kcT?n(o+GjW&kZjAb@Q zTG(tijkeIqM#Dkl{;+u+w6T{>+C1<$r4jp>S$u})Gy-EktM^_`+bJdBG@_!*{pB!} zr<|giatiG2;6$&Dw46qKQJSa>H=rxb6#h+2CfO(X;>+erESssXaKA1Lk&cB(ObB^J zz7DV|B1YDQV|ajyB@dSA_9fHiSoB>Eu_s&zWV+6ci<)5?$V2^Bv$qF`6lbrdJKwmI zN9=z2n8_}P7s?$1Jj*Uep@^cJRURV6TO3}iB+hN1S=dIRjHwW*3$^#+;iT-enltYJ6^I#|b_RzKh3THqfg82jqKM-ZH{HL>SBt?LpT$_^T zKlhN_r_V);T&#}sdSpM^0v7pbamGN<1k6YI43L^br1oIxN=EtX*@=wuYjj!MDE|Ux z^8hx=>&bnm%2 zZ{cQ~JUtETH0N`xM=h<${U{d$7qrU3rU)^+04%uGZPaQYw+dTYQGi9O25EJ@@>RM*(Vi)euDMNEx6w4zDTLj zdSxd`BG0Q}z4B)WWIX?3S+{smP4T4x1HO5KcuBcs-Qp&K=o2654pfdG&UMR;yHO7v zjCIS6NAbEP0+4*&@*P}FbKPRV*wIbQubU1;%b_f+(DK+jm3QS5u>*?&GoRDd4`xqnyXe`1GA)KUe0mu zgb6UB$QpAjY&gvoHoqeyku?l9YW>FjVdEt>Co`KbAEv=HkxkFjH92;Cy3YQR2NR75 zbGkO42g7(Or@1;nb2TJF^x1eTTcfuUL}l1Z{vqS3FuN988rJcI*$~QX4X>fj#^XgT zP<;VpVq!dBix)ga=78R|S<>g&2RQHV;ssva2RQF=_JR=riM+te`vAw|s?!U6ybnM< zNc#ZAybs_D9gLmMmLBaH7*ZtW=HHT-q3xEhO1b3VQjyB-PV<5nP%^EN`TfvgctB<8mLY9dIzX7v&0Eb_(c;AEMPzc`fR z$EN^^;)m=bzKW~P_>p8E@mll+oQq^!{cEzi%*VRu-U$_8@?V1k=Pv5_-b3 zh}>`sxq5Ix$Gfn`0=Zy!Z{u0$nM7_7 zll$y{Ol5U9M;~X)>rOfyREXyg2@7CS_E#2ix#YU5HtU0IFCNm3vT<@Z6FD!FyUapP zH-Ywwvft~DT-gLnavBoGPR-1K-rw(WTOtgZhzSP7%E^JnvAy4!X`#+d~CF*NJ*iG1O z9BI>?$Fnwt#HNthWLVgEFv4|mo9<+z1EQllZPay{&2ylQZEMozo?n_qD>vDELfoy| z=s?NsZr{<$<_cnS4zoGi!lv5nn|dc39YDVB*jQblC$Tw=*|h$GX1r5~DrO1Xy`ots zo-8l@lyf(lt~6Pu-%B)t7Nu*Fw%wlBKxJfB1UKG+k52b1EPbtB3I{2qNxtmMu6;j? z^E4k#s?=s{xCz&3>DdbVo)3u(YL?xtJBcFfTS%!EC5A;!G;2_*<$jEs;F=|qzLE1P zM>74-og^smG@1S+gKhwSgiqTI;IjnBN6&xZn)7AS^%~I(rmS6mZPNO(m?vM&v$GeO=OujF%rl+Llg|r{jkp#XW0e?>y0wqA zGO6&_-Ol1$lh;_rEBr)R1wB!=u*aUaASW7;pIfYn-8qLT2SGwG$M09tex^=r2lPrf zNCiwuHtdUs$WUAuqG#?F_K^!@V|X!Lw>(C+5Xn33Y*iw&p~uUfKY26#I1j7Ch1xG& z+f<-UMZz{ziwEEE>zj(~+757P?$1tN`m=;ifA7z=+r-4;@bZ*HIT~AR70Pq$RMO)y zoi8F^0!Qw3@`ZxukrwgVqV1Eha~%T*_-f|5@{dW)H3>ZT(_H;Q&1tULxn!=H_)KE1F}Sop-esF{ zE#~?qnd|1e6PhbVR{TodHR=yZ&D9?~_tRV-KHJq?mmN#yx&)s|%yj`S?T@)^ z`G&<@*FfIpli6IsAJTgBAvRhqr+>2g;~mxp%*VK6@OSy?0WBJNhqV#a#{EwE{Xvj6 z)Nz+)N?rgv4AcpSfGTav1bBPY1N=_+JmP^K@XE|i%WNtZBGK^SyUWaZLwSyzmlBgL z&xrYXO^MXbk~`o31DJnKp1&tP%6balRhxpcpWq?d*0qj5@3Usj+m&12E4=$+RrDza+}sAwA>CeoRU}FJd%}mLyV>{QN#22c(#P^P|&x!HBD5@ zMuJg-#>qHTi*qOVg>sB6Cr*$pqkOgl{r0F30WH*r_uUmIe#!)XUxUUWTK=Jh%X+>9 z)<#rKygQV40IacjAEq*MrL_;RsFw_O3qwOtxe)C5A}{MI`Ew!ES!f_zkBq>&%ixqx z88;*%G~BoeQ(5#QqEw4*zqn$=i4~)Z%%g%oX(7mB5we|ATqn8_Oq_hG6P4{c`Qn_? z(20=koZ>oV(oP9D6{=2Dw(Er3i|Ok`$aYR~oz|&NUe$@pcAdO&PHE^w$aYR~om!Ps zzUo9}yH5FWP9gS)l>J1=c23-V)p(MJezt0kYv<3{>bJwT7=`fCwX{UQYHhEKLeAem zx|4_CswZi{8TWH&zpIT0p1h#kkMlv02GWz86nJj=KE@z>2abcGdK!k6Ix5YYiY8ic z(ScS5PSC#IXhKu$2ekmupQ*#cd=PclbyMC}(gh>5EXvq0sU<=k1hH!F=cYXY5q(@e zO`136QAD-VjJ@y_PY^H>EuE;vkc>ZlfP(vv`0O%45JKOKhj7U`K@e?TI?)$s&0Ix#)ZhZq&91!_pP1aK(8qyndq_x7v>C)Eme^GwTDCKk zh)|rJg93@75~ZME2#kmZMIf&>BaTFw>sb-v1$ra{{8GL^2^(TOq~0ic3s8*_m4rN< zzNM6!IHFtpr@V_t1?(Qx0RHf(^#Jr|_I@&tdVY&&5=iJ#jkl%tC>l==k6HzSP>Bo` z_o!<-P;g&^&m3%o9rQu88+a$i^OXy2;Zb|J+q>sawhJm2d zmkQoPo%<|&Ch?`CaLMUQM7v9L@+XN-T<=RWIx%ueUn1VAqEjLY)OYIL-9#stha3ZL zqivX-ZgRzaiNg~45`BR$S&-O;hy;J3>tIYEi7(NmCeFbuzEs%7mo)ztUqXrqM+&1Q z{dFIdM*dzL$(zuTj=wpzBat!=M|uYj7&{#)hG&MO?zixn#F3uGC8r}1?Jhy7&~PNW zs@+CGiR*pjNIFDQ1tmsK=}1I9RZvPq!R|=OC=eFRLtX)4wGAWxF9#(sB{nr}SP0(8 zSyE&b{W1jgWHMX*lc=W+##UTFDwhe@LMpc&wMBd*k+ZqeZqjV74OsMePA#CE_vWDn zSWx9Myge@mu-V7?+$P+ki05-uPlxx_qg_0uGb-0mrIFPcm0?ucr{TS*fyeW-MPK8$ z3LoZE813Fk>&|hHg9Nk=13RJ9p9&Fc?&sE&+Z_radzxhBtUf#0*e8#%A<0lMF0~kv z@p%jd_ow*m;`D^jH)9trIi0=(Lb21+7htiZWC_FXsU8;NE`bupB_Fx|hjNXm%PwS_&eD5}H@p2U6x_GsGl`om$0etm5p8xe z`a(LAnAL`lQKfcdyq^2wHMu}0jV~dnfc}tfRU!_@&@LnZv57joi)bbAuEZ47K~(W% z6!@~ZM&GzoF|xP~DpeF>&IuoVf%Fz6_7(Cj{-PQaP>VayV5Lj(gwOCLb2)0%IF_T^ z(F(rQ3P69|`_dg7q>~aaN0;4@+LuTlhc6X_pwpLpe@C7Be0(PHrT)0&^d+M02wA%9 zq{RbWwfIs6`IxUuu+rtI!H1hy;J(xFl#ve2L?dk?C z)V@UeIDBdE!(Dyp!#Bv6KEP)ZUwRproW4Y~yTqmPByoxB*_U*blKUi!ON{LB1PulR z(uhlmDA;|8q)!yt{&V7zxezsc$*~Y!PYxvMDZZuQOJ6=DzLa<&`s($meTfE?!Y)0c>Lm$<|$zyxuL>wRg)B}Pu^OUa@Nxf)HWi7428 zDH#RzC2}={AZ^3Q-*j9u7ovtQ*%qR*+<-4NKveqcJ}&*HQLK}AEqZe}wJ#-zOF(R&lhZIb)9{v8csU4sC&k;oDgQnB*&;5uTe*`|0IR1&aN_f;o}uUyX#mPUMKtUpxPG4$zk$mY>qt~O&KNDX{ydJ&ts?@%eATCvapwpKY z(v!>XEAW}bmnPzp)0Yy)rSc?kiR*p6`iiZw=^+!}t%z+9h6L8mWu;C2(RdO4SupV@p`lk%xFDIOykeH zjpFs_PnKBLqpf`Xn|a=C=#RzO6DM>>K&+bk`F?e}&%3>O4;yehbinQ^-H#uVu?&ZM zMcr58vx~D6Lf?#waLMWHjkv;#1Nwqlly}f73}>fG%(cXZcGkGwN6wBtX}XZ=K>$W} zI6L8MGl)&pvH3u>Y&WY2E6&bAfkaV>Qcy4i{(tv*x4+#jHcFhw0IQPvqyow;TrMV4CDxXO!s4C zhdkNZahqWc z5V18}4?us`tznYe-tY9##`k|9zLYqv3tf@gm&hb`nz0fPbo$bPtksOLU5-b#&F@OI+_uGdeMHN?#)CsiIRN3hEaGx`|FO4|$L2OU&;7^5@;gLnR8_ ziF3NsD^fcW*~8&TU)%{pI)YLw->=${=zbLigT5KRO>n=8Xm<%pg-L=E*Rvy~5tJA? zr6UpVR6!{b1-m09qriT4AcB&5ujX{EP%yHpRdPBqnXUfMn$r=M`Mg^bYViXiPUy~= zZ+qCy@qW_=YKMG|j6nHZJs^%9rr$3-Hy8OF|K2P_5}&qMnGZZd=$o;36Jn*~C8?EsL|&ya<7$*dev1%E)JbF`MB+C?s3lt2wco?1 z67J)`bhtI)Ycu-Q4Ie3Ceb+Nxk8^RfB?(lJgl!E813V`CiXilB6e7r#K8oxt0;CU( zKz00wUVJ_NkedF`OLgMqFHz^m)6l4if6!xb7;|lFxQFdtD}JSd{;L3mG<;*+ZDNAN z5pdw_)V|?-Ysr_`-hvt=ecU%b{XGiqPw<(cHbz6Z(L@}zzp9=)Ry!!eWPnz)*r55dIi1JDrCCDWuc*{FkYpFb57{M z6#l#nE_9jNjvp=~TKsDQdA(M-hAQg$$g1!muq_(cDr-?mYf^TO_`#ADsI@$=yL^_0 zum%vaKd;+@8j4>{3~%QY66cvy{5pK5C4M#Xb$DAmei=39LrO-C;~^yqjY%E`SdU9x zL)iULh6h%{hbONOvYS#<3SkcrG(%XzqbnwZAUu_tz}nPeNXE3^q2Qj1Pn)OG(G`Ty zH{%>!a;_dgM<#0eV#Km?W1^-?cnYy0@wwhdap88kKy>4)2P*V&AUmQGp@1F%h)vYd z(L~GUyL36u&Ow1hQHfGeFa!=7(M_8XN21L2tcXfPGVt%O=nIsvA;u%!jiR>zH7mzE zBCn6*5`lQgYed1mhA?VuGos9f@TfJwr$4jzA7&YwQH% zGaLk0APBC|VaY*|C}|K}(Zx5+>Co^E$8=Z$Z>R)?G`!-x8^!L4r^DkeOXU>_<4k+B zt7H5Vku~am7oSPu%=5UER-ECwRg)8AeXwB;CiEL=?F5#Rs~HGjN4! z!&^iXat^~=#CJlY)rsKTq$^>tt5mb+kb6OW0twwF9&o6wPL_?-W|g-unn+7q{ZQ1? zBuZFV1hf)ZwK*5HmIsXnU#gR63og?n>Z0e1sL*Bp93%Pv#Rq8qoD(5+keDW%KSx0< z%$w9@>CT@=f*|ZhP1NE;GIqmCQTIRanZ&Q3!KJkPy8OTMCpi&1j3?Uv#QF1x8$`gw z^Jm9JsXVId{P`pnbxwEM{#$aVt@yOLlR1C>3YY#ne@gmCKVq+k&m7?S^NU*Xip2Bh zU#6t;iiGp$uRzf07}x!Q9OGJi+8o2o(`VsQT5*OauK&)Tl9m5k^JiO<`Sb4y=g;jt ze^PSG`)D6bPBecuQ0Q~+pN-}D^Oi}L`IFrJ8`(cQ?fO)qE(0=Q@>~OH(%L_JWfglg z;bZ1RzKNCR0yi)ZbhP6Q^{tx8-S>JG~fVXF2YTt0~pZ)H- zuD)^0Hu8;|@tMRoLb&AgjsNbSVO({;e^!4eZ0p=VyB(EX_s^>C}ih1Y86(le$P{?bKnHCh0W{CyPTaMkHhN!~{>=pe{A zfMxusjAWGeQRu0fUZ{WrhED=L2$}qS6i`$qgjb*^4k;y-e`X<94=z}Jl?%DnUvxu` z49D}!S|WEElbdECw_V7Eh1_rcAmnn;D)k#5&LwjBOfJ(xPLJVh6mo;RlkOx#x_3YW zhd`3D&Erhl>9PDfh1{E1VWnrg9}&54F}W)&j=aUoG`C%7c(lHThLVC#w}E~pl9_;De4I8UA^~7d4v$@>D zrWKN?Hjk2$R->tBcl!rpgELeqyrfXaY$bqh@#HJb#+tnyw=k)W9`+13EtS`QbTV?13oH~4BF`) z5Bssq{w?$B?eA;DU2T&0^D)TEJ(Td{WK5_dLMTI=;^>%@c3uTFMjpNy)I}2?Yf@A* z^3zQ&*GX5gc0OEnwVz4ad0D%)S+C*h3vHn8i`V*kt<8GMs4i~W4wJ`a;8XaCioXtq zaL6zPGJte3841>-6COpDLYCz?Tmu)m#_vR>b4<@;YN++YtUl;&HEW^GthX;0v(lU0 z+NcZh`Xe;3nDt{Ajm=6gXp83$7t#CK){)Ry0LcPJUgv-taAfNG5}2CiK|0)!mJs5? zd@>1j7Znq1cZc$-?FOL70s>XQhoXMn6Fd&>%{LYd0;lktylZ;m`QX!7 z21wP6Jii4L#Ekq#*7kF2MxKhgW2H-jxaw*@tqB(H36HBjdGp$=Ok959Z}9yf?trdX zo3;1%+Q)Oib~f>z-&4EQ)K_o)82SbvTshG;gzT#7+>oehY*xX5bd$091VEUg)9oup zqCO|Dn%>W_BHJHXL2r-?$s6RzE+EbMm>+MD3!}`Dx2Wkve6_qm?g&t!^OU$_R&DCA zxQNv|=!n{7Y<%fk_=vt%W~bdpo(Db7Gsz?H(lZ`^)_5_Fo#L9&X1p*CG^Kb!-*F0L z#!0v@NrN&TDtvg=%3)GDG;|eQU}zufW!t>~TtwTU(X3ZGDWi_MUnGcEa?qcnXX$JI>!FJe6_BNqFL6v1)T68d%2BgHT?>6ZYSWrWIXO zH07dclQE3;!e}&%IvC#0NA)yMWQ&!Kl8%berB$0~lEcdh?*l2BQu*bC)&Ae3-gOc; zf1Dqo+}8DwmNIz6PN3MGt_V#nt(}v#sDa*dpxfNWv5J^#x7HtDh-)P7)nFR zBA==-)DYYWkT6e5kw9``DoB`hK!Gp~0Q6@Fj}n9-K*BsAMFPo%36d~jpg@>40Q6@HBX59o3iEz!lnN6xg+b1O;GmM!g9;=e zK$6g{CKL&jAy5R$5HfuJ$Yo~SpdY0ZU%x_L{-B&sWr`zhfEq_U_*&H53!fZ~p1Hv0 zp02T`0?s&cIy#gVt1)U@w{{I^V6KVT#|RyQ&2eNeur8f3z38%{=|wZ-ewF=v?~8eO zd<*<_mZ4{vICj{km~>C|es?iRhd7{93^GM_f48CO_VYRJ`cI;>y-%W;5WskBOrh{O z=qE~8=xlE<=t0|cK<9`+2E==vAw5Tg;Pfrd^UE(`{VoL8uSLJCB>LqT`o%^j(l6*w z-l3N)G4-nu{ro_;==V6JH}pf8ThF|1RmrHH0 znr)y0!N8`Ba%Jy@tJcBgd*ShakSM=!-+z@qJEc4odu1dBT9=xXVrt zUhppK9IQj=dIg3bj&!%Yn~Q3l*g;?u?XK7yV#V^3D>>BJa0RO~ht&x>-`#dBG@6sf zi`zm+G*upHcLE=LYYiB$Zh@}nW#8VC{V07a>?_Y{qD3winO3*)!ryKrQA4;@Bu~&1 zq$LTBB@cQf--FBkI!V_ho;Oofr~9^Z@siwgK!JF=LI*R2_6#MEWA>=DwTAZCWOOM_ zaXe~q(t4?N;K;LmHvImKAAfaknDoGT>qg|DB&O4S{W&@hP|QI1_W>ty{D8z*ZJaXV z--sWdR*>Yj__6N}jvt=`q>LYb0m4^`AJ>BS*AqV~zgqnGaK0Gf8;&3S7W`ZBV+^E4 zkNv+AKbFrsVDTgHb;gg$XaD>0<4AVEc>HMTE`GcTn^7Lg@uqHVlf;kpXl7Y!jT-KZ zAI|)$j^oDLL%*i{>VM|QFf#H-%B##RiYVA*Z$O5PNbILCW%yENB$1UDnjzB~Drxoo z(OjY{Nn}f3e8xet>2=u0ua6q`*}{E<&d{NdWF5Xgnp>O6co zPcn~Hn>^!8rgnax#lB#JLU7ubI)oL^1iGb*>Kp!@4!R zn+5VnvFiCd(y@x7Ch3L%8J28gngm&eBVV{-7FdIcPt(<#Z^rm{*WuIlBGrL{<3pl) zC9XN|a0U6v+aP64poQdPHFBfS+~!+Y;$til8$Im9%afjck9dcriV_28LRkUt?E~iX zQlKuBS8iMC9Rx1C)O)=EWBj6KtRrEThfolEnr;O1b#Wt@CldtZWkw(q8!%hki1N7# zatM8&5A|2wT6$`cJRBWBW~5wzx8pmoUk$aIVGJ-I2Iiga8w@?A!*~N5G_ua##~awx z%e;~dg9!Y?z8}mUxRF9gr+bVNLbrw+z*&8xFuV3dnAmc^lM^fd*6>~+xtf2(vi!n} z07AE{;=8%tCVF>FylC6p^*Q`uc@UWBL$4<9*b7UMycZa#n!FP>CGU=3EBV+xu#jf= zC}#KYg-&b!F$=u+Eb2KKSA{aJJfpBRP?vEKLSp-X=6f0Kxuj?>Aj{-?mQO(S=DQjD zK45pcKSBkGs3zhb!SKy`N_vEBE8~^c^XL!j{@@o(=ThdX_Y)3>ZQ!HOGVH^5+8^v} z$4Fsw!Cz^!orVaXXM&BtwD$*_L521QA0e3j{^0f6Y^A*!`cC!-TgREvtn2>Z8Qj&f zKiG)Aftxp>fhC^Z1m)R2ezi}b1ZTvueA+@7k4AOwL&4n$G1kEqdv*r-lHg_@%?xtU z#KK^t!JrWPvkBs*+_&c0LY9Lx{wSt(*|+v1OgLg24es@qlL&BtGI{q9h6N_UqGpT- zi6J#-@+L!&Hc{rBGY4khZnw&5gEUnLgQNZTMO0&%PrkB!)?7xybhS@k=7Y`s*=IQHbLt+F z;NP%M0Vo;vsh^PCK6h}JiG9|IeX4lBU%Qs&_w~&7IGjy8VLJIJmxY0EuZ$n+S+(HWAs;iw}81F}* z%HH5wn^lF&d|Qwc4XLL4f|nchQ)ogA(14>aQ}AU9Z27I-P9LC|n#M>sGxnL^5+g#n zO&uwWc*~{KS!9H|wH-&{`>M@5?MCd0?qDNU(f|pQZe9C-Je!FXuDP-3P z>l*B^lWFOGdya@1vy1sI6lO8$emnVteLStnB12N9fshB^S`*+3+-Ap&&fhU{;$k1W zwLNeya&Ce=0yp~bt*D7Vo8#$d;55^@8&wex5P8v68bQW*G-HTc@1*@T?7suiV&^jq z1>n{W`I~ofLh{V1#6`qkPc+~#l+xVuCca0*Qe{o1NrW_{zm@4?fqAc@+?$zkOV7j;)+V z`bp>eB$;plow?&)Ex5-ZpLTwN=R*wsG2-vx2D?ciEC1~&`0u;O7NfCiR0Sl*gh~b>|ZbZ zbAWE)Uy&C7!dz2-C;y!(_^-6^cYy}7MW8Us#@;|4Ix0HsS99I`gN675u+{I{L36{vK|y8x3sw$5Qa$ zhoNrh9{>&5zt!Nc{+|~Ay~JO~zmxyEi&N-t;olKb^M=_j{X(LnfjxFO@BY; z!KD6=KyB#n1r6x$1-iBW)8fC=KLB*Lzv^!lp*R`;YT~c@ zJNf6%NX~zyg})CppuY>~as9C~7N7i6=^r!s??xM&{@YXV--k7*p?{>v%zr0XOpw(7)|>oyqkÐM3IaUuNoGDf}ye zZuS4P_~&}e{&(^(oSmHiN(=us;qL*uVgL5yEy}0z|24#4;~(1C^pBM1D*93{uOEQZ!-DMck(aCjn`!J&q@pbu<-W*-NHYXn~whZ z{Z0MRz@~pD9)U>4f1lsfzeD(U*!fqa#eW^~?;-u~9&}p7#IotVY|uyWi+taBY$CX{_mBT_NW*BIY77Y zFHehq;i++doaW@eGX?*}7XB{Kfc`DQpU1B6y(#!Fw(xHd{%v;tI}cAsfB(R^ z|GkU`HvQLMnL_^}Q~w;$fd2JBH~jBXEAv_mFJL5$KTRh8X-@v-OOx|oY~ddk{yv}^ z{9nznD4&Xd{g<-d;jdtjbU_cSN}rWE`aTlfb- z1NLtKdZPL0_3XGUx}T3SPlr6cSe|WYXR}8|D7ig$U0~XyRpbc(oyS8@Cg(TBNU=X( zP5dQ48tderTa}#ubPInUXuuvWpd0@1>|t>mB)Na3&rHNj{@c*TrvLU7{CAyi>fb2* z8!i0DrOUtk4CsFl8*HqTfAv)<^tbT$fClsr0-fzI=G>lTF+tLJxSjZCO8=vQP5=Dm z$@%XZY3g4u{BwZL{12A?Pm6!ync$xx`aAjWOu>J;g})0lpnr?OU)*{7q3P(qp7?u2 ze>AY^zot5c{^yzcR|@}1ptJrmANtecpF1edf2@;#;nm6cPq*-I6aF5cTljD9n~wf# zh`%_@HZ-v5A4|c1*SV(t0nmW`TZKR8Bjc>hQ^n)G7X6+4*L^pI{ucf%!aodjtNw?i zqkqL&&|m!@4Q%@RuSw2-*Ey#CUeJL4UZ7k3KP~<{iNE;YSSSCzDfmyf@NW?QZ3chw zzwLd}(ckZl^WTOBHvQMHNTL4-Q~w;$fd2JBx7gpG7XK#Vukqi>zx>+d{HI&^hlRfn z=-|)(_iUy``BeUwUtsEwHa7h;S0?AbtI*WHL-==}NFs zI5z^(V)+RsbIbEyiSyy|A#wg=o&2j;iT-x}Exk_5&FA$9&$I5f_GtdsxF6#S=K_`5&@R%o&C ze-=hc>;6zB@h1bL|H-gc{nyl_(4Tm!7pxcll|WCFk54pZ={_INgku_Y!~c z$FrUM*R4*WzlDE`@DBss@W;mvvM3L^@RwNsis5nlZ$KNH{{HVJ=f8s@f$HxC4e0L$ zy2bv5RuPigf2Ya+Y$yM{DfmyY@NW?QZFc@mJuD_j%HNN;s{XeD4Q%?azafSGGzX~u zITV|b4*=b&e_H&Ti2p%69?y32FJF_K{{##Fu<-W*o%xG9HM!E!KOgg|u7@|EfldF+ zNOJx=Cg?}#44f~&KWuD6Zh3A65tjErF@^4GQe}aX-3pAkrcHv*tEc+2nU!0P4bTn$ zd+ald@~QlyHvI#)Bu6(!g$A5m@{u|KDrhk+22YWmYPlMF>S>@)~ z4%!n}{c}JA`ZoaGu>ZNH2&vZtO~l^=(w*+Jo%}0qOK$%O7XD%39{@VrU*jL_)P4NR zhsliiM~1b=zueoC^WTwg>fa&!T|kfPZ)Kj!|JD(I)!)f~dkX#&Ec}C@0sA)!e`7zQ z>63Ky&-BIlZ$JZ^{?&J+(El`3|5o821iHokg=z7xCjL79o&58^pPc^$3x6MIK>r+| z8}@(fV~g^s>`%vzs{J>hjZOc|-pTpzIMvi&&lK%I7)i7qyug&D`}H6l9IEy>%_$F^ zJdkWXc)mp*J*=_;=vH|+F?;BvxM3hn_wvx`dn(VJXlk=h1tywg@;o@ew2z+h7yx<~ zc~03+d2$h4wZ9H_%9D!_l}w%!E%F3F1ODOyx>X)y;N1M7)kN|0Cljw9=~OXYKP*Q( zn|-#Ykmsg6AZz?=5qTQB$Ri7h&VTKPJbOtVi6^_!&L&TFpXB!0*WZ-KOOA|PCZH#> z&yu9_Sbsl^`)&Cf?{ZOKzla3EZ<_GKv9KTGO@Ht13FTP*59c9xnvZaK(BP@}sR948 z_`-Zh(tt+zz4$W|Xn;T6>3-w`{2cyBM*V>WH3-xeLH)Ubx|UFnV$>xj>e_V>4A>r6 z7=-UKurDL*qZzv>DfVasdk|qC!`MeMHvM*d)h0UO`-AM9tk1_2@hp^F?Ne~9A!*j$ z5!cKi!$-ho<#vKp^Kp#*JI1zC{gF`30oBsT_D=WJ5Q3+x-=hWO=Vtf~osU}hHvRx)I^B1$cJxErXt)9<(+>1z*J8rg(e`YWGuqOlD_`r z?mQ5($CDosMRP=8Y{uMxv>z|OnC4NRT{$7e-eYf?9T%XeyXHjIlB1Im^kyS^bP9x-6 z>LRGO_Yjl(3^(D*k0`GoSm@lMb1P)>CaFC1Zc+ zCnDhIx;zxuLnK4JVuL?^sGEfUFy{YiyUCy5<%?18ZLT~NwaTAr6Y?*!@TZ|rjz$Lm zC!v;hZ!-SFMSlx_<9uLmvnR{sPqhj8|KWtV{%Z{nM>|7=BAW=sz^!@5(!bn~8}EkS zGl=|1 z#(sN`DY{*lcgCpbFFPdYbWcSMcPHH0nvZp{=a1N)Z!rPMH-eN@CAVi03pLdul)i^x zr&wr)A=D_)#2NH7W=>;lwGg9a9R|MH(=ZKTLXH8m-Pb&taX*il>e?xPS)%I39OOFP z^H5``Ytz?ye$C-b|A$Op#xEW()A8GC@n5P<=)Z>^C!*2M?TrX&@!wU35Ilt8zjShR z%{!RRTPaB7QzZ0kya$T=Bbz$iOIeGNeIXCtv;i}{OyS7`+ImteC7=GfVZEWYKicnK zz|(o_1-webicfEVfQzmJejMWr8^%t-XtH9QX~W1ts0EFkR*bW381ya!VC)sC!q6|y z%xgo`<05kpv0xO~F!Vq;I@>5tW3UaQLztCYFlhXHke%$!qzDk&tv&9=5ya;E5Aute z&qesdNL`W#aqHac?<4FnZ@FAJUh2vGE$9%$0QW6iJ;Pc3U9R?_y3_skKa4h8E~0)c zk38#-yc9bI6EjQ(WnEUqjb%T#OIL zJJZR>UM6DAcn~)hA?1P&69GU!k3m)yQyGW$&2!MG)7{7f`Am$OC(AFz30n3)^}c8Q z(X7M&;&R3A&H(G0y`Aicp{w|G?ASlusElTHyaI%42qBvF4!$!^>HCVi$2E_V0{e5|t8E=*im|KcR{h#3Hg2_V-@8#UIV+^)o8J(wQSQ z*7+tsHkOoK-6H3yenO4%4ZXPUq8U{~i95xpP`}Pr(3?XQb%9KO)R*axxTjKabxYNo z+}5(6$K8nJcv#P$5{2F{MPy58;ObXH*?hkzva|E3tu^gEsy;@a-ArC$;LFd7SddvNzZ5fZEjA-I&uce-Ws8iv# z{?0b(r-BwkGbtBMnL3SS^kKYr4gqG<;Co*`^RCnJZN!%N!ZLJ>|C1N|k$&$IM$MDH zOQ+Acyy!AI&mV`~c{<%iaJsT6)`p$#-uN1MO5wIn_aOF1Bn*+Qo$e8YHw0^t$Y*|f zj{^QsQSc9cP|XI9Rmk7G4@9s!1EK3A_El~qY27i&4e>nf-toh_9bw( zWh{FhFSYLFYT1^t2;Zo4pv+QyMejrhQ>ml_U+d-T-cI*9M5Zq5tOgQxJPMuepZ^^x zJ`v$SJ`i;=J{UV%k_%#4tVs!6G2>pa+*1w1atD+Du6{n0Tk~1Z(2-5dn!G#i|FQN% z@wW1KeZAqY8AI+xVq17nsP{G(qK~WQvx7oM)_m3@2q>GgQiXM)R{o==9KKrP8e~;GAHYm6q^JhFij$WDhL2-6Ykq44por{kv zb7Ip#9F-8mTN-&E9s`k9AaK?BgZPHGa3G*w1ER65If(Ds`CwkqlAX`&^XU|snkTDa zP4?%ye$`;tey7?8>?2gZ!7T4Vc~1x(toXm7vJo$+Kd3y#y#7xr7pp_O`lfyS`y<@$m65!~Ko&M%ckwq@Uh-BubkXQCd{ zICd^F%c41DBVJf~ls_8CERJ51IljkVP|m*oAz&8OY(n5{$t@bOrwW1Pl~@*hk4N~E z*|l#Hsk()WT>ee}=vf+hx2)%xFa3#f=aQVFZ6&w}5x)d}5mKZ>i|CO^7d!%&5%e=2 z0H(}R(X#x^PIuEAOgI$6GOf5S@I}qvJ`bHy6Z6%y{XUde8rdTU6_xeOT1E|d$xV}4 zr+YaX!qM|e_2{;r- zC-*8@{e1T7LN1KUELr_@)$xcahZaYBmSDPGjiU=((Q&<2Kb^fAzuIy|Cubr;Wv_N4 zU=bQZPj=04m<;bNc@iclt6S7s`UHtXc0|Ky&+JDhXO{JNqpU|;&1X3{o-n(16bNO1 z4-YvZTLzb(3zBJqayno>4(z;$bMI72;6*j~9Xuan{aLe9cX@f@dR@)oVlwvgM zLydks&SHWf^ z#0_WHlG~5WplhpNKyAVE&9v5zZNh>9-rFO)_5$h@>K*)s)WsJ&TX~6?kKt1bT(rNP zX+MRp%SVsAl?&^s{x*EAx(+nK+!b4-H2smyp^$&|^K9kNBYd&*`8Z9VYvdhh7g{j# z`_$Zr=46)Gptwk=7m;f4g)fpwdn(Y6?0+NsQTuHpZzOO^e4gIIcaubxH?aDOJ^88T zqb&$bmi)mG_;FgI!3YpId-XU_w-9K+HSi?As29H@5`w_uUMC1I06}Wmt_!60cc?Yz z^Z4xGiOoD1EF(`vGlI`h2(236U;S>#gFddfi$c#B)S??)v8&N#luI0CXy?)FRp-x( zpM_;8x)&e^caK9ef8_Ol`F~YbH((bH>&VNc1K;_L2Q~QGK4|N~ef%rDm^Lw)ZRZsa>f1F)=6^s-4!0-o%`wBRg zMq-`rJowt4X=FPOq-p;<$w%pm#~(dBdQefMrD#{!n zSVblB;OrWFy4Z_DgO`tF?+p!P&#fvlytXg=OuTlWcx~uZ^;pi!(aWAok>9a@WY<1M z1ldR4K-Ag2T`c++nWsFLVtJwS1N(RA+~v#Jw?n@bzkb$mlRK!-;3ie4Tl^!8%Gm5r zFoah@Jp=U$MGY;c&Wniax3X}1rUOsn=f|UZA>w}mACVM?$3u2)ArN>>WUnq0n(Syt z8#K#!m=@rYjtQaL8xD)CWNfzV$w>Ixo#Z zld9Ly1AB-{UDo+Tg%^x7F6TaQ$zskE&FG6uppnfTIi22>6h!;q!}Bj0{I-*_j`cB=p5{S;W7sz8cti-e(kr`v%f|& z>VO9Bhbw})-armnmvsZKcDn!gG6+ZAmk>qFb0M9zsGc*99#2+06 zm0PObL8D3Br~{1*qC9>ho3I4W@kd7G#DX-?>#_zi;x-_*zsLk4&mrIV7ksw;HIa|9 zgj&R2*HSO7YmUd_sOtD=#!jHX)eeu1MF+MY!tpWo?1!D5X5iQo&3K5hvR7Y^_R);{ zQ6hgvr)1URyMOi5ICwjHaZc=cI0U*2L!|1Tkm@$NxGkdsfc~~+%+qh3?#Ew(Xg?Hx zj%G|kJ)}5#TShUyQ3SKF$9gNP6xE&X*QwE~^|2o!MDc1sJkCG6=sbUqO$9BhMg&T5 z5^awy1ugSdU7g$aAna~nJkMJ-V*DkcK3H}MzxExD^^&yD-cWQDGPNGL*&9lGL#2T= zdwTfm4vm!6m2J;2j`|KQ9no4A*|a>frync4XO{LwmYrWVVtdt_MA@?bQh&taJ9bM? zSfFNa=JMm=|3fhk7Ie;AbuOeiodZT_*O$@U23j6C;Y{_p&aNd&dAMttU5hFdLY&v!*n8T6&hR|+dfb&Vo zVX;5c?>>Qz4C$#{C80EpTZ-+>L31ryHKPIok!iCKcb!t7|*9QUiX^I z3SMP#LWjuC8uqESkSJ^5g4n#zE`e>3&C~u>Jl~1icQmzEgB%h^GVDwFGo;=fw{L72 zO}(hfj{5RGY26e%N!t{(v~RN5J04%7Bi}$0SMct(F?Kas5&@0>QLYvzxw0rc1N!`)ZgPvD^u?80xVulIj|oW*TzQW_iOZgs!xf7&(w?fZEDc`RUFU(}k?ooKkx260uh2NT__zLqUOZfqX-YHW4 zrNTi|rTmb>;TK8yF@@o2Qa-X=;Ef9VTrB19DXhLk$|qbZ@COQyxlGFUDC~c^lrLA< zpzt+?xzpwPg$jS5aF@avGvxZ~3TFkSTsTi)i^3`Mr5sZ@YJrp=xI$q6?+C0@_?g0M z7fOAH!dZ)?{FK6q#Zt~+A~5qxfj2AcwN%PiE9_LbWSP|G(t}3$D^nO#IJQda8x-EB z@HYxixk|3zuCPtvy5&;erZBf!%H?YW-lXtJg}owDKSE*n7AgNs;d+I+w@Ur-3R!5& zNBzIXaVhYT!jBbxBCu6qV6T+D9Rgbv2Hux)o5IQur0i0<g8TT$eqzm}T?dT$X}xoQ;Ght~!@A3#|X1z?^!4fm;OnZq@Sbdi_p;-n#@g-X}2p zu)x+w1O_)~`Eh}+=LF`QUCeU03I&GG)$#=bb4mpI#t5t&tFTmHTbaPX1c5Ez7T7UO zVC%&K+b$6pxJ+Q>9KF6=psQYB!>t0_ZWCC)PN4S}0vjI?*zs$D!G{F4J}l6;L11IE zK+od>>z@$l+9a@Yi@=o{CtKZU~uR*n$ZFj8P^kwDiN zf!+%RHkN65qL#lcuwjY+WPJbCbZz+XOb=A+X_2f%SI@4F6DI z$K3*h_XuqLvA~>r1^Vt2=(=C)e=4x;7Xn*;DbVwfz{*DjhBpeVe@tM*;{tOw3-mlA z(6w8jZ?uo?*r3o=Eak95SBaJty2fZ(p=+#^D@z5ojT0D{Ah0DM&^uXR!&HGe6#^Sq3iPZJ zSYIQsb+y2pH3BQwYWLOsI|fR>)SoWUd#1qFK>{ni0&@lnY#bsmFifxS)bcKY;kUG`aX2{F@1nmJg`Rm* z4l8U^=$kL~4GLWqQVuF?QRrEq?G?5u^j)F#mnobfFh^lWH zmgR1A3v4@9pvxmLP^k4&1a`b7^G$HKz*dDmdP6w=!fy-Ac~79{eSzK&1vV%Qck1&?eCJH-U|B3ar<8xuaFet*;35{#{_lKLl0|DrLL1D)gQu z<$8r33IkrLZ&a95AmvJhtqQ$^wY|a)g@Ga3USW8E;^hnUD6BkP${h+T&(N~M$}_dB z(07ygQ}`}{jXx6DdY?eg<67P+utEJd=Q~mkE9_9{T`cu2R|;$k33OEptW+4Nk#a|a zz~H@l{m%kDFA8i`SoxCHzpV8ND^;%WU!>mks=#`MIj>2%L80fbT2|=YCFK@{zSpJP zsxa6l4bXNbInzeqTmLJpd;{t=f6X<$EV2i@=@3p=~U|6ARla>{_ zHfvd-Ym1f@x}MasLf2DTR_NNQWreP7T2|=Vu4RR;r?srm^^BGkx}MdtLf0R(tkCsG zEh}{G(6U0;pR}yd)hgwBg*ne@Sz*reT2`3zf|eEL{8`Hib6(W4!km}1tkCnalp7R! zc4}Fn=M^n0^!!E33O%oCS)u1OEi3f=Rm%!JyR@v(^SYK5dfK$C(DR0t6?*S)q5gmKAzqT2|=Yqh*EOx3#R$+pcAW-gmUD(EF~I6?)&( zvO@1awX863fyBkYD1pHefv&LvbJkqQ(*SlLftn@6B`puqYwwcaZ*Fj$~xm_XNg0>c*ytQ;q> zV}ihza)E*Q0^6ztHeM&NvR2DcfgQJM`A&tO33PoSu<}cRt$PJ}KNZ-h(5v_z2ENW4 zjcyU{VI%H=(_f`Wp!Z~f9SR#y zk#c2!y`HDn6}AqLa?7a#8&4D1kgw%o;!h331=gP}FkGm9HbTqiX!%@$!Sl2{Qp@KH z%sEf!dPWNLp0DK#1m^g(T%^}WY5h=X-=@%|e~oHqqwL(LrLu92psj%NLDq|O-GLuG zTK1PJ6&er!8qNNwPuCjd{e=q`%$h&@iZcs_51O-Zp=-v4SIw9-cm9H9p}9*(FPyn- z+1zCb33gl{)ybebgX<`t;0;x%_*d-JvddoYyV>`}FW!HC{H%b`U-MhNuFm4ROUf)*`TA)u#3y6H}q;#{aX~Oe&MTBpGJGVLC5G%qioRim~^#Ye{btw11|{- z*Pd9!cDW)`;F|kH4g(r|fz*Q$<%7Ok|1FnX#U+>UKptN@B|!BPtrzj#Kekj7a!0*U zoTm2|jIsesmM&eg^c>HnQx`8-wqTKGz_K$7yaktgMiosco>p2s#qXIJ3N2kQt12|t zvtXHL+1!vPv;zNpsQaZl$l9J*x zJpR(Leh;w9CQcjVDP6oQG;{Inx#xHWEOI3hVesXi(PiVtjG8!g%8c<-%cjg2W1(yj zWL}zr3?Ah5dP(%*L+M)@5)83O5bzgGF8OK#oH=B;*N{QKI)uQ3$CJy7s9(lt=afvH zG{xvAf{6?@)FS58$t5$!lujs_5ty7<*mS#X%A~0!)K_DQ$|jdM#7rc_FczY8Lcxqc z$)wVW#fiD6+gEWr6`-Ti>#X4_z~BQVz}YImj4_i+zCB}nQTbOhL7`|)4qMWNS%w4V1ri(~!{Be)3nU^6=L6*h@tBCo>6^$S z62yYR@t8Px#)0yJ!SR?lK;xQqJ1_yf8Q(4~Kr!UKo#p;C!IGFdh$w9yl+I$HSoq%nOIaOzwi>>V46@$haI_GQ%6Zf zSE1n692?9Y#WZ6I%Y=c zgfl!P4pW)F0w#(1(6i=Ks zfvd^;xzKeN9kS<~CJH2y5d%SFOu0jv?ncZ|-AyN$#%B63WE_*H6iu2kH85jZQR$S# z9+_@r*w5YRVMsMD8az}K@mTQJzNJ3BnxJ?de|Hf&;i)ex_o`KCrfA&MuB_OL5> z&hZpuJmR)V#e(@2e6MEF65O2$Rm@!MDex4-G-gDjJ2JMG(@OTEW&FOdjf60tyLjfT zg>&b4X3fRjqPd>pNyQg?md*`TEnSSBH|_`}VgK*7ojY%4)xwYm_nPST)nc#=c*aZ~ zZ|9a^w7^>zEUH>KGlb!`bndbx3#&p4mMpg10GlcIy*%@m&IM_@^~IK~gd(mf6>~kf zmDaWSqN-&fC~w?EE2vyX!+F`FnF|-rU22!fGi~P5#S0eCx89ct?qM0Dwrs>O5A5p$L-Urg>p7&iVMmmz@d z&ft*YU$+ERmHWm2Y~hdnJj?xsZ`K5bU%LoNZQ#n*`RE{py%hFTn4z$*seav zt?)2~241F?4Z3}_e27AWzEOXu)*EyUdWO6?di`*PM<_f}q0#;*E#pW%{ySRXF$!}P z9;@&;g~uyAL190ICn`Kip-17#3QtkkUtylY0SZr5sMDw`U&{j(eoLWYuhX@BhQc!y z4pMlQLa)LCg@Y9iQ8-lLFoi}x4cGG73JVpEPFemyuLm{1AM1P+Nuzi+b89)`K#WVN;w6f6`@jAwq+*w+TnIS)*oH~96 z=a*9_j^g#vxU$g}Ec0tg+31U@GR>)V!;IpORA8Djp1zsqS&Rp5FrzPl z)R^W&)NJ9xCCeefQWC^7fKf2D%NJ@m>uQl)li<<`XW=OY+pM2JChQR?kcMBhhbaH%Hnoh9enlj48je2nE;SRGSjPa~zl>JzWyHvpo6sjf!tccNw-u zf)-dfcjhwm^qeawk>pM{p3Q=SOS;#wyWYmO!T(2=HAdFgZ`s$3#7v`(CiAvjnjR5B zK;dB=m^P_+8ts?Fm2^?ryKZv}&fQ3S}JIXhH^NALy=8bZT;pvTs~ z9#=xPScx;+ugJ^BDlsQ4yT2yI?994GPI}nGy1+FJImj~s!{4)f<^r)CuQ``3n7H9}TD+{PvT}*hi#lUC2x9L>eZ|O?kJ7S{8z<13cKP5z;DOL@@t#3ky_gh7 zRKS9hSN%MN@jOLKz{;6RXD-6Zl_FzeEfaStHuq$*-*$ExC#}4d&YB9-epX7P5G< z%3}a86rk__hrP3bkE<&4|H)gM(3FN!ph(dHiWY3kB$>=ipNMqprzwwob*@)b3QX=!Rz9gY)NXqG(K46k zC(q|e8w*-{B)*2m9qOYwgh!?DD+kMD>35V3K+S8c#-0P-4E;-gtK=7kkbXOE-F& z&JJ8UMPrGMZLEk;+RF|*=BWZ>q^ig26E~%p2VXH@hFL@YsKonvIlC8pOlO}t^<}` z8oi9dPQ6xVEOR@x>$HBKZhBBpXYXn$Bzm38&$m0_P`cIJi-U!lJ5t9=&OKH;jyqWw zNy}F*P0NyP&-)?)oe5qFGA6p^#Xx~+96_aH(*PBtuUy52*&ogPyhnhN-#qJ!}AtSvfOUz4q zz06Z{ejYi!y)|+&VnYlq$u{4*Sds>%XdC+aqk{=t-}h1O52mT&cA$**c5I3F4`5J> z^Ra}yNN{dJ(0vPg*l~M2k&s0?H4y8Hr(>Anu%-KKBVGN81fBtcywXSWm?+jm6!L%- zu*eN>v6AG*dMujO5V1b%R&o=a^k0Ze{kRHtHAQ2YSeJACLhU^&qd&Ub2f0`#K0xxf z(?4v{j+i{Qk1$Wio)B8@tARgEdDz2iQqqf+0X}=c&gmJ3KU0Q$qIm1xM0dQ8qAQTz zGM<@qGOofh>&~XYYzoY#z-$W4roe0p{AH#920^p^|6gX-&c4kNT?cX=`%u=GiBTBPM@nk#`$AnU}Bh#^RC5A@X6PKq>$=NfrDKMJ? zvneo}0<$SFn*x8KDL`Wn=e}tz9?)#S8`>Hg>#e4m4f1iJSYIl$?IPjb(zz(@m&@#Z zSJrQ!B&lh#>d9?vSS#SR021t7f1ogcTz<5$~4ajP-X( zw_k>i&dhGJDKMJ?vneo}0<$SFn*x6+Dd64@>af>?VC*30Uj9Hq+ub?;Y_w|XsG(6|#FTEwREhXnAa+B{G*piM8_N8*w zkI@gpa#g;H4Hf}^yHOqtjoa>Mf$b`Znt`6dzF0DYmx}atUVosi+3GeCL$dJ@_tmJAJ5le zjXpim5buuTY3;6pn`s#fAw&S-8zdiWv!|wooC?-9(ued!J@C^(m<)bm( z9r45!n)-^sBh1(y_s4KavPV z*PcYfGjExGEc*5#59I=Kti@N)Jxt}V6HjHP<9A>=yC<*0wK7H1b?OM^uSzd(!`a7K z-?JJ1RF-_!@zBTh^X{in9FvEi*U!J4bzJ&_etv1z@z{6t^UJ=cAN#V7%d(CaXB`V6 zr%l}iDa$%uoOSHaI&RNSudMJ@&)35@T&N#cXUV6sj;oz^K?QJA*7>%q<4D%=y4u<+ zeap6ngH|xGBGsRcZ(WhTC2`A&f!G#5R_qVPQBm;<15}fBH?Fu5)dYp^7L?b^e1Vm# zR#pnl|LyT)Wrgd%d}{x}mH0TwAJ_jM8va@Te^~y{rr&J({UPngZ29@a%FiF#{{C;L z{|v&f`n_5A&y)gqVMZQ)Vysk@!Mz?YFDt};JKRsM2VYnZ}?`iChz}=ExDvpv2+_x2!iW9UC_tW^D#QrGU^9vE)uapWO+)MB)!@eKx zZTKz1{t(<<_$|TyFzpvXmiFO(5Wg_?N8s+oZ#DKu;qJ$;8vA2#FPc*->ag#J`+>Qo zB0}MO0nM#_snxj#bVk&w^a1vw+#C!xNpO6IrfLn zLmuJh$Nud0=Su;4+&-@`PZX|k+)lU)ip7GwvqiCgZu^{6QKWDzf4szz*@XNeKS$68 zoWPIDAi3G+3sL8n;78}l%`40=DlEv0gA;!G}=<8f26r#jDN zvu!K=PCnvrcKZuRf#@->y?x~s^mEUZiVS00e}g^_tnSB54(#}Uf*<$T_TQpUd)_m7HKd?(>dY{G#oDnd3G(Zpv|YI_{|B z9(P>v-?qO+j_Y^aO^!R{xceM;+;JxyciGQu|8pwPdM)4mmK|$JLI^djyvJFi+^s*RXc9GLYaVH$N>=(8_pX1g!Zkyv~ z9QR(w-S4=E9ruLe7QbxkS?;)Xj+=7aosK)=xDPw-xZ@W8()J&A+=Sz*GQP_>-s`vr z9CzGtf8w|&9k=vXPP`nq!g1>y_pOebblmqi?){E?z;T~)+@CnE_#a#EBFC+8+$$Zo z$#FM3?pDWrkK?NNe8f5atmBS3?zrO~b=;pi?r$8o6zvCXKF7V>al?+g#&H`Rca!6` zJMJxxn{nLjj{7dheXrx*>$oG1`;U&h-*F#x+(VB0l;a+C+@CnEitlXuWary#`(&rX zZ2RQYW2ar2ZJ+G)_}^-uE-s)u+iM2m(Vm#q6U*Ef?Uav8(o+G0B7SS!>XP5ju%a=# zoh#lcS!`>p3!j^}aD%4{FH?=B#XXYu#yV1#^H?Ho8bZhBJksGIt9vjh?}l1<4|76@ zw`QNubfj;E{1)fNCAFjNQii_4(ceYy*Z-hAc5ZIM$iK+rG`$5JFWypt65^3bxrL_$ zVia546^0kw(Eq|Iq)T#EtosH|b2&!dil^)V6Zy+vc#ojD>d`#*-BJ&lix~ zmPCJ#h1)qS6&ZNaD#sT4V9V zsm^0HKs=S$1`+XHO$Il%GblJwl+7qo4+nUE8ty&{@r)^_oj+=xN10DpnSRTDT^?-x zz?8vh5)HKr-yj|JwPH7~E(V^)-(dNNVGh+3*3 zzdz%go}}?Ap;pmeGUU>Oov7kHtUglh=|*)#}wqP-atk(3VNu2w=ch2?# z_G&issw?Jk+;XP168JVy*R9qdtu~N#agHa47E6{%JFD&NLQ$dzhG^c%)kkuZlnvVh z#p6s}mKrt`bi^l}85r!!SgFi_SfI;fundN3aeq>_CzzJ>&f{AHQB-2A?dXc3l*qTj zTVPgn0Ph|h08(Epg6+kgKgy3=z5Eg40`DAEQnU_!%oV%w!kg2ZWV)zO3W zLVJNw(koBxr=iLxlaZy|>K^Ftvyw{*LlosaG43KlirDlbuvg< z>Ow@*X~?6(W~k`+;jeibe@UdeYfHY=RJI^g9YtiOey#o#wM{Lj@0MSYPR1EIaecx9kB?Urmc9QMmPYt+Mb+n?Pnoyrpxe(J2Tb>$+TRoN4^ zAK4UB=V{5_m`ar089y!R&| z-!xf66r)Q|vdyW=LY$Qk+K2|Po)($nowIbjLB1Jxz3dxnX#5FA%AJLiLS%@vW-xdg zOf@9C`)kDCO0q4TiS@Oi{MV${q6E;M_#~Zai+9~xYmbG0liy&!4+X>O?C3NWG{!o% z7+5@7AeUlBu&%X#ppVw6N@XauMTISy1j4n}xm;=Mz;_YF9HO-yDSQwSl1R9%88M$& zV}JjxgDEA9BptvAbrGF$mV9nZV!;ANOdl_7jb%0_?S?8^k5AvEsB1>7kPBk8ur(2j zrBIvN=tDS3R1KB*s6}mwG@^V%M`AFBdT~l6VxrJ73cs{o6nE7XkUIXsvL^Ku=n<;FzxDV#zI{mG=8PnCR!3J~G?5f>${Bxc~qYTlNk82bu7$`u;Az5KzM<+hyfiV@8{r)bLyh2-7xUd^nYspNv zcvB(1LQabz6x!E9LhPVDL;~+3FLXM2@%cgw2NN+oSN<^VB{3co zPZw@cimCGA{zf9+IS|E#RlKj$zOKGCHjs=ZRw8A^BAlQ7{b|#{OMm+K6xvmm{>za5 zf9l_L_zHG?V`YWRa1@Evu=(fy^`*CT4P;aZs9^r@ZS7}OPinlZ%;rORjgy{N&o2xW zOn!b+PqXm*d=Wck_4be6G$~7yo}JLbD;|DX<&|`4ZSKrYk$g&R_LSR4z;V zw)~^mv*ja>d_}gPb4=el&BsbSC4UOBS^U400$-5%L&l3~*_2TEf31_RD_35@|I!0p zSM+pst&k6UT!Bs;2UlQF*&Xk>B3>B|UeUF!2ZQL9t)lkI%@`c_+#am(Z+0F>!R`va zBsgPjsiFr{$~Sl7xs>Q;SF!Sd$GBEVC6yJMZChep(?(xStFK~ZMU}`KvO~W}hW>5% zuS(-P{!fJdLVR$!P<|b`IFI60TVC#y3F}+Yml;gPuI|G3-79d=G0@d}brO$;B|Cg8 zdemPtPN`qFOhKgg3Ok*AE4q{YE96AQij;kYfh)XHo|V|2G&gMp6)U^`R9W~dWK@3M z9DGA>KotCz4vOdEa;67Q8{(guF~K8%8JsEd&JZXZ#DC25S{add9hKO^+er@{cDCX$ zKYwnz7uOb9Y6^?Ub3vRW3T>4Hii*j6QE?r3QL%k?ZdL&1(vx*^@*=;`4yG=USL`0k z80D80${lIh9@5*=79NhocV&8fyrki zl_G6Q4o6UjEkl- zo$-#enA1BbjngFl9k^;1bK(SKaR?PU#UT$GGIz+Ej&p8#1Lz!2--OiXd4EajQ_?>t z>HPd6yMoBtghya|F++#yGxu_ecwuj*qZ3mN>0UASijPCQsQ5wrpLZwzJ&a!|{R4ay z&U{-qcAJPYm6LYey)9xTEdcTA|Mb2vgvMH2{EuZ-u6F=rR+j&HTfEqY2<{@~C)00&`|EY$c*#F~U_mfs8$Z zoib7IIGi)kBw+5{32RyuSLe}L2XFECyCgqL#feT}I;m%yC@p#HI(%t!A+-%9|CU0U ziiMOIC10a-C|sEA$4A(3tH0zBrFXF?D2BAB2cl^aDEZgBNXMZ4ur=m7a4);$ASnMfc%|}euEYcAlhC1=}cNI%PaZDHaw5K5TAS!CEv6Ig}Y{= zWSsU37pCchck-rE$&*Bi9fE!f?N-TC#7Y;^T)aI6Rr0O(LS30nFhMR#zD*)a7djK& zCEua*%NF+aZ%HE=o~Ed-rlUJ>^b8$UFT^A8SSAoprjZukBj0r-fcts$NVO>WKB4u} zPiF$zhnEkPJWH%`Aqt`ce(-UaiAaD?9O4c_$q$KaUf7+oNSP@4F?s2wV_U^>I-j6p z$HPwuY+cybx7G3SJo(rmf$immhas_30>{sP5gA^Hr5TQ&7s=1P64-wJo3!qc!0|Id z^E6LCM65{(j z9n&nIb3CyKcL9*`NPH}Tu)|T1M?POsXDI!gGez>u$#Yyk$YJNy9C=FQorCJlmCcpB z(B?>F$E$7W40*CRPbtKC@@}7;Cr_5zK4le^CB`{hD$kbLXK~+&sxUu4U!Gs2!s7+> zOnG8)-Z`jBQ7hAND{ii6*|0zgEO7+z^}@-7&XPjDJW=p5)bCoS_IBLQ$@{kC)JE8x8X4#0xp{=omEttEMZt~6 z(Y)Brdr4p3N<1X8U=x(JZEUKyT5H$W*KKU9=PXjw($-MZXtmU@v+C+2^?02`Q|(P? z{XJi;_0}n*@^z`!NF!dg3Qet|z&oS-u5GMYC(X3B)igGWLQi5t^9`Fs5j(Em(A;v9 zwV|PPLrq)lddv1O#}in)5n-y`5V2~S>Z~;_HBAu0dXl+QO9TU=cxs8(W(s7hD9IA5 zY23(6i8H*@E%i06tsORv zGhXj+)wCcS^F5hJOLJrMx{dYL##TCwB&!!^dZ+6n4aVsO-f2p&#`+rgDf3`tW9xcr zgG_62mWN3{UY1K|#X=9)HE&#_@Yx<#(#;XE$fHUa^c;^yYU-@k_027K9q-1b+O~$~ zCULHQwxzypV@p%3IM2gtn>V&t*KR~+H#Tf&XcLRQQ^>A1eAobCZK+>dkCH+uv!=eO zer-c7%CtD&6K$-GIK}8S9z^EVAtmb?*4`v8@GvD-LsM;CeXUsHQRHy_y80HYZY_M& z%M5507kcNLBW+gAx{czs9;&&axuK5I-CEn+V$o;U#p}GYt$1~e)qs4*zYTZ+4{}2I zPH{RcF7nRUY9VelM^OGrcdaZB7ke^JwzRgjAWe`9mw2a8t!f(aZku|F`weInqzuxq z*;1vbRV?-7DLGne)~^+p>a4c0txmjNXKUKT8+5Y1K_=JQ1_WZ6eyWw7x=cT{c5O?2 zeMDUD(fUnoR@h$|4q3I$O=u9B+FHdMz0)_;*S0mcG~8To*+ow*_s*?Di9ofK4U^SM zu|Z{N6e~Olf*YGusx&sESRgwaHlPfxJe5={?4iCoVpr&5k(%1;kiJeXG&Hp~xsrZe zvhAiwePexVE3yIlT1B~jn!@6@*4$)8HsO`h72ZiIjx7i@8eGc7R%%<22%>Tdk+m(& z8>CQRQXwQP-e}Z{YS}8PJPEs1*NPjOGFup#5cDLeq}Ul@af#5R60U-N$P2 zCRtt)c60U`?~K#_-Pq8!p6b1LlXt47@x~gwYHKYzEp%};5I~_8)tES1hF;sUZ8g=2TJJpS^oC}nhf@iuu@QCN>9sYjZBAN= zdJnT^(Ympvp{-u5^-jtrV?()hLqm;)61mPh)70FC)Q!|MwA7%Z5bM1&Y6L)I47)FH zU?-h0UN2h%ajkh~eSlyE)nt0*hS1Kjp!ce^!3@N*VZ(`5{6Q;VP3PLL9}=x&R7NIv#vpQfXEtZ z$yz-TI~`=n5N#e-S$Vya7#qD)HFei+L`K@>M%>_?z{k}syuqGo8alMrCUK*8t`T`J zHi_bc7_VM~QKB4$-slW54H#Y{Rd{Cz=Fvt2aB0t`bahIQoRFQ_G_V8I%43Kz6CkXy8%PP%gz zpnCym#dx*0v3bp!dXxqm6fd~m-YJ3RCd)ZEV?hH(<(up?^AP9HdZCn%yJs(` zzXA5ihdf@iV2!P_6{9*>M;8){mwvt%%(r`Os*?!QikQSSN{U=T@aty&bOR`d`TiGq#DV0nqQ7FjCm zK|~Y;v42Lib1N-P!vrx_F86h$L`kfegy=*tkaeotK9$}w5U@;-J@TwH^N=z#PZWHV zv{502y1q0`NpHbgAWT@HwDos(qEtp*GpLF2V)A^hH;E+Y(B))a$*+s+@m|OUXeeqi zp1`0`7LA5ljWytQ5{juO)DQs3X8@OgZ4DbYp!9k|jWyTdGNGvrW!Zy{AOMQCfdiQD z^I%gmieU{3yoYKr+^N6?nMa!H*Vi;+5M07oE3WWvTGP@{x2_(SU7qavn${cZF^HST z*qY`htUhTJrHr*UG-6C6!#zW!-KRk7<*WE;Mv}$hHR8?Mqs^XoZfmS0fn>@0v zo*MqM7`ZNpDiYrBsSEot=UlSNB!~OnmPov9G65{r~;QmS*Q}1 zL0Kq(%N>T=q^{RwqY7N;cv3ghfUg`^IUb_9(F<8OAWAMM`7sqzImtkctSDK+h-_R3 zsF>OdcssEsF^aXnqU4eiImayh#gkY^jM-;HXsHw`rpELjO0TMY`>}rpYMyLz?V9(7 z5)!~IE_>~-Pu>?Q+<1M1tOD}}sZ}p{kwnUhXtiDjLo@8pRr~JEE8IOQu)nOb)o0&3 z$}e+)x~=4MiFV(qtkANgAF13|U}5#0eCeiTiQiy zkDHt4h&R9~&dX~!8?TrVB^SZ>74uNe9Lb`Fvu(+F=j6TNd|RlrNSc`cdIavwLIjnR zlP%J)U>Qs-frhe+aQdvXsB1efbCv^t|Kvsh0t7uzQjUFI7v%OX{M0IS-!Sr z3Q1>iOb$7QTpGwkW8LYMy_Cs#uoQmneS3Mez3&X$>;tSX$E|Gaqp_!(%QmIE#ONn% zOgG?G$oqU9$qebn4N+PTggxBQrM(qUK__siR+#h()Uuw=#0t8%O6PE>&UFdgU6|ee zBy%lfeADP@hfLWtGFu=sjzpvqg$QBW2}0|_ zkYz~OmG}y1GJ3l>;S!Sh0A#4|w|zkd*XIM7LPpBh16e7^TaW(>nS{OjABY#VKlICPSC#M!knv3;^9#s~yXjM{yt=OH9j<_!(>rYI zq2cig^b(zzZcN(!#P3NDCb4DuNZ<1i{A!tBC<&DLlWac7j3^nQ^1?3(#cK=vPz=3I z#n?|Yk&^CG*qcE>ZZo!FU%8o0f5}>1T|Bm8U`p%v{Cv9p+ZO= zZR@?A@`U_H#NKFqscj4SCDB^S9VDPvmp6i>=5i7R@fUNF2AR;vJ3vN}Y@VL?gZOa0 z?U51krIAmQFEnv(US>+q%bSsk$TdD0kKEgNRLLkKq!|znE{Y7%p_Mz@)nY*;xciP(a0dl1i8$eB%_hL zNhZW)Xbo&?6(^qnId&B%p8<(n!^xLG#;Q4a9K>JC$+tm9>N)u#NZEQ$UId9;%gL`n zh8sC4Lj9xZ8ZTAP0!dxZ$%P=tS~{gznPN_AR}+#c20gtzW$mMx_pV;$;o_>;lJVJe2}qsa&jq%Zzm_^AVcrrWHm_Y zZ#h{_Mk{&L!%|z<^qxI!3`N~G!CcMS`ySg*uu{xs5SOD+$E?Z$YuOof*K)2 zm=n|pA*wl{(_%d*GC&bVDr9&zaU#Rh&IwuryMI#FD^T|lcl=x;!@QLf8Rj8QWSDny zBEvk)i460-oX9YbFj6VQ`~W91%%hyhFh9(R4D%Q#GR%iKkzpR^M27ikPGp#maU#R~ zJSQ^D6O068m|x*Uh8dO1O~Zf;Gd&%pQZ*pMT*iqE^I}e9n0=hcFfZdohS|@F40D(h z8Rlw6s-&&;oJd;{PNc0(oJd>koJd=}j0ElS!->?ql@qCXh!d%KCnr+#uuG7;XKFo>Ciw8K7VIFk}*?J3Xjs3M}DAR$VWK&Z;)XO1iY5N6eGdZZFqc3P^!{)9?0n5aB>MqWCtf#fDFBhldFjA@)K^nl06l&(R@WCvhpAxs-{%no;t2NG(s6x<`Nu;>tU<%|P`tLf1P9_h@O5mp@QaPm(e6YDtnXOQs*PQC(i>{?C^ zgG3rR`7TJRjguddo*qtK1i?p!SWI658Nr3M7x#iv*!mkz&IB3WsR-g;MGgIs8+Rg9 zFLt^F2jYDA@_$(QBC-@@bPp$2fK2>7Cs%^B-_J=s$oO7PA|TcOz{#6IM)7>97mIEX z-#$)m0~!7lC)+{9XE=E`Na}N(d;p~E5l%iz?{*jZ%K!*Q`lP5t&_HptoNZDsOc>%=t1x|hmk~+jm9_I5R-{53E$k2B#2AL0#scwLMYUkUB{&ez!&hXP(nB&-FJ94PUWUv_wUQxUbdxNCDNHY>r63a;Sqw6~ zhRZAksjlV34{}T+t3ih9xXgNz(MTJ}@LDd@4pO#`lLW|!Mz(_Z)^nL1Afp-?2Jts= znLQ+x_GC(pP;N-7B#y`l(dq7gZ=j1^U-#$)0PcjEN z`3lKA#>o>P;~IH}eEl1jd5(O2jgyzimqva^z7BDjl5-)Wk#j(X{+-KQ1R}o9$qJBR zjjRGGJIrP3K}IxkJ&5lKF7p3UFzk|-5}!{`7lWPIG6bZ z$b?2d12Xg^m-!Nrb4r-z$3c9HIr%ooutt6elDdG)ya+O(kzbR{5-wA89%M9f7D(zs zE^{HtXyh`Ic`cWz0vXpx4T%4BTxJ8vutshInb1fKB;w<~ZUY(B$lF26F5)tGfuuBY z56HMi?gQ~(%zZrsGOUqDKqfTu7)S(@*=EL-V4t;AY(Uj zauGlQ_uuPEH0vM&8HCogjWp|9fG+ z8)WEXoO~Fh?30{)0%U9-C!YZs`79@20!cl>$>Zc}jFWGJ9Q!v;ehA|G1}86qM2>Ls zYmn-roD^YA%FuC6&H@?#FHSB5N&SM8%Rt0$IjI7f$UlRnMh%e?PBwsylyPzsk@Gl- zfka-*$!#Fjujl0LApVt{+yydL#mPM&?N@VhAINAOCl3+1j*~~oR|_YPft2C4hL_7v zg7_^?o+V!~PF^5i2~K`Vz6LnS!&81`TRE8zGX5J*&Ib`^%wyIs1xd~4q#Wc}87EhR z_|NC00c7|>PBxM+Ec5c>)d3Q@n3Fyb-=&;v0};zPc_+xwm7KgEBy|-h9|f7XhLZzQ1>{(alP5q%`#E_AB!ycIo}TAO=53t31TygsPJTx+@8+Zg3k;9l z&B-|+!|&zfB9Mvqak2uW>;s&v0`Y&4lX{T$|HsMoAk`n@RiOCX~fc^qW?0WR}xkckI5`62oG zM^0WO8QhBT!u)HJ*~du{-m=^N5GQAWh);1sZ{i)($YmfypXM@EQ%*7(xte6Y$YmNx zMk5v2Bbk5YGB1&gMt(;!U*$3-uY-(6&H*Vq$Ym}f8I7zUna8-yDw5Gi zJ<0qVm${x~H1ZY@-`BWI9ArczgCui^%iKva8o8Tf9_KP2CK-)OyF4I9W8tEgMXSvKalF`UJN#+M!=KUn2k&lwhe{h)xNk$`| zCz)eh<|`m$8hHXF@Hkq~u~~evZqWL*yr%TtwtQ zIavWRu8~zF^E{WSCmD@g58{7;%e)0-R3mYc`6-tfBpHp|2_jB#nY%$IH1c7P)Qd_+ zJug#_*=GBBnXP!6u2s&Trc~cVKK9>^uRK_pWcvg(7ye9XmM`VSyP+>|33>T6WWp|? zXT*rEa*2A1#I}X`dHHldrqI}?o+4>iGvs+s&S zKSjoK16@1Pa6+ZnDe~g$kQwe)wu)iP6QqPf#?Z&u#K?roFO~APW{T)#axlGhG@^K;~3wNS0+?&LMpsbK`E@U4iMFZyItps%mrpxS2deEoiG4f2%zjWk zGwa48kNQ)Ua-_xHC{N37ablWRt+4B=w(bz*?9m`Tr+hnoE7i+URi1}_LFr8C<9c^@ zPA7e;kvMkxQ0&Z&!W_vV%s+R-oJpin4!scDI)8Wx@?D16H))tjY63E&j*OjpuYyd( zREkl_^rA}=mbEo%bztcM_GN2hlRJcHcL{dHxl4ucy{t;6nT8*Sj9xrWCk^St26h@! zzU!MRV^n_ZGIr|zeGwvOmoWr4tADrK)yBH@I3r`4^>!4p>d4eqPbK4wx`(nc7t^UN~TzBU2LwJEaV4 zExq|>8D`rSN$MMgXK77M4bfA{&^ape!#R}sLPW)`r(N%I zGS=>vF zWS3qP4XZmjXMYp1F?UL_y0kINy&u5wdMzVQ!l)=s2ico7{kL~d_cD#XYXDMmtlkQr4n zZi?ByD8&}ps@VUTr5MFm-&9Yu+D)-ZT1aPv-|MCr)pP3G^i8&qIi%PPZi=PSvB79R zzTS!Nx4Q`@-j385b5din7Lu&)f{g!Hb~&`m)BPYjRM`-y+Vu6k^iG$MuTMc{7Gswx*?QfNKK9V zZkF+=3bXm99F@y;ZZ1==sc))Y47s_Cgve@b$Oq-}cn-PTju<<$mzk}Z$;YcBzoERK z^dZgqb~@=Zta5OYwZS7&AVV7YK1j;ZV<*llAXsL_e9e13u0b@JH-Ze~&1&|YK9yf~ zc&OCf0#$F2;Z*-lC%@bf%6EAw4YsTDYmb{>%XI-9sr)+R<`<3UsOQr+*@owk7a!tz z(TCdNHQ#RkmBLIA%;i|Sp)hMxvn$6`%9FB5HrXQO5a#>bFq4uuA-}v$`c%EBR^DA#jmN&=hB<|yR~JuaDcg!z*kSffn$9HDMC2#E*xDy}R2dK*lt35=0bone$N%+FfF%{KwEt zBg@&QYDz9CKQTpVQ8k5XK@s#9tsHXju$zP3_~N2% zga5zEX%yxG7UVsO|7J~C=g3ffooqBn`ZhW;c<2-|Z^M7Rx~Oz6D^j)cO7f;{f2?#S zr5#Rq&cJ>_9A%esuJ1wY6k4JqZOOG6q*PnU+2a?_<@#7#r_+!B0v z+aEg(Ddnb1!)nObX}BJHlc(W?n}*)ou^}usRW^p*c&Rg<`^StIm3bOn*!gu4hB1?u z`DHL~=hp>#VRnK=Wvm_hcKZ0RXNpn1_!Z9BdHR4UfOE3f%b_kmhDO64)7sa1&J=>| z`(~DgX1rAoGF3Ze1PZeh8K9QTJ?j4O0)qlD7B3)ZzWt?u~Ee&nO+S9qPg1L8_ zRP9E)s*%9U2)ssuKf#5eLmcb(suFct)-#56dZUeID{#WFe$=o&G9&9L!}<=x`fS0%LZf18w}-)Z8P=blk@ZHy`f9`a9>e-} z!}`RGtgkn$2Mp`G4eJ@h`YSWCUT0WeZdl)CSii-vF6KC6+SBS~stxN)4eL7$>+Od1 z;u%?AZCGDoSl@0~-(*-Xo00XfVSSNdJ!4pJG^{V4k@bLKz0|OtFs!fnBU$$w)(Z{m zQNw!Ju(K(64eOU1)|bu5`ZB}%%ZBwf!@BQ}WPPb&{e)q?(Xd`> zSohB;?mol%al?9@Vf{CFZdUK(!ZWhI#ISzUu)f-`{!_zx^^B}9Hmn~ptOpG1M-A)i zXJmbmVI2>|t5mi7)4+1W`uHEodYNGzuNla)zSOWjW>}BRDDI_(^#g|WC5H9S8rC<> z$a=A1eZOISkzxHKo^@ya^E2+1FI{^UETjK?d;1%3B`!ng!%Pl8WA8inQt+fLpGCiq z`Lbs%#z0a^kMw$+k$iV<*|tTqMu=ecjEA!l)xFN0WaX84m5pS{+SF{}G6c<@Eu2Kg zxi^}E43ZJyL1QKF6X?q1d~XuxrA)Pc5=UyL>p$IeHfIZalO26A$a?wJo$1B*IPn0V zm#1oVs46X!Xli8+PEVGn&dfl?YMh@dWoUklV(4v-Ou!4XnonS1 zcFNdZ7_w(q%($!Bm7zahyaFdxy_jtMhBGU&M~xg#EfdR=wtcj=oEFLwm%=8l;c zYECBfGM?6!DX&P?UaurL?P{Tlg^~%r$E)2=Y1ye#^|Y){7}oa~)=#21Xdw)4HLMTM z$odJx`fkJe%ZByMhV^@AWc_)=`Yyxz3B!7mVSQvq){h(3cNo@>8`iHhtUoX#>&Fc1 z+YReS4eJ$#_0btwKWbRd7}k#%))yJpAD)r*rw!`~!}?*vx-hJd&B*!@!+O-Pe$cS~ z6TEZBse?{^KQtrjv%o3 zN@r(|=zhccu^CxEXjrc^tkW|QdQ1Br!}{|xvOZ>5Uu{_5V^~ib)+c6U{eWRTU|8R6 zSbwWw{goM6f7r0T+_1jOuwG|a7jv^`sB-Dw_Z!xi8rF9h)+-F_#WS)#YFJ-lSl@0~ z_Zim9W@LSzVSSNdJ!4oeHLNe5k@W`*>!pVEgkk+8?yTu8t#3xw_Zrp<4eL?E`cDk& z%VuPK#ISx6kn|JD+YIXm4C~<;S-;n?e!{TcXjtECSg)Ru z_1%W`1Ix^pG<*(t81)K#RXM_olSTh2HkqGIu9xQbkc3nN*^MO*meX4e!S|;?0DjRvUl0o0p?7=6X$G(E@ z^1iT%Z>6fmd9OnvQ$3}*7CVLC2r?vd**Ez${-3iXWPiVMlGLDhzsU_V%}dbQ53_h~ zfQ)~Nc^lHR88SQFc^kRTgs`^V3YmM|T2PF0rY*S=Y^u4P>@-wY;-QzlnQdo2fxcHO zYk`spL}61S!M$qE!JQ3r%d_$oT7);~X}+o!8JaVO=CK?!FE%vO7j-pTf$fIoBRObZ zVrX7!Xx?FHK9PfFpP_lVp?Q~~xe!ImiPtG}aH*j=U})ZLXkMCw=4FOveEvd($C=yN zV`yHVgXZOi<~l?3UPJSy95nk4&5efUeTL@V95e?E&25I}{f6eD95jaw&6^F)2Mo;* z_RqI=Z(g5drfG`Aa?_ZgZ?4b6vg&>S^1?>98lGnjgq@p>&qoR;5A zjb20Z0Ymc=Lo*&WomO+g(0tI)ywuQKTspnxl%e^sp?SHXc}WhMGlu3PhUS2wd36q& zw;Gy{8k$!dn%i^Gyxq`z+|W!<%;{xgXAYW&49zDD&5ed;`j(j9ikhWvhoSjpLvx#< z`EU-JcN&^c8k#p7nxD@>^DaYkA+FK1R1HK8&BeIPnJzVk4b7#7=7gbnSq_?a8=CO} zNfjPv^p!C*H|C)EUPJQ|Lo=<7*U~3Q->jc5HTD>qml~RP7@BwHpn1g5yxh>d%g{WU zgXX=4=76Djx1pK7zBXNIJYZ;EZD`(OXr{*~rqjI7&|GI|-fL)Hl!N9`Lvy2{d7q)# zpM&Q8hUPXy^L|6~rW`asY-rwWXg*+Q9?C)U0Yh`t(0tI)ye|jMV}|C0q4}_(c{~Tr z2Mx^`L-P?s^F$7s4;h-b8=8+AnwQ~~htrkk!-nP^hUVjjX8P{kbehKv&ASZECk)M- za?pIl(7fBw{Ia1rm4oJ|4b6KD%_j}byK~Td)X==w&|HZ5C?{2&dx&E>Xg+3W-e+ho zH8dZ|LGy7#^L|6~B13awnH!5!j-Q`5G#@ZDFEKQ)&O!4DL-RpH^HM|e)*LiX7@7|o znwJ}zAI?GZ%ZBD7hUS2w`9uzyUokWvH8ig_G%v>6Tc@ktCk@TV4b63i=DHj-3pLlR zu05+x7@8Xm%|kh8E;Ka1Y-nyXG>_(>x!BNr($Kuw&^(cY=2Am*A+knmB?3`HGkvFU zx*RMsG?yBh6NcvM95gR7G%qqVXAI5sy~F9kyx7pZ#L&Fm(7ZDT%}Wf;OAXCC49)bF z#OcE9Gc+$ZH19GrAIU-UQbTjV(7fBwOy6IeF3ige&8rQ~dkoEG_%h#gnwJ}z>kQ3% z4bAl3#OXBq4bAuvrSj!mwe2%B)3+6;(;P4~w;7uE8=Bj4&>S{2Z#Fa^Ff`M59H$HO zYD06>(0tI)Oy7E(PII-PIbmo%Y-rw}gXTIzbH>no#L#>=2hHmZ&D#ylM-9#N?aAp< zqtVd3!_a)(&|JJ|dd(3-^Daa42}ASp95lBXns*zTUp6$?<)C?!p?Qy?`J|zlzK#ho z+?7`M=*_vW&4%W^hUP+Jbp(A=b2`oKhUR^S=2AoRNDi8#hUWc-=0%3)hjY-}YiK@T zXkKDy9yc_%8}s}LL-RpH^HM`|v9}7v8T0oVnp1}6!-nSNhUT!LIb~?h7@Ch5ngfRB zgs0i=9V$=+RcWzFRiQBp8qJoeK%!>(T4eajWXl8+*`%s8+gIq7>=gom)!DJAI+`8R z&{j7!@Gjgg>khnO7`ABj0xBN1tytBt+!>wPV{enEK!!inC|-S4`FaUNtv|G7 z=3XX*dS2KjXM?=rShvX)AhgkuO|AiXz{x?IYyeSDfZF6{5cNE)O}atU)s{^@29hYY zQ{E<@2T`laZSo|D%0Zj_3`9L)oi8lBdGJ<*tXQrN^*JFt37Nf4Uf8}CUQTha-%DhZ zi$KP@?R7LZsg^R;EX5i@)E6IM%i5Z-I`FLx=s~a@Tb+sM`= z2SL;uhHWwiGMrT5vAXPUNi5dlbp$f%j*so@S0D$pG%S82(y&MAp*X(*M74*uuNn~A zys~i<5fn0y41g?mN~Z1W{UB```8>#GEuFsyQmuvgIgppN5dH#0T^-w+eak5{)pI=S zSAsw>3(pN8ddl~LY}RVQJs`*35X$^QWnT|HUVeQBG7mUwsF0_46`h3@R_%}}!OsrQ zcOav_H(`?#AVbayWSjgN1eKd68nsld0Eue8t_M*q zTfXRv^>wAT;j?ct?y3%^A#+d*;awo5nx1_i>IpSFg#QK-)qMRFq`ili=ih=Pv=F}b z3h#ZMww^i=T1kjMCr{r3vP;wa4iL32*Y@>y;zj;-e#kWsS-Hu*Kky;}Jx^5gw%8aWq4&Cc1rA|O#MrdvU1^Wya`@}-ri`#`*w zgrpCGjA^#M52AV*+t%+u^cLWpav^qW)~^I<)Y5PxNU3JM7sT(TAz9xJ(uM+ttatL_ z-5|%hd7l0q$N^3BXF;Nx=5K=3X)-?o*{Q|rC6G2P%u6sPQ12YJWBNvrO$ZB=JK?z+ zq)xN731pcj(@nlKTWr1?1wK3poD9+;BLt5 z(?a+OkU~Vwi`N%Gc59j+2RW?8;u(;L)&jf$GOm@VS3wFjTk}zo?O72O(=bS(W~&}# zw`)sYDck{DZhl#GQ9%7EdLnpqQY;Ez8P^xEco)c!mZu*DS*?ZV(;!PV>t6yns-^sk zAaxpXofo40j$LdK_sc^Al-)~Y`UvP%ok7eV}PI(H8yyV^QBMLUdnwfhOkEYmdq z0HjgNY%^e7fuYl~;tRDecq{ZSm2#u)m=j7mTK@x6wNY8oIsBv1VUIKDN(;NUCqfp`Wb!G4RQ&k6IVAhS!0*8z~F zTJC%Yq`F7h64;&tq0MWxepd}WS}e}1ks?fH8AwKxX&|D->u*4|YclsadH|g;e-$L6 zwX5SG%d|ZG3CM0u&!RP`ZJNwV5aE_*3hgx@hc#b=AU;>dvOkfEOt+tU&L>Y|CuF?q zN_&0^^<5h|d;~HhT1oga$Sy7J&w%7ZpO>n?1fk6%^J{UPqUF~GAbT`D*Mc0^%9sVR z2{QPD11qFd!VMns8N60y+Y^=ZiXdXx->^-&Pv`XcgUko{VT zdKKiLX3JlP-T}b_baHtuNI>&-D@Z~k_kdKpxkI-831pEb^Hq?|T55bBk0uRDM=E7ywxwdCuOkWBD2}rQ zEvJRSAnR18I%7Tfkad;;r<>2F2vo{C#kma)P3vy78k$<$Y8o4@y81O6*I65C+Sb>% zwAg8n&`y^6s;?39Te^0R>j`f~`_*S_k;@tS1Tkg(DKuC7e~fRP+l6!}e4*c1bcCPF$pa6iOQYZs;dL^QUwE9HI}$CQ)l z*wNJ&O_)h5eWLhVm+*9fq{SihzEo3s(g7vsr$_+Sy9Keps#_R_KS7`k@|- zN#M9NcP&@2kX2MwF&<|7tGxXX^BbyQyprvEv8@O( zogpvo6(KM76(MFT#BAYH>nhbM!pv@%*$p$hVP+Tem!4l{H_YrJ9X%Ol7wPA6q@B0V z?5<*VS24S*nB7&(?kZ+?6|=j_vs>x+>{j|cyOn;=Zl&L|Tj}@gR{A}=m444|rQfq# z>G$kb`aQelo>`PU6iXyH|KE4+lN@Di$mC4v|6O0P^+dJ(VmB3|j4 zKx3{uS9ZFjd~%4mCGK~wJf@xl`D!V>Vpf_C1O^}-VH!cygh z1)YGBt@5l@dDd9t%i7*5&svpdt;(~8*<__R=vfPT)`Fh3pl1y?uUv0l+=8C9pl2=U zSqpmBLY_6&53p_^t3Fx&YP#unvH=|E&3Etv_r0E6cqASC)GruH?0mRYBhI zRaSUuRLN>#C90uHCDymFu7&k1VK41izrwl|^vp^b>r_~uvdRku>rq&T!uk`|ov_}7 zbtbGYDQA62IqOTxSzl7l`jT?imz1-=;JL`!nR3?9l(UwmoHaG&tfeStO+_UuxRtErR%dP!Chhz7d?(U?^g?22`DS9VzFPp3TXRjhI}$y14@}YORwo0zz6E(1okWG#z?QR?JHV=7fK|Z&D_8+m1p}-K23Qpg z@T$hTg8-{)0oI}h*r+DJTGRk*Q3I?+4OFl~%&KXCRnq{g00B0n2;iboHKqYJs0gr1 zQqD&Ltj8>8{aJu@p8?i?23Q9gU_EGnRg3_u7y+)6^>zW)-33^`5nvrhfb|>!)^!9} z-w|LHFTg5NfK{Xb>j(m@QUzG0s^Vi0)+ki5Mxlx|3RSF8sNw?#E{oZmt*uWr6ykcCeh@L zH>y~vsA4sx9Jw=<1y+d5S>{!-@l+KXP*t%JRTV2_RjiOzu|ZW88$Xq^0#U_AP*rRQ zRmH|QRjja9vBFx#3TqWBtW~VAR({j{JW&wSMr#yfXsY@VTH9$2x3+S^-7#n1JL0Qzj zpg?AUETzHhkOo=5SHVUx6>Q`ZWLF#&Y|I&C+Mv)nBmvvxJi+O#lh zSHrA*3$yku%-Yp3YgfapT@AB#HO%U1n6;~6)~<$G-4C<6A7*2)FstKX)((VO8yjY0 zurO`?NykyS7Fv(g;{$QX6;p&wO3)*UWHkERl&kg!NO3%!@%0K zFl*DotW68EHZ9EBv@mPa!mLfJU~#G7abay;1ygkSdh+aOveT`bYyz#2S}?egJsf#z)A?~2D-3f z)k@ic5m;}mAFFuA1_or<#h3-wyjKIjmb*namUuym|SUqJWIvmmEoW@Fqq85 z`(p5pWm+8+uiLSFgtBNQ+C{WtMpm|LY-(%RP;W(A>o?XlTP^jC&9(Nzhx(>EbCHi_ zo!SGfl(3`QTEE_sL3h_-s55e@N=$uFkOJ3>B@_r;fT~yDpk;rOkM_38F9BMUuj_F1 zWqJoF&epB5ZFcEZiNnr!rG+|eC9s48p|oxf2JE1cf{tj^RiL6kyvt5GLw*3+fVDhI zsq$jAHMY8oa8l{mV6=aQ%!d_Z6>sskZlE<_Sku!$tEkps5tSQSPnxva%?_Tm~lqxT#p6)_y1`{!h&x0fp*-nziN=g|iJRW8HYsn;T zh-1B7M`C00|F?D}%WWG$lwag>Zn-8(oKnh3IhImBPC+0_g z%Eh)sVwPUs>+YF`uW*;mLo@z)RB+iw68O;W0r)PXp*{R~YPYz>2>bc6efq)+1gO>eiBT$gWezR|`H9&Rsda2i%l51KqZl7TrnYNOkll#h>Kp5km_LhnxWQ z)A>0lLUjeXXcp?7z(8J-4g3D?u>JTIhd_UE$JjiHE=H%NBhn;AcCa@XaRcm3&wPba zbX~Kn$4TT=>Tr=8<5QLDmjHVfemuUM0GRi@Grn*|J|SOv;**csj$$ zaql1BdjeV|*AqtzcVXz8x_xfz6#v|!a}7+uj~|g+o%;{S3aPxGk0U%hS>lXxq9r=G zfus6Wh{gu`Y6tP;e4XtyBB;sOK7x1?t+_JG`}EwkbZNwovz~#8GM~=Rza;-#*>ifv zQln;Ls0Y=KK-g^AVj@%!sU^o>vNTdrquki(;CRgH=~ zFx|{govg+VIkg3zNZwKlj1i*M2Vi}7RX?IIai>KI%rj_`jyDDXx>?2$O0}R7F_J(w z1|PcPbX7HeP4B9PS9qp}{>o0MCpfNIANE8slck3<3Vf^4@!ZzL!qz$S{)b1?-tXtb zXDv50Kw8CD@skLxUV48op;I_gmOK7c?RK_h%D-puv2J~Ngh+!w)qovTo&Zmh*Osic zXqGuN+r-cqGG+%z^!mcTqp2L5t8^Bd%htVntt30zB%Y)mr!=@@ibl3%Z3sl+(RB=L z@(?VIA_6qUR|6d>*k2HuEgBsujkFw9ryYj%L}HqoF=zO#V?w3D5BbqB*>UxiWgnp*3pdeA(WH%M%V+e1a zd+o1MnJy!RwoM_a+0 zf%xTjwm!9kiO3`p*S_my#qRRv3eotJoR>l;voj`Gizu;R&rBp@mdi@Gu0e8{Pt6Hg zDjE@Mz%ei)=K0p)20p^_P;q~$1rMn`+wm6`#h03EE)(Vy`Rs~i< z`a%jJizu1GpR*D{10toICR$)1DQT54RTu#Gy1{!y5L%(15oT$EyBt*B0H>xdVR`cU zt%5L40UYnSDZ$JfQ-Ot6O0!{TC zh6xj)>HDx&LUT%i0b6-k6DvKE4CRF$B>)M#tx{6R@!RMXyG|t|1Uq>_1u>)^r0H3i zNIjm3an3wzg(^zQ;mFfH@gaZ5n2JptBOWNG--$^u_~prxsb(>E`X4--3d7NhH zqKbls+HH5hy#BUM37^%_*+G6A=_jQvQ81AJTyQ8$r)xFMRLDUnxS6M^5L8)CEX*8b zyuwY^NO~e}sZeDUp4Hv5>_ae3cA}5_`@GU%VzJz>;(v;4y>zGe*-Be~UZ%|LSc$RT zcLUYG?<#aXhv$8@({gV9)l69F*rE7>IlWYm0hoIPdyV9<+Y=h(iJ?QcKeJe2rWVB+ zai{ODWO9#s*Q#nXnOf?W;lS8?62vW>Kj=nT!|wX#$PzWmt~}nrnGRoRFbxD=?s3k- z=z{3?Fh4eV18tPKQ1aYZ>?-T{G_u(;ZNafFnzu=j$Z|B`{V(qh*#ULo!_x-mKb*P4{QMF2hUFctNdA3|D43q#v(vmDoGR`>4765o;njP(qYz9aVrGPQwltlj*e8m$)=gZ=*e_3e?}`& ze7>fIqmWFdq8?XGo8UCVaj556UV~VgLXD literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimkernel.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimkernel.log new file mode 100644 index 0000000..59db23d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe -simmode gui -wdb MiniMIPS32_SYS_tb_behav.wdb -simrunnum 0 -socket 65069 +Design successfully loaded +Design Loading Memory Usage: 6672 KB (Peak: 6672 KB) +Design Loading CPU Usage: 46 ms +Simulation completed +Simulation Memory Usage: 8404 KB (Peak: 8404 KB) +Simulation CPU Usage: 124 ms diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32.sdb new file mode 100644 index 0000000000000000000000000000000000000000..7a7051bf556828d519c1c450e56dcfbed8fd4ee0 GIT binary patch literal 15627 zcmcIrYj9Q9b>2t1dP^WcJPb0r2x1oImX3b%9%;5a!r0*u_yEEyWuJ2oWpS{n$ z2T%Q@jK{3CzHfbNzs@=P>~mTDXkTNSF_(?}iU0Z|eT{|hKK|sH$nf8w`GsYk{ZePb@4E1kYviN{JOM#o3SE{~lU z&7c9XG&*u3{>lqaUw+f*$ho*QIzDltG%hjW5d1>a-RJFUSEXGq^w``2eq-iV9PEJ+Ib2CdVmBnILWuX}F!MI6d zf>D`KH3WW_=Ay7lcAij%udc#=NEDbEhJ1W)(IoKjYF7Q7jXO4cyws!NIWq#`PUs+JcQm+N|oq~_t$*r`+Xa|{ffn7dIYnq-!*T+G#Ml6lU7wuofo@?{yXoWE0r zW0!)J1-+U>aI0iCN@ktpHUT(I_3hm*P^&E3;}e6UN9uY5w53w6maopw-4M)Ouhgx` zLpLs+FXg7TF1;FB7>Ngu=jI7AGMtOF$SmKuF<*#JoXu^_4(VjO48idDsT<;LG6=KF za|0Ky)Kx}$v!>@_kbY!vC|7zXa^-H4H%7YzYnz{)xgsQ zcS$CmS~f3)4nS14D$@=OD+0udi*u$HSi_J`xf7I4>$QUtZ?BYw$A?Bv$%SC}Ck9di zvYdwu0lAuo+#jmpd5k|)dkDyq&B-87hIKN?#js8Wxdh}&p4w-DJeP+&4dmH875<7!nvuOeYBgAn5j&hf%W9V!Oo!-#7Ido!q5}Povz7Q4S`$QC%q$w7@m%Q|nP!e(& zOU(MvSrIxL+(_cG&5k4^ZY19fI2b7UZlE3j$X7c`(@jht2jVTnuHpfUp3_CoF?$aJ zYsD)eUU7&sl$g!L-%6ah4reHlZbq9(c?T%|MU@(ixfy;Z2n}aP;vG_u4mq??=S^u? z2384u7-Kaza_wqsMxazUaeDkDwdhidmebNO1ZXu;i>6)%s&$51d_-eZ=q6?lyL1*< z6QAysr=OVH36276v2O!}8A;5ogwHXTyM2Q66LTBEhY2oSoVipXNIx-q37#j&{W?MV ziP=Z+T>!abCq_3hcMuz6BKPbB=_lq+g5v<~uAL|YiP=wdg0eCSr-;!_%w5DDp)9xU z1nDQ{0KrEIa^p^req!z>_-@wJofA<85);dTkRjteV5A!)au^cM{f_fqry_d-G8hoX z4>-nSUd3@363$y4=Y8Pl_L?H}g}{p#m%#f$zc!&g0;OZ!DBzG%@#(@*z-Ko|>u1Nyf(rGm@Btgr5N1Bu7EhdOM?^n0|sE zCb*P>^b@nS5VaBi5o+6WCTcU{ZY)0vxaI<8%w51g27;XDFqMvU?wzi4KMu69I9Vl3 z&oyk4P``!fNwe6dDb1SJEP9E#h0q1fvJkz*Y$r4gsNsmVgpRai#I_lC|u=-d%H zhg=#qZ<2N>ZW9eUac^YXYq2<%=CFATbW_J-cZSYkH!0ZniRey();SzHevmC1r-RIZ z9b^mM9j5LNon5XQ*iTBuZG2|aX)5$dgk%dI44u~%qV-&V&mq&D*pk7ByOMqmaLtv( zn7fj`2tx1-r|+KOKMycH>!CVB?!og5EN%~q2s7dyTF(OxPO->f&^?-dkp)~# z)9D4^dQeA@eqvt7uKyCN+e1Ubj3j0Y;V%*H*E2=Jj3j0k;a>*q-%Tuwrm0 z{uPk24+VyVlRgxF6`b%aj#7*!=Jk~MGHa#hZ6q+3nB63N1qAzIPm}?vl0Kfk%9gkT z5^@+44qm6I@@wR{V-j*05{?{=DCgI~amQk$FyfxDzd;E;eep(*E0ZCSNS`k+f@2Rg z$zTB23x@0^7O*EPgc%V5Io8oOTqEUHJqqTP%Shbpu3WmZq2pCcr$FuBWb53)48<7| z@gWg++t%L#t;uhA=)0l*ZGZ(1Bzi|z^3y^59pW5B;B*B~2k>`++w&W?fB|91fxC9^ zYha{jWaKa;oHsho?}3v!G$D^+;k|{uXa_pGEN z1=45b9|J86%HbLB_H@}4TEdjQF#mT=A>8q49p>cFEDA+QIskuSHAjZ2Ntc?mLQRFB zNlQ1`G%0DTV_E$vHF3yCCAy;YeJBk4&nzxAF>Q1OKOs17vY`AqC^aL+m>a3T0HJPE z#Hia8{Us>%^Tx20!@RN9Ux63gR_V)awGcJ&S$rM1eN51HeL>@00F7sFT;K<51DqT@ z+w=6^T+4p2HXw&>934F8UqOpljh3_rC|`vX!Yt9!nWtG4ijc^BZ6Z3=6rskeiR|W5 zv)tX8HXfB^j46igo9KbGn-^Iaz`Y^EKvo!dedzGvUWnFk9{&cKnTwARck%r#;F=}H zm|IeR2STt8=)1@3-vbQq`V2@9(!2gYP(MA?WiuHQ(tYRz*7ZMvlzm7sB%Jgi^-tiq z6HRw#XHZz_o$H^$;)$u8AhQ@FLgB6w#l8)SJ#Zz10b!)CK;HqwK3L_Th$O~@#1#mc z?}C(``I5tsaMJgre*wp}*}cgyC@fwIQ2JM}+$k@G7!eBhWT5<;E9PIC7!u9{ZV><7 zb6hEggpVYLXP%5_4&U(@ahFaP;F?Q^F?Z>#AVJr@>mFlLCtXJ?K?;{61Hwp`V>cMJtB65i zrK@NaSlRW+kZ{uVxEh?yP%dB7LnJXKB;LEpWN#}(a!-S&=0{Fdz(^=5vZRfPr4~+X^`h2`63jH@TMJ zV-_il2<074W+NyCIgJ}4PB$^S3N|s_ewiT5h@_v9boc&)gJRse*)rU^T>vCzxd4lX zj8S3OKT&(UOL7aidT?c1>w4tysKdmcZV(W(rj({uK$G7urL@+Rrk2w9T+{%bi?sBS zMl&tgW#TWnf^DgiT0y}T0j)iysTCB|01DF5f;7{DD}$zYq)KW9N*aI?EmfkKDs=}+ zovD&qLDN?SP47x+Y6S%~fP%ENAkDPk>Og5ls-#w+qyZ?=YUJjCW~#I%P+FNPsTC+` z07|s9O*B)b9#x9;0VvzMYippV%Zq00$HJ_Q&qn~k>+w9AmdAyo0TiaCUC;!r9Ld)P zFSXW7(F~@Yjcu0V3Lm!ug= zY5<31YPCeNOi_VugEu2@YAw@XZk1yU-|{|RhGtks11O_bT83^bwP|V@nrWHaoHb}vUW zl+ys@XlZvfLFL-?rOCuc;qzXnCn9?8p|9EcGOfE*J#Ptmv%{CC8J5=o%F{Z`RiFu4 zzM!uly8Y1?K@-bQcEbMc^aW^!1vG#HwDbbh1T7$=&sQox`n$XwO)Dq#CVjKgcPHJp z>1%?0yZ0Kmc@3IgqfvLLx_7jDDWA97=g|ziq5*V;mUcxG(ynl8>*e-%IhvuI1|UaE zXI>Lju0c1i`eG5x=j~pUW+Hwhmk-GoF}DJhh_} zHN-gTq8(C-+}ddvlR`@;g=Q}&rN{;=i!IF6(hq+%S~{8x>ilkIj~HlRCr)HL0hcXg zJTdws59_Rru?R}cAciKb;=0$q3F;*1kVN_(skk6o5SjuEDhK%#fzydm%Z53P= zWIQol91%M4y2#F%#WwJR7@cB4{@I3n6O)f(5Ivn0g-cP!@jH{9jwGkQ7-IqW2%H6LyS(h0rZ?MUj-TOI6>@-ch>dOz=PU2$+ zrubd>o5T6G>~O+m8sqqQjv=HIhIN^07(+-)mnqG)(3elan6S5JE5M}`Z%$4~ND=?d8PJyLhQCRA(vxVmj+Q3I24!343?}LW6Bv% z%xd0y==lAc#q`Sv76St{d$|9}3d5x^31UbT8nkHJ58ZirXQKZE{X DwB(yU literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb new file mode 100644 index 0000000000000000000000000000000000000000..fb0730679a56d78f315a683452fa6d7c52552d5d GIT binary patch literal 2322 zcmb_eO>f*}5FW=)y56jJlQgta3GDWRwn_^nPzi)&>0N=PG$gx+s#2w@Qb-F*Qx2SZ zfCFpRRgCC57dS@3b`P6MNEH%N#G&ee3lhJ96LUdw&4}UvU z>G1H^pZ2}3w{NN`qNjE%dzkc+?}A-25RKnYUvN}nGy7?*x-4Y;sGH1vRA0Hg;+{IW z(p+2$qF~862qUNE#-X1K2eA|TNn9_XB{56}zWZTw-npL)4%{#qrhb?PKlsU<6SDBV zbM}NuU!febhz#{-k@p7jJ_`ExcYP=SvlqOwtk-Fi>~Oc|$Kit@JreJ=oH%N!OLBfL z=!Fh)Ez+9Dr2c*K%ilyZM04r`=32ttQu|4gs`bvQ+Wvf-sfz3^e*Hn7?K91ryLLLuTkt0yPG#o$anS z;o<`x#|(JEJ!-s-tsnh5;inaep`mAj&kfpCeo3-z3Oyf z$!HevF@fzpw-p8Q4M2b`qY4PeL5Ri(uw`Uhh6T>+!m-+NPb2}LCeU2 z+ZzH+_vGuU^Xvy5G)NiM)cVNWE~J_oi9G%M)DY}V9V{IV`Un9%qq=3x06#TeBh;eC z(_=M4%V+^^XEYQZASkqqjskj1XGHmOZ0H%!kF@=)PS^F;;0QINd0^+X>UARYyd$kzQ-kjFgU=VatGn| zuEuzDfI;Pwfvw~rb>pEG?R!rkJy-C9Ui9dF0eMb#84-Er072vC<=2}p_A(zt zHWaC=BPlcr+^CTHk?#g*vZORkOC_3JqRF1pG%b~ARZTNymC4CuW93YU>N%4~Dp$ai z)s-_L<{<90HpoSW)Wn_E4*C=Zf39*cS$26ahy}?1T6mhuOxb=p6Jj~7Hb`1XI<0mn z($>d<$->NoK`aN;1_=g92h$EZm`M$qqxrFbvNQ955DQSwu}~;zpDfXw39+178zeO( z6YbLuI<>(wS~TS&rpe~zU^1olHYBU?DxSOf`5Z2@9JL=pXW)kq*eSu!Z*+Jd0rEee^k_x05;IUA5V&! z{`>LM`w9waz+VU7KH(kMOX=Bpqt>de&RsXJVa-^{ZMc>4Y`HQ$RW24L+dJ*aQ5*p{ zOqRUe-db;?y#-1V(*1BK7TKn4x{e<)Jrp5nrv7D|88X%>k4FdZQK^TW`4;v+^wE9W z-+$RP>PFXIHtV*7Hw??Rs!gjC^TedtY*g{%{wsU2*;q!ix!S6lE#qpn`NB3yEZA>e zYtZ0n5Oe^AU#YAjOLBW_ZQa>^)^-z4r<9=!FYsjK`g=VbPC51fe;&O14v++;<0ZmJ z3C}KJx7TxVu~tRvfyI?z^Df=qgD?qZ>i=hsaYs89*XdQ9T}M)^2Pwv>Op4rE?s=K| z@QN#Nk0&s0>q469S0MgG^9XflA8Xq6gE8Dd?Beo{pszjU^`m zxDdJYrAEsFoaU7PULnUBi9rtcB_MYt8o$tQN*W1W{1Qb+d%hT&@VFv6PWTnhMiqSN fR}dXl2wfa6I(ju+!KaTZganVlKhF`&(@*semYFTn literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..9608d510edbdcfba0bbcfc95117c5050d0f333d1 GIT binary patch literal 1678 zcmZuyO=}ZT6uqw*)1=L$X(>|MXwtN{ek@cFbW^=WQ!-jpLEN}%nNpJIRs`K78tF() ztEeDIAu|z71)&rd>Y{YvM({Uu-<9+wdOs2K72BaCeVYw z9%dri-}{di1Pmqs|7`#KhPPp}M@wGG7c0f`^mXGJ7V}Gy_Hs3fT>v}rtoz=nI*;qi zAlQO_clLFoHwDcEN8AaZOUIY&M&J$Q?vKH5pzg}z~}>e(sVsyXe3t=l!n zPUc3>Wd_sr)p}YFLCa~>rY>gs^`}l_W6E;ct(w)Uuhg8BZV`BBxCIeb9?;Ks;L?8Go|qWd2>$&o21g+fk>kWP%06T`qs z4>`#ZD<_3QPKuCDjFc0@z$pp%**~3p9m+|ekdq>$6Qj#~I);H$U&u+mJmsX2a-vot zQmRb|yhLuJ+($vXAk^l?lZ zqJ9_8`-G?CMi-K}PELUGelUem-`VR(aE!OmIb=K(!Z@al1R+d#A&mEiFok>x<@UV} z72+*a$nj7JHl(7q`kd!GQWv*G82+X(g$K= zr!=B%5L&Rfs7XZHf)J>yE=m_J6kPZVT)EeO5YJ4K8m(I|%+1aBedl%O%;`_sEi6KB z5G?$C!EUiW$u9~F8t6s%=i!$FJca7rR-4BgnhnV#e%}NRd1I#&-ibiyt_7b$=!kVR z-d2~YPxV#Am|kE%`pWIbsS68wIYAZeui7AuI=)r>Q1d#s_D;*)jqBShiBZSbED`HVg~B3 zw>R;2ee>Yu{?_)^2Hx7Qzrqnn!JrZT${}>|G0i507*8cRlc9N)B!!$Jq?{um-Wz!k zC6nVp;`f9D9;Y`j9`fDeX?k8G2~n7qD2+Lr#u81ZRV_;rNl}pr&E}5rgs3Jv0F7p8 zrsKa-P$iO~1vPDgu3?v(msB}J)8wimgFC0ozTUoJvwT#ToPjgS_{? zFX{{WCL_*pbZUh7?~IP|RLta5NUEKyiiLR$&W$T39~AthyA>PXADh`6Z9 zg_9*|F*%+EsSr<}Fw!)6mdIpINd4iddYwPHW>(h{N4vI7$Sa9$p3!#kCyzoX!HcbrzU4F&n}!i zJ2fq5Cw~=wY4SxmGkZq9JULAt0of(Qb|~fCu3o7wX0HhY)#!bDN226dAXBsD92pM1 za!YQ2`u)v|v`SEFW*j8DuX{inq_G$n#U81nBAuD+D7S~Yt)Yc6GNv+evJ zSO2GwB9yy!C2i-HvbFtoA!X7(`6RW$jqTV^z7(`k4I%&Y-BSNXnWr~zg*lC> z?ZHH;#dFMDnm&DY;nXA-LLDmO_V^2}0-v9S`Q58rASU7-0BfXH&wGCjm6(_9R$kDc z;ZD%J290)tE^5$tC+I~DI@$^Pr3O9G33`d3P$p;Bm+MwOvulelk$k|DZ)d8zfdv9g z56CU&Gj?HDjmw1eT&C+YJvDRY!t5^nWzy9KQ=5yQy2s_g4St27n0FOEtuI;~!B+{4 zPETE0m|KviE-zd-b#||#^BS+|wY?ajVtcLmIzeHtluf1fD)fe4DAl3Rn_8h-heB`Z zh4`H9)zRBpp?Zfx@92g2Ebdk4U9HeYheGdZ%$*L*uQle&4$S++#Js)EkXPJvTT4gC5kt`bg!BN2H)%NBXdqj))`N%_+L+ zbuAqsM~oo-Go+7d>4-S=P~waDXUOB4jEEz~AeSH?)nr5*@fhR^B z_u1=3wXF!KV{#K}%~c89M!=v)yl{}d#z6O4x#=Sa89{o!y2$5@JajA;i^e3EfWO}_ zVY42j?Z?N25DpyMDMGrwpL%w8W zUwOSzA%MTS2sq*py88-hrF^s0Sc8gyBN9-*X7ymDyt&zEc^8E%Anb_8!F;1L{udTu zM;rmuz$)waDtGU>e+L3ulYeC(aD3vJq|a@5t{ODDP+^M!@7@Dj9k~_+_ud1WZW1c# zZ(0&;M|7jw4_Xpzx-;NH(;5MJlv?1~jU1y}$a`IM>*Uy!7Yhk_UB!4#W3I=I87Ubc zau!i}UYRmS2N*AdZke6~a?U4VDv@f6gUXT5P@!`!$@>p;U$b&!YqiK#4Z{?u#seEQ z%j?2a1-M#RIUsp#^GKLVWHO>0kUYD2BuphT8C4F*d7p%-L?(Nc1M;Fz!c-!YeaZpJ zqnx)oOeHcIQw~U;=R6Xo5}AxE2P6-59tqPEd^cr5;OY$)0%$=gOu(tjew*y+jVr+zy1vvc&zg7;|ukP{+_ShRN{+H0u+ zhSQ*ok4>JRIr)<|{t@E`*BiywN^`xud8<*v5(tlvot~LH^L(5A7;LM!a!+n9>v_Ix%3;sN_yVCUpuW5DAZiTVyU= zZmxW`DQR4DAC8PcAS?nb!&sc2&gJ#u4Own(`7jCFkau>O<(w$dmEyNFu&7a%i$e-l z3)LE`UuDuvOhgYSEj1A{hIqS&-!s)$UMt-%$@{IX2P;AhjC<`~V>C9Av=T${ek(C? zqFt8a+fa9Qn?nz=!T7O6(n<}*c`D}~5a;bOjB$aZ)nrm&5`h`KP7gVX&@=7{m-yZ% XU}^+Cq>Vs#s7LURVqLP2ek}VRL5^dY literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb new file mode 100644 index 0000000000000000000000000000000000000000..1c655a710e72d5e6d1fd30c82fe7cd5b80b7ac7e GIT binary patch literal 6908 zcmbW6+gBUc6~;$~*xUpoAqNEmQd?G^vYbeAV!%~+M#oaimy*`xSSnfm;SYISj+)41q{gaTMpKu@{0%Of z^=qk1EBiwEqv(zRpOhzVCp~i4D@=9cBG8%Tc9MNzn zbzySlQl;pkoS4&`_0J4GPkC)pHMBxavxxV}dW5Xv=tO8N8NC=;+LfGgTa%M+@eo-J zWM#`i6M3Dhn)uJVZ~aTtcF})gKT(enRcN$z9OAHA@o^!v_cS;y0+xZqpfP-R87o($ey!Ff99VnSoBZ&GDp@L!AN2KKm=Qd_q)`d6i6= zx%fzCxTzJxo0s0np?=g4LkrbR+l0NqHZKh`G1}BhU@fw>(+D1F3Cg(;l)10VxzQEO z#b{G2#oQ!Ya{Vwd-qgxqrr0brr~>dX+|;b_mgGdP0ZfcHwQ`u(FtM3-2y4Mm$>*EW z&MXx}#eReBf^k|ZHxfpQ`z>~NHs@9gK>?QKbXqM>$EYw@#}#((8|Mv&k8vscW}&Y$ zP}t0i=J^=9;b)OIWelvHoZA><;ooM*no7~bGM=P|=jF)=qCi)2d`scN3I|=`zQbH` zbSyd*nHn3D1%ak8-(_Z(c~8OlKtVpMiWi0l1>W}%o1$_p!YR1W;I6TI-&8a@k;Dox zR_?lv+3@L)Fc@eGbAy?p&VggCCx;IX0fD3-HxcGqa(SGNt)xr>8j^TB=Z4 zJB*f2MkiK6{>Yn|yFx8O7sMyV10BYx0c9T`E7*I$23w6_e+J|1AtU>rF;E6$8r1?H$)KmRxPeD`)^DD&Sp31`@Z>jXJ8Red$F3$B7 zRJEr*Kt%4TyoivMp85@AvZwOmL05X}x8TrIc^q_QwZ8+0p2~7uHs~nZ@0p-oNh!Mm z4elS68K}VARP>8TXKnL+pCMvS$xx&EFR9FU@iDHxfn0+GE$LFl`hzUFcz`6SK1OG(($#E^wb(%sTx+(UAQ5J46N z$w|SWRmtrz&EK)x z>-0Hmbg%C6J8gP3jp`i!1L$P-HPKZ-)$_QYZ0-p37;U{RMxfjMV0Yk9Pp3Q3^<+

cuqef4IJMdKSh#V@(anYUd~8n4ps0`<>i3`L=l>Le z^2_H_Ojhixa@6S6PMf32S$V*3!vr|nCv@`!o7Yk4ud8+VbZ@O)uX37G;OX@b?ahWi z!nB@PyO~zbs~PkMESYW(Qzf9{Z^tNMgVq4ig5^A}M0qE+upx33EE(m~psvoWE=-I0 z*!r|cY}_(q!IIQbrtaU^NUYD!#zZ=VpZ)ILz9`)xL-zsl>g znJb0kuIJv9W~4vfGGVG2=m&@t$I${7QN@enXg?Tq}%1to1X@$Xd)N=FJ!o zWsJ|VU+R3+;qg0t`|OmDLB)bvgaDrdP_xJ9@XE{qK$X?}yo})5=kwP%ye=~WR0;Gl zpeGjMb5tLCzs$x~7tBOp$wZDxYCg6|^~<2L*I&l{`syPiYR;<@K{T_XfxkJ$84h^$i7E8=rPN+#k zmiIZyM0qT6LnP8S)kgt_jB*OO?D17P8vVMn(Yy^**^8%T5RsT&ou@eQ^&958VF*4m z>wAuL2M_iJdV<|YX()>@!t*M0zYOi9PRs;{<3^vJF@u|rLyT4;9|jnb8O;WAIV-8( zbAjLpt;js^zK{*JMh!8W3kD;{eUUkm_iSta9Pj7Dr z7_uFnM=r89_G~*qmF@6?46?l)VBEbOUgUWd_B*r#95>qG%VzLx?EphEU&#jA+757X z+x*pRur2KXBgehOoY@X`r5&Klb_g*-F96R2POsnB;PN%p`fHpu%5w=qmU#@Y{r|uq zBs_kH$OjtK$RH#fzC+}E7=(l)4Da?hAJBdDmZ)=7Ib9BypK;mk@Fn^+Myq3DgHMw5 ztu;FCXJ!)Vxz!EjFa#v|mzm#_ze0L9`l@R^N=ZP;2@^cQnn9eN1~Uif5{WWW%x~D7 z4Nck?5CKbIh8_aB3g{Afg%LiYXBHFb*n)Zhz>*FADkyq#w^Ohrb&;tGFMW{OocGgf zDR%(FkvF`=(C*zf=N=n>2YDP-Rkb#Hoq8xY{!1-goIyXxO_3bCe{)O`XtJJzrc`8jF}R8 z72Q(akr1W-b@uhkS7t3;K>M~RIY(A9KY%Us1$;Fwo)lomz zHP%-88uoZ{I|@%?R~W1C?Y8;%`JC0d0>P2cHyCmzE-%K`#XDD~#o~I5-gopdw-KK; z%L_!(GfeCFGQ9fcvPdu9!Y1%`!78fvYMISSDC`@_JQkLEIL|U$xzDTD)HHbfUT0&i z%kT4KzKP+AE(^UXkB+z>-M8Pj_YpV$n(${=(0z9Fg!}B^bE94Efx&ZqBg5yO;eXWF bv{)+Wn|^0;Nokq2T;qS=+9B-p?_U1{&acdV literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dcu.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/dcu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..9e76578a4a4978d19af4121ade780947bc077698 GIT binary patch literal 30429 zcmeHQNt2w%ajl*ikc7wq0qz7qV&lF6+}9x+1OXAC08oqfjS^^>Am!aMZOIN}8EJ+B z0mzoTTpYof(P5|vNATd24+t%S;*LTcr4B?{?{|*1^n>%Lb{`VW-`syIuazhyY&yWA}--f>wKKb0-rUM6$ zy?gA`{x=RE86G=$a(4a9xod_qA^c?H&hCe+r&rG|E`~*%U`H|MBw0`JD?Z%V$>CFRaY3oLODjw0qa%J8s#& zcyV$2yc3sKFPvF;ZpW7SZ?9hXcwu>UeeKNh+T!^$tDEPSqwvA}eOvDcpI{DQa|nas ze_Y<%(&?%8wl^kya%t!M%Eg_-y|G&xh~?NgiOKsU4FCJb|MJri zHpXEcHs!O?=+3C$cyVEEWp!cw(wV3nhV$>omw#vT*4RArwYNs}+oFE%_2Z}B7-`ua zTdp~BVtDvu?BlX%cg401v8Qv3BTaW()7sdk9kJ=U*AAa}du+^|slTI#kB$W0l|9F` z?oO>obKDQy%=hG0`TEv-V(aYsN6RB!-Wwa{Y_Mqgehhee%K(|aFScB}eCda4>r1Cc z8t;#d8!lZK*YtojU07N?|M7^|gVwb6-No}OBTWxk)6(+F+UiKt!?9`Z-IIsk8EJXM zoK}}FU0NS$dNej&bL{XtM~@yHX?x59#yOJ!Kj*y`aOb(zkH0(Ow9lG$k8OI~nr!Uf z8S#1|HeG*iap9xo)upA=YoqgiG8$a_!Q#ro(&zt^qsJJhnCs)CxyINQ*O$h- zoOtW__+YcE7Zyfbp0jx_u3i}1Fn8julcTZBW$s{X8t?Pou`wK=o24t9O z7WzY1(7)Z&hbjLXY#Tn5PV-}jzn_MKjWiS#Y z7l{gs1c{L#=14cXNOmb>vu)1IE_O7dCEg_NHa>#Y?m{$8zRjpIkVl)%x;S`qeL?- z6Dn+7Kq65PGf{h7B)eQP5+&E+JoYj}+p^Qb?B*LW;P`E|U;=ddWpdtH2u&uj5DZuIdr9=7o{9&hnAJ`~5-H}U#K zynYF<_KZ9{8LKM{UyL()2{W=?%bp+shkK;&!q5gX+$Y6wYjpJOHz3GDKZrpXLEnY@ zhE{U`!`m#t6rqhZb;GefAcY^nJZc+u8^S#1!{OX&!?~KvT)WF`yUR?w%b?vQL>J@z z5ogVco^z!1QO2pV1HqKmOu3p?8$cBb8B(C!kV zi&64QpAv(h1o|#K07?J~B~yY%MCztg5o5b1RuR;yBHCnHYc8sy1{YOPgNv%D!Nsb` z7Ef*PDw|=SBZJ@w`Yt>OjsOZrlR~Qj`sVx+8YPfaHUKJ{1{al0Ev(9>A*{-#!NtnP zIH-+8b+W(DfkAKpeHR`A2LOeGDM8D8>Za5QW4k8S3Dl|++GHMUE~=9T7u89Fi`9uG zyfzXYFAZT;C$IK7G6;^K@50022%vB@C1^yXZVE???V89D)Z&OXnWLJEIBIYaM-49G zs5TO9UNsw$a-dI&L68D{7ajpA0ELt(LCbvVrjWweu8E{TEmCNcNvXLQDYm3*He#V6 ztXQapRi!k96)CUvNihggpzp$?AO)b1GAXnZg}ynVgvJ3RohSf0Q5sxyqSV6bL}>_X zCyG&93#*$~Ls*?C2m2ft1P9P};W2OkP&k+pw9Kb&N?|azYhqzQt-_#9=CS6Y!l>D( zFdD+DFlu47J=JVf35WVD7z7K@cVRDB04OX>3at|8n=?pgNr$9L08k|~xTq3pVO0qY zVO0sWu)0juY_#-0-%q+hBpv!L>_gH4O46qUE!C+TE`1|~u`?-z&XSb?wN-*`3N;%o z{hE!IK5af#*IN3uusRbO!m1Kp?~`H>q(I+=$3Y4}A!SO?Qk}Xfq%d~Q4570mDNu`) znvF=Q*@zU{e5$TRN-eDRqneFaINWE!AXtFD3r~OrfWpF*pyf7oQ&?c^oC-o`Nfw|M z3pE?DP_q#WwE0wB8w*y*4KAvb1{ZO3q|cE-a0Go9o&-k#g`+7!%Y5plaKzX-QH0Kt z96>FPYBu7iW+RSh^QpQPM-472oZ3i4${T%B41yHsyYLi90Vt$Q30kUCHzdUl2*!3z zWC3bp!Imp+a`tL2MoOcLadfqjh?ItrsBjuw#L*Y}92o>h(0Ac!a0E~|niN{H=$rFP zXp}(GWeY%;tp*oewrXMRvSl39Z1m1mL)UujXmHWp>u8??gWv%AE<6Jc015|_LgRqG znFB)O0FpQW5C;t|;-D5*9Mo)d!>!rqhFh~ymXGzbY!F$7z6;MH%K# zQ&?bZ*F+Ye77Mh=EYw`YLW7G~sD;&;&=6Ln)WV9CH~XX*1S!yW;dzh(P)L~++6F}5 zoKQmJ0FpK!0Bt}GF4}-V}nIq%d|Sh0s~DFrZdpG`OfR8eCKuwUOwEXb7u1X>d`Ue5FsBK~M&L7ZyMnK%s0( z(1=Lg6v`MoQ%2}4Ng33ltieT;HMkgMww4-P#9)Jq7;JD6gYkD;_B}9t;maTxguV+e zgF%48;FO^8lDa7jGInN=&{>i}sKsD|ix_NhQPI^#qSL5mql;M0Mx^|5pA>^21^O<$ z0#X19DN}-$>eNjkg|Ra!gwB$rKrK=lTtrHPi^{6OMI6y({NTk=%|;ykN}nTx;0XHo zP03*_D)0nF;b}_HCZMkJmogbU^F-(@$rIG#sli1&HMppFY9kRbH5+Yk4PA?;U+wc` z5IjL2dD<_YpeQ^|30n5mRpyDYGf#xhk~~2zo*G=lQ-h1@sli3G(PjMLMO#By(e`V7 z+6;m==p${fiZ&<;ZIePfr0JV!A+(u6(k&c7x9|oRyM;IQqDy&gBzin;7>Vxa4KBK) z|9YPmgP;ZaNXr4y0!5)^QfLXIZ>ELNXn`bJ07OfJi)d+Z5iN8XKN8W>5LWLR)WV8~ z-{|vT5IjI1d9a@f;Pio_@GvPf9_X8SAhg*-5)T04p}|EwG`JWKjRVsoEPEM0c$G)P zNJPtT_GvK)TA+`#*l#yL3lxQxNukZ2zL^$6n;9h00w7u%TtrKQi)d+Z5iN8XKX{c# z%|<-@R-Xrh-~sx`gZ+92JU~%+m=d%JsH=SFGj`^Q&{^`(hgyezgNq&d_HkA%tWNoc zuy)GZB5QEbq2J)5L;tt?v>60#&_~){7i~}!+NK1J40V-hW9&>Dp|d1yP#bN=LCr>& zvxcyut-(dKHMoej1{cxxJAK*=f;Q+QZT9;#R2vk9wkbg)LtSOs7(3HO=qyPa)S|6s zBib6minaz9-KlFM5l;1Z!Nuezm`dX$_FZ^jF zfbMg&$>h{rbf0T*(S5GLMNdBsF1mF$xahWbqECxK&;os=#eN43TA(PjObU$_`Wh{% z7t#VCT4cF@(BPtasD)MH8^S8_wXjP3$$sJuBJt42#J{P;Ls1exDYV4X*Akz4 zVd4Rlc-rK|*IbnN1{W1TgNyNSwZSX#wb3f^zt>N^K_njfn0Wh(7g+I7l*CU8ZS3^5 z#HU`EcmO4yHaYP%7fbxr!Yb1ZVYT8LTyz37xQK^$`aBo}570**>~D^M2Pg^;lR`@v zeT|3I3wZz#546cV)Lg_v%|<*lgcT1BE-HZ9NR;?f{lptY;-Qa;$Detzzq0~GN&KYH z5>H=CeCmaX2T(?#Q!}x`XFuX_5R(1T# zF)`=(1FWA5VFv%%w<>OkM!!#Xu<{`fH`^bYvD5)};d(n82nKU8T!-MvuNQoH*EO-- z{>47RVDs>)==cYz1B(c`3%3vdIrf1whpH6U%>U4tL+-*I&K#=iY#4DIX8%Xd9% zboNlqaM))4$Icvb7q&WcsN87&#F<0x!Zv3Pl{5cSXAZdw+nqU7&iv1uIpi+f<;>0{*{b!}hF1%zfAr6S&`l2RwMtgNHnL*n>wr zc+`W(JlN~OQyx6+!80B_>%ns#Jnz8^9=zzmOCBtE@UjQ5crYB=e(uu6@2+?SK40{T z*DrU$d-1kOpK)~oxopx_2vC)}m=xMrQuKWodIFDo@Pr3Xdce1&T@b$aY2!cZ#t*ri z8a95Y%J{DgEqL-L4+${!36yUMG0<&W(tbg>*`Nz_Gfs1~4d&w3(qV5IZ+oM6ya`*@ zIX5-P<%F}TK~<(UC1}G>ow4!~V6i2@DoTKblK^Wa0Tw|5FJexMZcdQPF>G^!s?6zU z18s__Z%#1*&ME=EiR(=Hwv|`lG2ScicOc8OmiYnT=MUPt zPLtplV7%h6?su}lXZYR%9~OHBKBV-DyiF)Z-X^5T+k_N(n~)-J6H?@DLW+C>UUW1; z22GGe6Tme+nrNA6`q@CsN*V}n_zCcap8z-A1bB~6fLF!@c#lt@+|;GOUEX%6OD-Ld zkq$^o2f&72I%t{GF)6eOr>^+4lmJhr1o*U+0FS8z_%%}(q-?z3bJK#1X+hGo0Ooqr zqGg`eFJL@SkqygnN**7Q6X4|{0X`%rz?((_d`M1!56KDe*((7)dnLd};RJXgNq~>F z3GksQfpQ0tB5y8Ij@>wMXSaZWG+legl`x#Q; zi@VQ0^9@=;3kj&mqG&E z1QOs=NdjCX3GhrzpqzYC;N){7DVG{qkVH{3(Wg#!ai?Xb=rawC-jok++X;}~1W0cJytE}idJ|xMC%`IB zfY;mvSkeiQ>je0HZZ{;pDeD#a)R#+M4N~NimLl)$QXJ4m{`YQqK*sWbWS?8wa~;4S ze~4+zgO+)DIA{Y+-uL=YWg|+hoTzOg|KNrI8Gev7gk+-6QEdpc#1O2{WL~Z}`D=9!L#c=EPEq+tC0q*L66Hz(*jVtl};*eCDdty?1O z`8vSg*4S+R$;}2bd?0By$wVJJ+H7c_%;x= literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/exemem_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/exemem_reg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..4b59023ae6cd04b85f21842fc8c2ce11d228a34a GIT binary patch literal 3104 zcmai%-D@0G7{=e}#wMF&Hv5(DO?Q*juliBHTZPqA?8;7U5<$EXgvge%iQ=6Idb4Y` z?384)hzdd}?Cc6|6(JNaY%fYKyb=5xdgZ&x?tXe?I-a7NY>}b4#LmEz6)VS9aLjVt4b1WJW8TND zKB{+*4%55lZ1g-+cdE6m&3dn1t<}4O;jeeUyDHzR=ol6>KHley3T!Sj^Zr`kgyvw?7;--9c-&*-zUpiktRh3%B3^l5V@v^xVDH zVASiDY;V70&Qs0sAgbcHKb7(T%wG?Feh82RD`zesmql*$M!Q)acALl;xcM3W`VH93 zp_$w-54?V4?Z{(HhX&645fD3tzEp;PwokO7VRSV!0DJ-opYH7hlDJ-Kf>TSV9 zspHE8zL5__jbg?53OpP;lGc#~`B+v~?LiNS>>*0+Arn+0R&Q_;%P1+QlIT&ABvC3! zCMbzjk&{?PNqLn-&z&TRQb{sFNvtG^Wt23nlIS6oBvC3!CMb!OGyuyeX$H(MVeir- zElHvz2~o?MRTw?mf{9YtoWe>GCQ6-4Cg@zOr0OiAbIq$HdK<`wqEwPhP!cPt70W1T zK_$_vLy|4TrQ4{qS$-H~_W-{!qjuLQilu z7`ifRlMdr}=)z0d!!XP+LqpOjWQ>auHe74{D+=j0+=+CM8`MjT@RwZS|MT;>ZWrHk`g&Pz923PLYzu@(p=id9yfnoY449`8!@1A?_nc-Zf z`+6=EQR3-_UcjSHp8N zbFa7R7Nrg%gZ@v|#p-f-MR~|UuLnnP&9Tsp+NPP2KQaSphK>sk9|>NeJU%_NDW$ez zE6XdlqIb%hKS#F~Z&#M1gC!bpAeJ90$Zp?Q-B{DBYuh%tTs9f_+dpiquVcRSd+Pq`?)vu)elPhaQr)Rc zX&}*HrUEnBXlB@~-7A+|{ce0f+ukwT&N|c8P?~*Ywk=$l)j_$Wdy3qs-BGcW=_>X% z>?;=_J@F>UV1OhOO^~4gDfBl%@`S`t{k`3tJ-v2+@4JT0j1UvYe$x|^#avgszUM_z zk<)~A0xPBq>51c^(K?h(_7#qY#t7=drXK9wfB5v*`nKeHXM$}N3+dvi$tEkFCCr>~ zbK}8oJ=K!f`niCqHD$&F=5bTzg@D;=%A6-Afieva&>J_AE=<;|AUAa(0Q1iV3jsLv zY;Ym~hns?)o~G`=4M*um-+0u$cpTxec|1K#0sf;_zKYwR_+=(=)w%WYWUj8$Tt%n3 zTCCq8hO45yR@3}_6XOCLjqzEJ#`swEnA@iE#`JrX8AqXI)JgpzEvFE)=kZjB4Tgy1 zUP=DDPU;Ht{CFzr2AWB3o|c4kCX+EY0Lk6dl90}1veOMfa(lHTq%)c9as!auZY>F^ zzosD`PsIlRg zP*jL77Ry0OZb)!^w^$BRa(Th=^Tj4pMSsf;0US9HivV2oAl~bOA`oJuf))Tf;$0?yTU@gAE5MxpRU;Up5@1^@;rUnMfuhN=~FWngS6%xFV=IgY|McuPXp;wn-+r%a=cW} z!O}EDxer8n8c3hovKVAFU4{pfCdWu1=_qs(_b+`r8U_8=uDr0mNYE5`g+$OkHIX0r z?6?*Y^1?LsfxjYfXkTRoj*!L2Df=aXL;G|K^0KcA1N!i?2zm6~g|2w;CBZ`{(`RDtG{48kD2MU6<1!u@SXd8=eyszOs4<#P%;DH11J@Z`xQ~ul6hv1tG0Yw0V zU!vo#>v2=AhcoVsJLmq_LnmMxGAqlA&GyRErEa?2D&^a&B|J-~a~`>D3c$Cx0r+F< z-3~woOd8LV;xH-FkCvO`>#Zhf5FX#9$7c|7fXK}1c(>h&=_eL_gy@7@mo|IIqk#~f#-7WF)*Qx^M-gcwvN>cX6JL%xDYBe&gWCnElfc-i z*K*>^R3}B2lWwq+AaxQLI~4)nM|Z`SuTF|AC*5EtLFyzhcIs0nV{n3G!|VlkB8EW3 zKvdxkL*!^w29Tw4EGoH?_~`xZ0icBRV~ZS#M#LMCK1GVL7}*IGKn^cQoJmn*Am(A; zALi$X4b2lcNKK=mIfoM*(EnTPq$;r;uRzpemRfKCbPs&ERJ&@B`vasrv`Aj;S%NKf!eZb%N1oqRn|2Ks6 BYRmut literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/idexe_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/idexe_reg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..8b9305c0d2cb77d892b14f0b704077f447eda50b GIT binary patch literal 3724 zcmai%%}-oa7{<>93JfsA@Hu?X0BzM`QtMZ%$<%{oa0V-ws0$Y+$Y2BL)|lvqk!j{4 zg^5uU6VqhwOry}oq-k8Ji-v_86BqskjSKhcUvPcj=e=`zg(2P$@;m2w&hwso&qtv5 zgJg5Wn2Mpt{`qmTx#gb^KY7s+E7pC0=* zmAdQ2!ns5b+`VWj?YV{O{;n7G3RN#QHF+u7KUUl;jztx^)!jn+O0p+JUUh_@re1EOHyqKAJ<<~c|xsA+xHvf4gM=)3U?wc9&yNS4^ z&4TCND%RS(aw_WWrR+uiW;Bzd4^H*@OWrs3uZQ11GA3f2guP6*L9(^oEEUrG{IAbqe^Qxh|qvRoy&y-4=HSfg8VUpVUbyw{|2C5!7!bNET4$@o26XIwf z-fAR{k=T)2d2eHVakf$NIM-cSK1H1%^~_eaQE-y0rfBUOi76JRP7x=Sb~z%Xez~rOk%J z&vSZt_cZx2l3Qugjj|u-${uaZhUycn)=pC|uu8Ljt>M@iQro6z1sc8ZlRWfWn_W3R zluy*@4{hWN!A3UrjgTJEcE{s5oyLAi7X@s*rNt(sY;<*nHs0D|6B3)fPVv$)Tc>6l zqSP~v6IDrk5+q4T6^tnx9|^GuDOiRh!AYPbl7L}Sze?iEK$3)1l5}tqNRohI(tt|hn?sU>RFZUX5=fGOVbY*V z;(JDtgjAAra1uz8fMHTXCGn*sNkWoDR>v7K_J{g0;>$^FLdrI*YEIedVGT589|ENY5EZz_sH(xYrPL5oHKc>T zFG%(wFiaX#Nqo0Tl8{Q04o(8eN&&;9ah1gPup|koBLGWJ}EG;2Ft zZ`pSo5~u4;-*i&*Y`w_?Nw5D^)L{(mZ~EbgE;2oZY-4!`fo;4Vho*13?CW*SX`z8W z!h>=D3Adk-j0Xie>;w(G6cpeIf_+1$jNe`qn4VT9uy5#$u}Kt|o>3;SZ|IP)Nfel# zRVJ`+XqU4|6que~FxG}wDrm(}^(AEkV|CaTw7Oxl z$_B>zuq|lyz&5LFV5|_^f>tkVFDn}uYs9vo)d$-(WdmcC*cP<Fw(u7)%TekBp9u RPb5>5hX3Devc2?w=)VUsM1}wW literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..d31cb97f0665a7e28a71ad4b32dd30419bb634c1 GIT binary patch literal 1518 zcmaKs&ubG=5XWbmXqq;gUsSYGo3vFAUPKV|5}9IGvTbPu5%eHLQzVIAMbJyuresTP zf~X)!VRs{#R)kVKsE5*n7s0=wc<^rh3*x+a+4Y%{Y)qd-~c`N z<54CQ{`KJTZ4E|Zfcrb&KI3)R8VbiR8w=Hi%JiH$iwnlx!5OoJv&Nip7zY4$quK5o zujW0eH$Y-zUT4kKUCVRhlN0AMBV+YueN0!R?X6k4 zi~?+C@{+nuVnsiFd=9=t)Na%)*M3@WN8DCccbi!}E9OSW31&`b z5X0O8{JHaf4}j>Mb4n8!D^+*?sM9#meTkvY2k{xepk%=&qHmU>9qxT zEl_*ZJU^N?4ETb+98MDr$~38zH2Rc-G|{L`lS-!HJmF0CYn7 z2}F#NjxrmN?l7q_>EqbyLJY6^o))IUF2j3uf5Fd_+k+3_I29f1!NuWNcj-dlmnj`i z8dZ{5cY)d$MfcvF2lmwB9N%`p_c7F+HA0ra;{2 J1DK|p{x{jfZpi=u literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/inst_rom.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/inst_rom.sdb new file mode 100644 index 0000000000000000000000000000000000000000..41b8343ea4971f3beb4e37c47bdffcfc3a52f13f GIT binary patch literal 6628 zcmb`M+gBUc6~;#f$L1m!2{}wKAhl)nDa(l@uNrV?!XPLnb&_7NgK>-^_IG=TwRcP9qn*4}=z3470fBCtWj@dLSS-QOl;G%yA_^|>zPPqJT{@(5J&#JyJ?Cbtt^^Ni(dI}N zWN+47p3Iop^i<4#IhM8;2GcRS$4tk^GRu=`dwMLBuKDCAylz)xY%11hSH8wGlVfJ9 z+hKntGkL>|XO^>L@oen%v5any%P*JgpKjQv3C-4&zBCn^%0$|t@z`sz>{LAJa=YTu zNaoVijmbLECvzfBGg{6MzaaD4kk!!2HO(eI*pzN0V@9%(D5skr{2$Z(hvv~L;uDdv zbo_EGyCXgAv8Sgz;vtzvtIVlTdYL5EbVU>Ye*c|+YT6D>+b;G=N>Jv3?(jj{f>!ag z5ZW&_IFCx9EHV|}VsuJldn6N$Mz&aw!OAXAMqxRvaJpP5DXntq0-1{SDYrc$>ZEm5 zTAYOVRDQUjRlxf;d#6qgq3jsiWT~|c*t29)mY9jrhE@qHMb>?R(810S&xN4Oo#VOj z8;FdN;?9%H+eB=PH?%6mzD8zwpf}isxfpsVEBbI|3p}4{f%zD3Xw{g%i1{6|?3jt%dg7Sfad~PaU6dn|KZzHyhO0|e);6j7@J#x2C$Kw-e>;PkB z)psx(zWfmd15IJRPt4BV<43zrjT|@$1d@XM0Aa4Dm&fHnlIA3!Aqjau%k9G*&%4mz z{*c`JWRnF12Zx{pU&ZvPY&;#$L`>cXG=*6srm`z|JRpK6@_`_hQ&VtH+#;xYsH-bb zfL8nc&Hih>**Q3;&2PyWINncu73y+lt&e zxM*x5axa-kKJX7Fgl)KPT)Ep62bg17@@`t)t%R?MQq%7Oww2JrLZ`gwqkD9B2hzaUKZ)R5X!5S5;ik0Gn4N-)TqD*Y=$si$NY z7kdh-+Ec$qMCz%Mh>(?@`VC>+Qzh}BD?RmFaOkNL4!W}255S?P3LKRUI?DDtBIH%c zC|3m<+~1Rnj#GW8@IQo4S55Vy!v6z&>M+%Z3jdGfb8ihPR~0gVKLJ2jV63Jq7G|4MZJxuH}EI+FaGLPQk;I*7j$QCm3A6$XyRA6q#d z*KGvTO9;J@wDX`6gZ(_H!r-$!sJ3kv0}^~h4sH6%{8Cb+W)t&kshs@wE)I6GG;vhE zgtZ*%?(hT#`g{8ZdjkEBdLG{6Z67^$?&u&1LVIM@@EBcI=M zaG*1E#2U4ETRp+2LWg;%T-;z!ARO!n9FS4Mp~3FZ6Ef3{dw0lzV!;L;@9q2;1$%6B z!C0Uw;hP%;!`DK=s>M_C5^17cLLr*3p|SDRc~jgJZ=Dvsp-#~g>Ji=H4(Z#(A)@Hb zy^AL7yuFxQNX&~_6ctiy%f5@$$fqU9ZwQBotleAhYSbGHhpXMF+iyBB0nXM5-88}B zbJdy6O)kIgYjW!KhBXDA-ty3%Liiq{_0;O^oO0vMV!N<7-7ry=ppx$S?}{ZtewK~v{3J9`BEMxx_T~&?A8-kt$2_*-T)CbS95d8 zTf*XauQ1B7*T;$BS}dd%tQZi>;D4U{D&sRQuW9)AI%PgoEU5gO*%1JZyZtU7XAS@= zSMv#u;NI&u8(luP6#*&(PZFS~7L)U`KJuWPOROwfiNIp&DW(<@OR|1>lzR=9II77T z_{7NQp3$@C1}~gHGa|>_bYI{M>Rk<{-z9H*ZaAnsYjpS?v%W~PoQYgwPUIHvB<53d z$`yvmRsIrjH6S0_@lS5j)y zka?ZsMARfww?ry;TfGTjFf)u?cKhpGZKiItS<67>WlnGqk(ygska3c0x2%1`5Oi9$ z3>@wc9T*G_g!+%jAs68pnpdlv9NLgQm=6xegBCt%1-EX5gxm?=1~8ZzDFkvGb+Xfo zf#AqLp;$-VsY0+-*${KZU@(f@Y2xhGmVI?~@|MPp0iFMP@GK#fGo|eSk*hXJzCLrW zc3?|whcg_kv>hOFu(RZ&9h^!#Kvmn}D}+@zOWFY%NBJt*)iayh0WuTkh^U#_+72+7 zIgebpHqJsjK;?G0z(KaQ1B{Puhp*ARTIW650geal@SGKVOFO_|=J`UP&FuiEc$qI2 zf^BLC7)9;{;;eRXD(wKB+u=n*ntDGY+)ZxX!AYdoO)<@2H0Rd2XmZj*5CcKD3GOlU(wtkX%7yR#|} z`q|l3ZhmE5ISc_YA0d8s=?>*n(cjSIRZ0SiCydbqdmb^otyT`u85t*}f}X8K8yeeD zM8Fn^kv9SF0y-lxLgSGf+%F$X#RC`) zFE~Nau3Zjew}ak*ysrBCCWm~GdS!0(+ZTMJrMp`m|JI=xrzzFf7H7rM%EH3RtaaK! z=Af5|s7IuBS>k4&-ewy0PTebCvv|uO7=Df5nx*8bSWTtm%TVFX1qL&(6H_T4MR$~E zBt-TvldoU7Ix7P+j z-R&)2QFx5KL0GMSm&4raHyU&Wg2PaPAR~2UDX}KryE-G5))Ml0Ctu^%lXF&ifiV3o zqIJ9zUVD34BGBc6wX?;i0kIgpbN~PV literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mcu.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mcu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..71b435364eab9eef4d49d48c3dfa1ed9c2c7b163 GIT binary patch literal 8011 zcmc&(U29xb6g_w5)+S9R%~z9HD@j|eANW-j6eW6Uo0@4$DyZONC84C%S1IUA(nLDa zra@E?q>z~irh-t459&kdgD--=p-;YBf5CO{waz_v&vYUcGU-r;wb$8auXFat+*>mE z@p!r0xiu#r|JSGE<@BFVKKn3n!@bVmz4hmB{(X02SK4=UYUcdRxg#g5C;ZIR+49EX zm4|%Ex!c_n+4qfw#>M4JEn&n@c8h)<*Up4DhAdXD~pq_jt^8mZ>(IOtTi^) z7i;UwmlqrTm70JrDu?!tIb6cKegXcETJO>DhB< z=GW$@XXjVfH-5SK&9$l1Q`goEtj?a9_P^fzcJ0*cnK@sbJ$HV(dVcEo^z4^wRRPD= ze)#TyyX(4ZmlhW5wJXc(JL{_xmHO2Q|Ga4HX*AJV7|Gxb=l{O-(;er!UDD@Y5r>Dx zp?h^@!B|X&0gf&fj70pNfP`R9M<6Oa4f1b`>xwV9-gD9_PQ7ae#)Fj~YZq zgM6+7)ho#M$%d{5)mVbYm!u)|2Y@b%F~U=2$E1ipfUsjqhOzjq`ksOL?#jm zjReFBA1rAeza5TyaFG>BBM&08Nb(!iM;HqxL9X__h> zd?`&@rGY37Xr)1EKIg(kcx^X+SFtB27!Vw2VO_>u59J z%#9dnP=z#2l@7j?Cauyylm@iYAkwremww7f17~j3NP{Y*X{vPar8H@k2BI{el?IWf zJ924xjKo_5XY#943>T!`9eijWKJCSYs5oezW=3Y9f{3;SQ9!-FSAxD2vf8XQWR|#(kT5zYukg z2{fWlTeCJ`*5W$_MT{5wgS7Ss5c>l#?+@)m5G9>!zHD$;tM1?f(j9t0y2BmS)nWI| zO{ONA3_MB>ls6fSQfM;z#3tJ!QyVB~vIQA8wnA8A3vw;CAWaj2El65h5Qr@Z=Aw-50t#a&I+{oSGOp z@EAFueB^>VU!~|1NAA8&9f=T?L&cr3xx*TVifggCX_^QeD$>#z49uYdX@?3#iT}se zq;prRE{BS1+o{VY>ws=4AFB5EWs`MESDWlubCaowCIgQq1Ik>V*Al`gi=j25Pi!({ zY7>NgW5zX>Gpvo6wOCGuF%j5Cq~jUbMj&k)fheUy@o~vrt-7Nf)z!34HK$cgq!m2U z3Y4c6Mk%C~J~6GEo0_8#hFPX-ylq%xmbn(QOw&YQmPu=tftY1Ln`IE@rIWi_b(v+Z zZK-ao?l$Wt+ErTJH_7}g^`5n(kE7Tb5m;*!mzq@jad$BtS;ALmT8&@ ztS)J-E)c5=XsZjtyx(wFt1hd{wJp^}mi6aln|1M1tkr$Hxw>kiy5Lb=puD=loj-~6 ziPbfx79;FqIk^_o8rE1&uEn&{G!a-%(ppX+mJ`sH6NGuPa#yP^%gMDZ)z!4hA3b|| RD}B42{<*y52j$P`{{r2+z9;|y literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/memwb_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/memwb_reg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..227d662a4ea68b830680120d42f1cef5b35bcbbf GIT binary patch literal 2647 zcmb`JOKTHR6vxll*qXMJPSW&gHMMGeEqp&V(JNXZ)kZ-CT?k5x*y2_M-E?duQ<~I; zegJ3UA`t`^u3U%ck}HPIBZF2X9$*brS;>X;=RB!xTvKPDKt=p4j z-*ba@)3<#$@N-kUj+TlOwe{MBt)v$;-SU}I-hLJ|J7q6uw_LAPTXO@)_E4 z&`}IH0G9a@=e#aC+iv5(W~*S5tXE%leXmh#_4v;xZGU~z9Hx@tM*h^BwuJk72j<7e zx8DG=U^(VAVh&+{oSnvJHe04G1brj=0KK5YR zcE>Qd?M`*((t^3Lz-eFLS(oz`mtvfjV#{=}e>VlUV{q#Vwf3ga1ctJhvfgf_g?C`M z8+V+Ra%Yq>bzzBut#)%|gDYNi+6Qm@V07DHuo?N@!F;1$rH^Ixfq99Ki7~o&!9*zx zpLc@M{R<{aVYb4k4+ImXu$;oEAp{epumOcpX9y-rFmnaZBopUjRWgZ`L*gkNflYI>JhNkW!M!f|o3 zEOQpIDe?ldH<5TRW-K0=+Z5a#4@2m~;huPiminK0F96;q{*gsLLVMU7Og7erbCDf~ zLg+Ww;(?XHg&~_q>;5t~H=_ecf*!LI7w(M`kR$65u&3zs5@BdsL99c-J|IXU4DC}8 z>k#mY36cmy`xV4G1iU?hB*M@E1+fkR?~WjeFmzBstV6(SAxI(&9a0eM5b!Aw6i4VL z4h!|~VjWR-thrcJx@cr`)}snz&E+C28s!-~rZCoAFv6lyfwAKXW6dQaEE)|mc0ysS zxoCt%qXEWFDvULkjj(9sFm_5|thsQ6MWY<`lI8UE+qnTJUl=S74UdeDjRXC3++qs& Glkzv*jq{KI literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux2.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux2.sdb new file mode 100644 index 0000000000000000000000000000000000000000..883336f07d4960603780bb74168c4ab3e8e39cd0 GIT binary patch literal 959 zcmb_bzfTlF6n=9*gp-@SgOH#Ea|P47nc^ zSXnu<6n7dE3M&gjVPoRoKxMD|1;=|cyXWSxbc)S;-+c4F@4eX>+o@y=03U%C{(4)< z=C0nndnI7J2>9px=QrGdv)egud8PKcw!QRp^$FHiwsO(d_VZhq0k|mmy;D;+d*LAn zTZ6tA19W68yB}$HVrJlkEi?TuaCQJ(qdGlW_=2Q9Y43BVDZ8pI{i;@h>9n-efzjT~ z^g?BPDr|>SG8HP*3aXDPqw>JCx>aR5QJ|u*5ty=66dcL>lM~=`69{Dhfj<(pO{aFG zOm(l@RR33V5z3;V4(owd%`h6$$G+5UALmG%?buPXCo*;h`1}0JB>-Jm-p-O4`9`!K zc8M_$J9(htJfx#{Xd`1j5Q@;)rO$Wxi|d>CUE&LUgFeadsfACdN_|uaT#B8+FxiS1 z!RrZ3qk|EA$%7PGd=Q%fzB6kJ@RIicpY;LiC*B&OEu2l#9u;Ca?uIU;A111qq6B()=7L4SVTCrjw!&BO+InUJ3Y#W^jz2?lq%9;P3zd literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux3.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux3.sdb new file mode 100644 index 0000000000000000000000000000000000000000..60b91614807f86f22d074202c6a747143d9f1b90 GIT binary patch literal 1380 zcmb_c&ubG=5T3W$Skq>cZRtr0*(9|;1r-EEh)j_l{1pZDAfCJwD!q!Jmu$PzE&1U= zPlDj?_K+w-51u@f9=r(t4Lx~R|AII(Z#UhfiWe7l`R02w-#2gGW2ZJsnH<17pa;J{ zD`m`IPo6(gFr5edx&Q4m-iN($vv75_{^wC(r;m$T|Jpun}&0 z+n_{)z6T@e$XsSC3Uozfq(WrMeU~i7&}~^gzhgPIz<0xLJFo&b z3<{O<`O@@^*YReo6!gQkTf0=6v|fbmUd<1?k?TiZ(+zFQC$MA9ohpOFn?SGu6n;(I z9%#26Kdf!`TK@m_ybQL=`P&UQ@LOIq7QA$oQ|KlM*4wRlg zXJnhvme-?Xo3XB6KT*Fluqz}n$3B3k2N1}1mZEuCpe0&P?!&lX0g;H5M9Nsv+ UN=>LNUB03X{2w)NivF*^0qRL0pa1{> literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux4.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux4.sdb new file mode 100644 index 0000000000000000000000000000000000000000..8301e03f3e8c2e5af26dc47535ad7d7f449eba2e GIT binary patch literal 1479 zcmb_cJ#W)s5Wd%O8q&t8Q*}a>lD2#^@Kw}ONOcwJz*j*62E@ctsi-SLf+cQ^>M9=$ zFd-pfr$bVN)Paei>cE1;Z|KAh`~q`#ukAW16$_RUJ@?$b&vSQv<&l+gCJ*od=)oT^ z${F+bvzJd4j1~ZY?R@`&4`F-IEM8w&e7d+if9u9gTwGW(x0aUg44?t9o3DhMK`mHy z*FlK}{qOdbBXgOxwy#f2^qmly@xPIiedJxz3F*Q6WVPiNKXw{c*K1jos_!{Lr|Db1 z6Zpl6@eAeAF}LN8St;lRO{Y3jE?KXFX1D4Eownn(-MSOlmPcU2I&t(ORCovk8$jW= z*zJ*a+wg+wYPaG2U(Z>vRo+{#Ilk9$+d2Pr#qwJfJV)l-j;xw{qQQx5MMC}8=Wf=r;k8^;x6N0X6l*&;GC*v?A zAvWas=@lk0@>OQRDh>m_r8_4^Jcl;YC}pGxRuH7c1jb^@PAT!|T1lgnk|wxijgpon zj9ZpKy9i8NN`RlKU)tClNldd3;PC_k*&d^4MiP{wbbM0=MT8FX=?WEH(M5QcHBQHb zGVoN4WtUPGf-MV_KvD3o8lbD3#gn8o%Qj?rFUE#RJ%l(F$K=lMOzJ`?;d|<*hboB9 z)s&84!=ys3BXM*{aIa28S)y|-r6brdX(?Jq;z&nuuTDf2MW>q55p0;5SV!VWM{uuB tL=B719P5CrLqk2g;QeE~J)u;VUNSF`eo76g0lvj{3HVcO;0S%f{{U%aHX{H4 literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux5.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux5.sdb new file mode 100644 index 0000000000000000000000000000000000000000..9a9f2f2db3a23614faf67ed08e3be3e82c1d5754 GIT binary patch literal 1614 zcmb_dO=}ZT6umDqX__{ZW%v#~DU-LJe zZ4j(M@56y|l$^E|cxuJWzzUX`{u4PnK(3HZNDtm4t35COq1`Y#Zp*OBo@@K1x_>Ow+qjIdXoX}yc=~Sq6IF1%E?$?PZgLSG29mbkYgHt*jM>>rAbs{RyIx7hs z#+t6hIvhtjjQe#WYJzoE6FQ7Fod%@(;W*M^+^-W+1=hJMb%4jJpqe4$-ZFU#Oo=pI YD0vX{6JlIs_%CA?L4Gk(Sfnq>Z#Rfix&QzG literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..937d23610ffa0e3cc84ecd1b950d4789db0a6446 GIT binary patch literal 1523 zcma)6OHUI~6h4>Ml0v5x!a@*fc_{%Sy3$R}AyU&(0wz9oCTNK%=+>C%rXwXC0<JC^cYb`uTd>s@PhT#~EY2)UUemAQOyPcFN-tsYUIJSHc9S{pty6KH zRcjzvg}%E75>WbLORlXl1`lMg%)nvJp##oY((&lvZ7TJk+)#~J^ZKl1V_7ej7Us%U zxmYSU+~())R~v=d!iE)rdTFkRU$$Rc)1|q2)JqGCMSZbwrC54y=>(>%cW;ivIXMSH z20-BVpx_Piy|>dJnrqsoS=VxT+ccc!x~Z;*nG?T!JcB=O#{ z;~qc~L;^1mr-wML%QYk4Y#78rSb0pJZ@|G`3MT7$*LK42Y9xGu!pCa0ifeObG}I?$ zou#Ep-e~+6JSp>Ju*#tqBw6VcT(O@*G1J%=px*x-YJ1FY8<1AY0|4&HjmslMJK#&Y z4@{E-D4IeM8ePwjCI?Y8g%k~^NnSZ70~Es$s(MsVizvytm6AdcCFPLmjIyl6FjPuM zl;o0>l0p$B<&a8@loG>GsRQs+umrhNrKC_~2^mEb0xyx;022Y?fFpq^H;O%hg?9vP zb|^4CXeV=+%t4t-Cwj@+q!LgUAX+d*q#;E@dM*^kjFcMVqnpP}LPAR^+F z;&IL4Lu!um2Dqlceo7kgYf5Rx9?)*~TXKNYM-dy1h%pYue2add9CXa*$w3*bL1k4o zJxK9HYzSJCKhgM6nm7|_g0V*r4R0%m$a&5lMQS1<#W<8=i~p!dw5POmM+W4x-hy59 G-2VltUqbKz literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/register.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/register.sdb new file mode 100644 index 0000000000000000000000000000000000000000..2939baebfb23c2f2651eacecdf55c50f3f47ac36 GIT binary patch literal 4869 zcmb`L&2Lmy7>Cd843z2TOk0re4quA+0g8$r0Z$`?Ge8Oo5?z>}L w8WY_V!GO`w z7&S35B-7ReHYS9)P#1)S8x#KqR_@imU_9q}?|bLvv`Z(Xd4A`d`@HWx_qIJ{;=}1u z#ksBvAOF`U)1~siAAR~>=B7rR|L5MHzxlV^ouTsBYmM2t*-JAgTF3ot%6Nvm-#=m|O$)sg8y=eqo!xQ%?|VNzaIWG?BmPiWRSx5WpI^It`1Zo(u*SPD zJ`P`gcTBDcla(8Xmlv0c=`YRnx-dO3bAik3cqNV>UpYP$$6G7MN5gojKEJd=G{(dg znfNADp08yzHqOL#nXnyC#EG4&s3w_MMK#65DyofPqTC73EVSj^m3O=g)x$pOXTYBf z`xjR^KcnP)_@>AQ2gBu*j zu`Ip|vP{Snlz~_flGeeZVAYu7%OfTsW0HYrf~0k@Fx8y@S$93YZDJBKt%vumXg$7r zvP{Snlz~_flGeeZV0b|bQ+!#)BxFo75KWM@4i=^<(|X|CBxG7&F9ox*KO1bk$5K;X z>5EwuuJ(>gO}RjdW^RLLy+0CEn`2Gq_Y~-|%x}`<-;I;IH1^zZh`Tk$l3`wdP7OI1 z9zysR6(eEM7N+I94E9yk5qoaLpVoC*Ib7I7o?rKn+|Nq)d4am$9xmLP7uc&ztBm%- zUC!vRa~m9$n5xH0@zX=H%}8J*kV*()-$X)6B-@PyMgpmXFrX4rBAGT47zv~jLP;g0 zL{c{r7zv~jLRlrGM6$z3U?h-A2y0YAN+dgt1V#d>gs@g6q(rjINMIz8N(h50AtjRC zMgk*&R6g`BVjj092%VN@lgM6%CFU?h-A2xBTCC6XtM1V#d>gfOlWQX+ZMNMIz8 zN(fbzkP^vLMgk*&R6?k!gp^30HWC;Kq!Pk}N=S)hzmdR5Ae9g%RYFQ62aE(p0;z;B zr4mvidB#X!B#=r7>s3NZB+nWNj092%VS`FYiR7S>z(^pK5FSwpDUm#9Brp<4C4`MC zAtjRMjRZylsf4geC8R|1f|0;TAe9g{tAvzD4jBoI1X2lMi%Lj|gs@#Dq(t(Hk-$hGl@O*?Lh4K8m~>bye7%$ZIhUMd z-lxD zCAjBmax^3L9|EV)rl|t=s}Osx%Ki|{{NF|e0{x|exkT3@0Sd-+CQKfW<=|1OETmjttyZ<@a0o|}@rB$>O6C;Bs{3f!+k z?72zyl3@1otf>MQRY;~v+{<%jHO%U`mn8NwAD6w%L;O7!{`eRk9vK}Quhu3er`Egp MA6)}}BK!x~e}GX*-v9sr literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/scu.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/scu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e4e661f7ae0efae8546a1beae4d23aa585857b06 GIT binary patch literal 1066 zcmaKsPiqrV6vgi(QAv{-(o#@sFliz#+=w9PX5Y{YvM({Iq+@xJ#maT>)(7|y%zch5aDNoMX|A(;m7fEIp# zSV*RR-G8*Iz=Y}r9hbxCZhXBUpc3cm@29DssfqiMIP zcGv2gtYsNX%=Fe+-Sg36RkPW!JgaJ2{c!kk_vOH-8v`#Unc1k~r`=cHve{TeW;R<@ z)-tYD&1W7XvE;pdeFsoUyPdY}yHB0)nBOm|ey@n2Xy%Y;`k&TXEq$&bAwK&9g+{7ZN`8F0g*P2{PswPb4v^Vi^6}CK%5pF{xsh z8pC)viAg0fT&6*?P>EC$Cvu!zQ8d60luJ?Y3Sp;(7i|I0L|a1R&wU1fc>3_3EI|d{ zLk#_Uq{bZWu^eqjkinH`qofEO3G;E^A@e+)PRuV-Q*mt0-Gm;2h66E=dx}y@c*?{j g$^YN995WUAu&F4GP3bOEnXIY-e;Xulj@}Z#0XHrJSpWb4 literal 0 HcmV?d00001 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..685d32a --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,25 @@ +0.6 +2019.2 +Nov 6 2019 +21:57:16 +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/glbl.v,1573089660,verilog,,,,glbl,,,,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sim_1/new/MiniMIPS32_SYS_tb.v,1635480972,verilog,,,,MiniMIPS32_SYS_tb,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v,1635238059,verilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v,,clkdiv,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v,1635238059,verilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v,,clkdiv_clk_wiz,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/data_ram/sim/data_ram.v,1635238059,verilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v,,data_ram,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v,1635481162,verilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v,,inst_rom,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/alu.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,MiniMIPS32,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v,1635480972,verilog,,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,MiniMIPS32_SYS,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/alu.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/dcu.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,alu,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/dcu.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/exemem_reg.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,dcu,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,1635480972,verilog,,,,,,,,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/exemem_reg.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/hilo.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,exemem_reg,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/hilo.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/idexe_reg.sv,,hilo,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/idexe_reg.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/ifid_reg.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,idexe_reg,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/ifid_reg.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/mcu.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,ifid_reg,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/mcu.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/memwb_reg.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,mcu,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/memwb_reg.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/mux.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,memwb_reg,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/mux.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/pc_reg.sv,,mux2;mux3;mux4;mux5,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/pc_reg.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/register.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,pc_reg,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/register.sv,1635480972,systemVerilog,,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/scu.sv,F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/defines.sv,register,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, +F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/scu.sv,1635480972,systemVerilog,,,,scu,,,../../../../MiniMIPS32.srcs/sources_1/ip/clkdiv,,,,, diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..6ddb512 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,445 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +system_cache_v4_0_6=$RDI_DATADIR/xsim/ip/system_cache_v4_0_6 +bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axis_interconnect_v1_1_18=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_18 +floating_point_v7_1_9=$RDI_DATADIR/xsim/ip/floating_point_v7_1_9 +prc_v1_3_3=$RDI_DATADIR/xsim/ip/prc_v1_3_3 +axi_hbicap_v1_0_0=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_0 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +fir_compiler_v7_2_13=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_13 +axi_fifo_mm_s_v4_1_17=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_1_17 +rs_toolbox_v9_0_8=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_8 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +v_cfa_v7_0_14=$RDI_DATADIR/xsim/ip/v_cfa_v7_0_14 +axi4svideo_bridge_v1_0_10=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_10 +axi_sg_v4_1_13=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_13 +perf_axi_tg_v1_0_9=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_9 +pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11 +xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +fifo_generator_v13_2_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_5 +lte_dl_channel_encoder_v4_0_1=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v4_0_1 +cmpy_v6_0_18=$RDI_DATADIR/xsim/ip/cmpy_v6_0_18 +qdma_v3_0_3=$RDI_DATADIR/xsim/ip/qdma_v3_0_3 +v_cresample_v4_0_14=$RDI_DATADIR/xsim/ip/v_cresample_v4_0_14 +v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9 +hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0 +convolution_v9_0_15=$RDI_DATADIR/xsim/ip/convolution_v9_0_15 +xfft_v9_1_3=$RDI_DATADIR/xsim/ip/xfft_v9_1_3 +noc_mc_ddr4_phy_v1_0_0=$RDI_DATADIR/xsim/ip/noc_mc_ddr4_phy_v1_0_0 +axi_vfifo_ctrl_v2_0_22=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_22 +axi_bram_ctrl_v4_1_2=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_2 +ldpc_v2_0_4=$RDI_DATADIR/xsim/ip/ldpc_v2_0_4 +sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2 +lte_dl_channel_encoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v3_0_16 +mipi_dphy_v4_1_5=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_1_5 +ba317=$RDI_DATADIR/xsim/ip/ba317 +xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4 +qdriv_pl_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_0 +axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14 +polar_v1_0_4=$RDI_DATADIR/xsim/ip/polar_v1_0_4 +vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0 +axis_dwidth_converter_v1_1_19=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_19 +ahblite_axi_bridge_v3_0_15=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_15 +xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +util_vector_logic_v2_0_1=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_1 +cmac_v2_5_2=$RDI_DATADIR/xsim/ip/cmac_v2_5_2 +sd_fec_v1_0_2=$RDI_DATADIR/xsim/ip/sd_fec_v1_0_2 +axis_register_slice_v1_1_20=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_20 +sd_fec_v1_1_4=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_4 +canfd_v2_0_2=$RDI_DATADIR/xsim/ip/canfd_v2_0_2 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0 +flexo_100g_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_12 +v_uhdsdi_audio_v1_0_0=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_0_0 +etrnic_v1_1_3=$RDI_DATADIR/xsim/ip/etrnic_v1_1_3 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +mrmac_v1_0_1=$RDI_DATADIR/xsim/ip/mrmac_v1_0_1 +system_cache_v5_0_0=$RDI_DATADIR/xsim/ip/system_cache_v5_0_0 +v_dp_axi4s_vid_out_v1_0_0=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_0 +v_axi4s_vid_out_v4_0_10=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_10 +ta_dma_v1_0_4=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_4 +axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0 +axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7 +rst_vip_v1_0_3=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_3 +ieee802d3_25g_rs_fec_v1_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_14 +mailbox_v2_1_12=$RDI_DATADIR/xsim/ip/mailbox_v2_1_12 +v_deinterlacer_v5_0_14=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_0_14 +v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13 +xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6 +cpri_v8_11_0=$RDI_DATADIR/xsim/ip/cpri_v8_11_0 +c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14 +microblaze_v11_0_2=$RDI_DATADIR/xsim/ip/microblaze_v11_0_2 +uram_rd_back_v1_0_0=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_0 +clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2 +hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1 +tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4 +picxo=$RDI_DATADIR/xsim/ip/picxo +axis_subset_converter_v1_1_20=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_20 +nvmeha_v1_0_1=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_1 +bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0 +axi_uartlite_v2_0_24=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_24 +l_ethernet_v3_0_0=$RDI_DATADIR/xsim/ip/l_ethernet_v3_0_0 +lte_fft_v2_1_1=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_1 +axis_vio_v1_0_0=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_0 +noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +xbip_dsp48_macro_v3_0_17=$RDI_DATADIR/xsim/ip/xbip_dsp48_macro_v3_0_17 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +i2s_transmitter_v1_0_3=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_3 +iomodule_v3_1_5=$RDI_DATADIR/xsim/ip/iomodule_v3_1_5 +axi_hwicap_v3_0_24=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_24 +pc_cfr_v6_0_8=$RDI_DATADIR/xsim/ip/pc_cfr_v6_0_8 +axi_dma_v7_1_21=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_21 +axis_data_fifo_v1_1_21=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_21 +axis_switch_v1_1_20=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_20 +c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6 +fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6 +compact_gt_v1_0_6=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_6 +tsn_temac_v1_0_5=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_5 +axi_dwidth_converter_v2_1_20=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_20 +lmb_bram_if_cntlr_v4_0_17=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_17 +v_frmbuf_rd_v2_1_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_1_3 +v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0 +v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0 +vid_phy_controller_v2_2_4=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_4 +lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2 +mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11 +high_speed_selectio_wiz_v3_4_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_4_1 +xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6 +fec_5g_common_v1_0_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_0_1 +g709_rs_decoder_v2_2_9=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_9 +zynq_ultra_ps_e_vip_v1_0_6=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_6 +axi_mm2s_mapper_v1_1_19=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_19 +axi_vip_v1_1_6=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_6 +c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14 +v_smpte_uhdsdi_v1_0_7=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_7 +ddr4_pl_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_0 +xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6 +fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10 +tmr_manager_v1_0_5=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_5 +displayport_v9_0_2=$RDI_DATADIR/xsim/ip/displayport_v9_0_2 +lte_pucch_receiver_v2_0_17=$RDI_DATADIR/xsim/ip/lte_pucch_receiver_v2_0_17 +axi_tft_v2_0_23=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_23 +axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0 +axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0 +noc_nmu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_v1_0_0 +duc_ddc_compiler_v3_0_15=$RDI_DATADIR/xsim/ip/duc_ddc_compiler_v3_0_15 +dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13 +blk_mem_gen_v8_4_4=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_4 +interlaken_v2_4_4=$RDI_DATADIR/xsim/ip/interlaken_v2_4_4 +mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0 +pcie_dma_versal_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_dma_versal_v1_0_0 +pcie_axi4lite_tap_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_0 +rs_encoder_v9_0_16=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_16 +axi_firewall_v1_0_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_0_8 +g709_fec_v2_4_2=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_2 +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13 +v_hscaler_v1_0_14=$RDI_DATADIR/xsim/ip/v_hscaler_v1_0_14 +hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3 +hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0 +usxgmii_v1_1_1=$RDI_DATADIR/xsim/ip/usxgmii_v1_1_1 +mem_tg_v1_0_1=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_1 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_crossbar_v2_1_21=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_21 +lib_fifo_v1_0_14=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_14 +proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13 +axi_pcie_v2_9_2=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_2 +v_osd_v6_0_16=$RDI_DATADIR/xsim/ip/v_osd_v6_0_16 +pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12 +axi_vdma_v6_3_8=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_8 +xdma_v4_1_4=$RDI_DATADIR/xsim/ip/xdma_v4_1_4 +xfft_v7_2_10=$RDI_DATADIR/xsim/ip/xfft_v7_2_10 +icap_arb_v1_0_0=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_0 +vid_phy_controller_v2_1_6=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_6 +zynq_ultra_ps_e_v3_2_4=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_2_4 +tri_mode_ethernet_mac_v9_0_15=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_15 +axi_memory_init_v1_0_1=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_1 +audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0 +axi_mmu_v2_1_18=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_18 +v_enhance_v8_0_15=$RDI_DATADIR/xsim/ip/v_enhance_v8_0_15 +cic_compiler_v4_0_15=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_15 +xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0 +emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5 +axi_interconnect_v1_7_17=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_17 +axi_cdma_v4_1_20=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_20 +processing_system7_vip_v1_0_8=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_8 +xxv_ethernet_v3_1_0=$RDI_DATADIR/xsim/ip/xxv_ethernet_v3_1_0 +axi_traffic_gen_v3_0_6=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_6 +pr_decoupler_v1_0_8=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_8 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +v_smpte_sdi_v3_0_8=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_8 +g709_rs_encoder_v2_2_7=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_7 +viterbi_v9_1_12=$RDI_DATADIR/xsim/ip/viterbi_v9_1_12 +axi_emc_v3_0_20=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_20 +axi_perf_mon_v5_0_22=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_22 +xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +axis_combiner_v1_1_18=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_18 +lib_bmg_v1_0_13=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_13 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +pr_axi_shutdown_manager_v1_0_1=$RDI_DATADIR/xsim/ip/pr_axi_shutdown_manager_v1_0_1 +axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +lmb_v10_v3_0_10=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_10 +axi_tg_lib=$RDI_DATADIR/xsim/ip/axi_tg_lib +v_letterbox_v1_0_14=$RDI_DATADIR/xsim/ip/v_letterbox_v1_0_14 +xlconstant_v1_1_6=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_6 +hdmi_gt_controller_v1_0_1=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_1 +tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6 +xhmc_v1_0_10=$RDI_DATADIR/xsim/ip/xhmc_v1_0_10 +amm_axi_bridge_v1_0_6=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_6 +ten_gig_eth_pcs_pma_v6_0_16=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_16 +axi_msg_v1_0_6=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_6 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +cmac_usplus_v3_0_0=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_0_0 +v_hdmi_tx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v2_0_0 +dsp_macro_v1_0_0=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_0 +pc_cfr_v6_2_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_2_2 +high_speed_selectio_wiz_v3_3_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_3_1 +lte_3gpp_mimo_encoder_v4_0_15=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_encoder_v4_0_15 +oddr_v1_0_1=$RDI_DATADIR/xsim/ip/oddr_v1_0_1 +etrnic_v1_0_4=$RDI_DATADIR/xsim/ip/etrnic_v1_0_4 +advanced_io_wizard_v1_0_1=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_1 +tcc_encoder_3gpp_v5_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_16 +sim_clk_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_2 +axi_fifo_mm_s_v4_2_2=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_2 +lte_3gpp_channel_estimator_v2_0_17=$RDI_DATADIR/xsim/ip/lte_3gpp_channel_estimator_v2_0_17 +gtwizard_ultrascale_v1_6_10=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_10 +v_scenechange_v1_0_2=$RDI_DATADIR/xsim/ip/v_scenechange_v1_0_2 +gmii_to_rgmii_v4_0_7=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_0_7 +xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2 +v_rgb2ycrcb_v7_1_13=$RDI_DATADIR/xsim/ip/v_rgb2ycrcb_v7_1_13 +axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0 +advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0 +ieee802d3_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_6 +axi_protocol_checker_v2_0_6=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_6 +axi_data_fifo_v2_1_19=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_19 +tmr_voter_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_3 +interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6 +v_frmbuf_wr_v2_1_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_1_3 +v_hdmi_rx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v2_0_0 +ieee802d3_400g_rs_fec_v1_0_8=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v1_0_8 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +rld3_pl_v1_0_1=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_1 +v_demosaic_v1_0_6=$RDI_DATADIR/xsim/ip/v_demosaic_v1_0_6 +ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0 +v_tpg_v7_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v7_0_14 +lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0 +axi_protocol_converter_v2_1_20=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_20 +blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6 +fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6 +rama_v1_1_3_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_3_lib +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +ernic_v1_0_2=$RDI_DATADIR/xsim/ip/ernic_v1_0_2 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +pc_cfr_v6_3_1=$RDI_DATADIR/xsim/ip/pc_cfr_v6_3_1 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2 +axi_register_slice_v2_1_20=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_20 +shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0 +videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5 +audio_clock_recovery_v1_0=$RDI_DATADIR/xsim/ip/audio_clock_recovery_v1_0 +lte_fft_v2_0_19=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_19 +i2s_receiver_v1_0_3=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_3 +v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0 +sid_v8_0_15=$RDI_DATADIR/xsim/ip/sid_v8_0_15 +axis_data_fifo_v2_0_2=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_2 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0 +microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4 +axi_pcie3_v3_0_10=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_10 +hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3 +axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16 +xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6 +v_axi4s_remap_v1_0_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_12 +jesd204c_v4_2_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_0 +axi_sideband_util_v1_0_4=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_4 +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +mult_gen_v12_0_16=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_16 +v_tpg_v8_0_2=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_2 +ats_switch_v1_0_3=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_3 +v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9 +axis_clock_converter_v1_1_21=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_21 +can_v5_0_23=$RDI_DATADIR/xsim/ip/can_v5_0_23 +mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4 +axis_broadcaster_v1_1_19=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_19 +v_tc_v6_2_0=$RDI_DATADIR/xsim/ip/v_tc_v6_2_0 +g975_efec_i4_v1_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_18 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +v_mix_v4_0_1=$RDI_DATADIR/xsim/ip/v_mix_v4_0_1 +clk_gen_sim_v1_0_0=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_0 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +roe_framer_v2_1_0=$RDI_DATADIR/xsim/ip/roe_framer_v2_1_0 +microblaze_v10_0_7=$RDI_DATADIR/xsim/ip/microblaze_v10_0_7 +axi_intc_v4_1_14=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_14 +emb_mem_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_2 +tmr_comparator_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_3 +v_gamma_lut_v1_0_6=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_0_6 +dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16 +mpegtsmux_v1_0_0=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_0_0 +g975_efec_i7_v2_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_18 +uhdsdi_gt_v2_0_1=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_1 +axi_gpio_v2_0_22=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_22 +axi_quad_spi_v3_2_19=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_19 +v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0 +c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14 +v_deinterlacer_v4_0_12=$RDI_DATADIR/xsim/ip/v_deinterlacer_v4_0_12 +sem_v4_1_12=$RDI_DATADIR/xsim/ip/sem_v4_1_12 +ten_gig_eth_mac_v15_1_7=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_7 +spdif_v2_0_22=$RDI_DATADIR/xsim/ip/spdif_v2_0_22 +v_multi_scaler_v1_0_2=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_0_2 +v_ccm_v6_0_15=$RDI_DATADIR/xsim/ip/v_ccm_v6_0_15 +axi_timer_v2_0_22=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_22 +quadsgmii_v3_4_7=$RDI_DATADIR/xsim/ip/quadsgmii_v3_4_7 +versal_cips_v1_0_0=$RDI_DATADIR/xsim/ip/versal_cips_v1_0_0 +zynq_ultra_ps_e_v3_3_1=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_1 +tcc_decoder_3gppmm_v2_0_19=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_19 +c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6 +axi_mcdma_v1_0_6=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_0_6 +axi_clock_converter_v2_1_19=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_19 +timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4 +ieee802d3_200g_rs_fec_v1_0_8=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v1_0_8 +gtwizard_ultrascale_v1_7_7=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_7 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +bs_switch_v1_0_0=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_0 +div_gen_v5_1_16=$RDI_DATADIR/xsim/ip/div_gen_v5_1_16 +axi_ethernetlite_v3_0_18=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_18 +pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0 +axi4stream_vip_v1_1_6=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_6 +floating_point_v7_0_17=$RDI_DATADIR/xsim/ip/floating_point_v7_0_17 +high_speed_selectio_wiz_v3_5_2=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_5_2 +xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6 +srio_gen2_v4_1_7=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_7 +ieee802d3_clause74_fec_v1_0_5=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_5 +v_gamma_v7_0_15=$RDI_DATADIR/xsim/ip/v_gamma_v7_0_15 +high_speed_selectio_wiz_v3_2_3=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_2_3 +dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1 +ethernet_1_10_25g_v2_4_0=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_4_0 +in_system_ibert_v1_0_10=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_10 +ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0 +trace_s2mm_v1_0_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v1_0_0 +mdm_v3_2_17=$RDI_DATADIR/xsim/ip/mdm_v3_2_17 +dft_v4_1_1=$RDI_DATADIR/xsim/ip/dft_v4_1_1 +axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0 +axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0 +rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0 +axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6 +v_smpte_uhdsdi_rx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_0 +axi_traffic_gen_v2_0_21=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v2_0_21 +stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +uhdsdi_gt_v1_0_3=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v1_0_3 +pc_cfr_v6_1_4=$RDI_DATADIR/xsim/ip/pc_cfr_v6_1_4 +cordic_v6_0_16=$RDI_DATADIR/xsim/ip/cordic_v6_0_16 +ieee802d3_50g_rs_fec_v2_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_2 +axi_ahblite_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_17 +axi_mcdma_v1_1_1=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_1 +ieee802d3_50g_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_12 +axi_amm_bridge_v1_0_10=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_10 +xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6 +xbip_multadd_v3_0_15=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_15 +axi_timebase_wdt_v3_0_12=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_12 +v_mix_v3_0_4=$RDI_DATADIR/xsim/ip/v_mix_v3_0_4 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +tmr_sem_v1_0_10=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_10 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +sem_ultra_v3_1_12=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_12 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +axi_ethernet_buffer_v2_0_21=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_21 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +vfb_v1_0_14=$RDI_DATADIR/xsim/ip/vfb_v1_0_14 +xlconcat_v2_1_3=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_3 +v_ycrcb2rgb_v7_1_13=$RDI_DATADIR/xsim/ip/v_ycrcb2rgb_v7_1_13 +emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2 +lte_rach_detector_v3_1_6=$RDI_DATADIR/xsim/ip/lte_rach_detector_v3_1_6 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +lte_ul_channel_decoder_v4_0_16=$RDI_DATADIR/xsim/ip/lte_ul_channel_decoder_v4_0_16 +soft_ecc_proxy_v1_0_0=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_0 +ieee802d3_rs_fec_v1_0_16=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v1_0_16 +pr_bitstream_monitor_v1_0_1=$RDI_DATADIR/xsim/ip/pr_bitstream_monitor_v1_0_1 +sync_ip=$RDI_DATADIR/xsim/ip/sync_ip +v_vscaler_v1_0_14=$RDI_DATADIR/xsim/ip/v_vscaler_v1_0_14 +c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6 +ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7 +c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6 +v_hcresampler_v1_0_14=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_0_14 +axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0 +lut_buffer_v1_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v1_0_0 +axi_uart16550_v2_0_22=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_22 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +g709_fec_v2_3_6=$RDI_DATADIR/xsim/ip/g709_fec_v2_3_6 +av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1 +fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4 +v_vcresampler_v1_0_14=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_0_14 +v_uhdsdi_audio_v1_1_0=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_1_0 +microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6 +axi_iic_v2_0_23=$RDI_DATADIR/xsim/ip/axi_iic_v2_0_23 +axis_protocol_checker_v2_0_4=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_4 +v_uhdsdi_audio_v2_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_1 +xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6 +axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0 +c_counter_binary_v12_0_14=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_14 +xpm=$RDI_DATADIR/xsim/ip/xpm +axi_chip2chip_v5_0_7=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_7 +displayport_v7_0_12=$RDI_DATADIR/xsim/ip/displayport_v7_0_12 +switch_core_top_v1_0_8=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_8 +displayport_v8_1_2=$RDI_DATADIR/xsim/ip/displayport_v8_1_2 +xfft_v9_0_18=$RDI_DATADIR/xsim/ip/xfft_v9_0_18 +lte_3gpp_mimo_decoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_decoder_v3_0_16 +noc_na_v1_0_0=$RDI_DATADIR/xsim/ip/noc_na_v1_0_0 +mrmac_v1_1_0=$RDI_DATADIR/xsim/ip/mrmac_v1_1_0 +axi_datamover_v5_1_22=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_22 +xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10 +tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16 +rs_decoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_17 +jesd204_v7_2_7=$RDI_DATADIR/xsim/ip/jesd204_v7_2_7 +dds_compiler_v6_0_19=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_19 +generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0 +fc32_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_12 +fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1 +axi_epc_v2_0_23=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_23 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +video_frame_crc_v1_0_2=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_2 +axi_apb_bridge_v3_0_16=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_16 +axi_usb2_device_v5_0_21=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_21 +axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0 +multi_channel_25g_rs_fec_v1_0_6=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_6 +util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4 +sim_trig_top_v1_0=$RDI_DATADIR/xsim/ip/sim_trig_top_v1_0 +v_smpte_uhdsdi_tx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_0 +xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6 +lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2 +util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2 +v_csc_v1_0_14=$RDI_DATADIR/xsim/ip/v_csc_v1_0_14 +axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0 +gig_ethernet_pcs_pma_v16_1_7=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_1_7 +mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8 +audio_formatter_v1_0_2=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_2 +xsdbm_v2_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v2_0_0 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +tsn_endpoint_ethernet_mac_block_v1_0_5=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_5 +mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini.bak b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..6ddb512 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,445 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +system_cache_v4_0_6=$RDI_DATADIR/xsim/ip/system_cache_v4_0_6 +bsip_v1_1_0=$RDI_DATADIR/xsim/ip/bsip_v1_1_0 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axis_interconnect_v1_1_18=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_18 +floating_point_v7_1_9=$RDI_DATADIR/xsim/ip/floating_point_v7_1_9 +prc_v1_3_3=$RDI_DATADIR/xsim/ip/prc_v1_3_3 +axi_hbicap_v1_0_0=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_0 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +fir_compiler_v7_2_13=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_13 +axi_fifo_mm_s_v4_1_17=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_1_17 +rs_toolbox_v9_0_8=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_8 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +v_cfa_v7_0_14=$RDI_DATADIR/xsim/ip/v_cfa_v7_0_14 +axi4svideo_bridge_v1_0_10=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_10 +axi_sg_v4_1_13=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_13 +perf_axi_tg_v1_0_9=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_9 +pci64_v5_0_11=$RDI_DATADIR/xsim/ip/pci64_v5_0_11 +xbip_accum_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_6 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +fifo_generator_v13_2_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_5 +lte_dl_channel_encoder_v4_0_1=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v4_0_1 +cmpy_v6_0_18=$RDI_DATADIR/xsim/ip/cmpy_v6_0_18 +qdma_v3_0_3=$RDI_DATADIR/xsim/ip/qdma_v3_0_3 +v_cresample_v4_0_14=$RDI_DATADIR/xsim/ip/v_cresample_v4_0_14 +v_dual_splitter_v1_0_9=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_9 +hdcp_keymngmt_blk_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_0 +convolution_v9_0_15=$RDI_DATADIR/xsim/ip/convolution_v9_0_15 +xfft_v9_1_3=$RDI_DATADIR/xsim/ip/xfft_v9_1_3 +noc_mc_ddr4_phy_v1_0_0=$RDI_DATADIR/xsim/ip/noc_mc_ddr4_phy_v1_0_0 +axi_vfifo_ctrl_v2_0_22=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_22 +axi_bram_ctrl_v4_1_2=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_2 +ldpc_v2_0_4=$RDI_DATADIR/xsim/ip/ldpc_v2_0_4 +sim_rst_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_rst_gen_v1_0_2 +lte_dl_channel_encoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_dl_channel_encoder_v3_0_16 +mipi_dphy_v4_1_5=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_1_5 +ba317=$RDI_DATADIR/xsim/ip/ba317 +xbip_dsp48_wrapper_v3_0_4=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_4 +qdriv_pl_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_0 +axi_bram_ctrl_v4_0_14=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_14 +polar_v1_0_4=$RDI_DATADIR/xsim/ip/polar_v1_0_4 +vid_edid_v1_0_0=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_0 +axis_dwidth_converter_v1_1_19=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_19 +ahblite_axi_bridge_v3_0_15=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_15 +xbip_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_6 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +util_vector_logic_v2_0_1=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_1 +cmac_v2_5_2=$RDI_DATADIR/xsim/ip/cmac_v2_5_2 +sd_fec_v1_0_2=$RDI_DATADIR/xsim/ip/sd_fec_v1_0_2 +axis_register_slice_v1_1_20=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_20 +sd_fec_v1_1_4=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_4 +canfd_v2_0_2=$RDI_DATADIR/xsim/ip/canfd_v2_0_2 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +qdriv_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_0 +flexo_100g_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_12 +v_uhdsdi_audio_v1_0_0=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_0_0 +etrnic_v1_1_3=$RDI_DATADIR/xsim/ip/etrnic_v1_1_3 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +mrmac_v1_0_1=$RDI_DATADIR/xsim/ip/mrmac_v1_0_1 +system_cache_v5_0_0=$RDI_DATADIR/xsim/ip/system_cache_v5_0_0 +v_dp_axi4s_vid_out_v1_0_0=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_0 +v_axi4s_vid_out_v4_0_10=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_10 +ta_dma_v1_0_4=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_4 +axis_mu_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_0 +axi_master_burst_v2_0_7=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_7 +rst_vip_v1_0_3=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_3 +ieee802d3_25g_rs_fec_v1_0_14=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_14 +mailbox_v2_1_12=$RDI_DATADIR/xsim/ip/mailbox_v2_1_12 +v_deinterlacer_v5_0_14=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_0_14 +v_tc_v6_1_13=$RDI_DATADIR/xsim/ip/v_tc_v6_1_13 +xbip_dsp48_acc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_6 +cpri_v8_11_0=$RDI_DATADIR/xsim/ip/cpri_v8_11_0 +c_accum_v12_0_14=$RDI_DATADIR/xsim/ip/c_accum_v12_0_14 +microblaze_v11_0_2=$RDI_DATADIR/xsim/ip/microblaze_v11_0_2 +uram_rd_back_v1_0_0=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_0 +clk_vip_v1_0_2=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_2 +hdcp22_rng_v1_0_1=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_1 +tmr_inject_v1_0_4=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_4 +picxo=$RDI_DATADIR/xsim/ip/picxo +axis_subset_converter_v1_1_20=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_20 +nvmeha_v1_0_1=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_1 +bs_mux_v1_0_0=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_0 +axi_uartlite_v2_0_24=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_24 +l_ethernet_v3_0_0=$RDI_DATADIR/xsim/ip/l_ethernet_v3_0_0 +lte_fft_v2_1_1=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_1 +axis_vio_v1_0_0=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_0 +noc_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_0 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +xbip_dsp48_macro_v3_0_17=$RDI_DATADIR/xsim/ip/xbip_dsp48_macro_v3_0_17 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +i2s_transmitter_v1_0_3=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_3 +iomodule_v3_1_5=$RDI_DATADIR/xsim/ip/iomodule_v3_1_5 +axi_hwicap_v3_0_24=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_24 +pc_cfr_v6_0_8=$RDI_DATADIR/xsim/ip/pc_cfr_v6_0_8 +axi_dma_v7_1_21=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_21 +axis_data_fifo_v1_1_21=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_21 +axis_switch_v1_1_20=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_20 +c_reg_fd_v12_0_6=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_6 +fir_compiler_v5_2_6=$RDI_DATADIR/xsim/ip/fir_compiler_v5_2_6 +compact_gt_v1_0_6=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_6 +tsn_temac_v1_0_5=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_5 +axi_dwidth_converter_v2_1_20=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_20 +lmb_bram_if_cntlr_v4_0_17=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_17 +v_frmbuf_rd_v2_1_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_1_3 +v_vid_sdi_tx_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_0 +v_hdmi_tx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_0 +vid_phy_controller_v2_2_4=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_4 +lib_pkg_v1_0_2=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_2 +mutex_v2_1_11=$RDI_DATADIR/xsim/ip/mutex_v2_1_11 +high_speed_selectio_wiz_v3_4_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_4_1 +xbip_dsp48_addsub_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_6 +fec_5g_common_v1_0_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_0_1 +g709_rs_decoder_v2_2_9=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_9 +zynq_ultra_ps_e_vip_v1_0_6=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_6 +axi_mm2s_mapper_v1_1_19=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_19 +axi_vip_v1_1_6=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_6 +c_addsub_v12_0_14=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_14 +v_smpte_uhdsdi_v1_0_7=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_7 +ddr4_pl_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_0 +xbip_dsp48_multacc_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multacc_v3_0_6 +fit_timer_v2_0_10=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_10 +tmr_manager_v1_0_5=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_5 +displayport_v9_0_2=$RDI_DATADIR/xsim/ip/displayport_v9_0_2 +lte_pucch_receiver_v2_0_17=$RDI_DATADIR/xsim/ip/lte_pucch_receiver_v2_0_17 +axi_tft_v2_0_23=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_23 +axis_dbg_sync_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_0 +axis_mem_v1_0_0=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_0 +noc_nmu_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_v1_0_0 +duc_ddc_compiler_v3_0_15=$RDI_DATADIR/xsim/ip/duc_ddc_compiler_v3_0_15 +dist_mem_gen_v8_0_13=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_13 +blk_mem_gen_v8_4_4=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_4 +interlaken_v2_4_4=$RDI_DATADIR/xsim/ip/interlaken_v2_4_4 +mammoth_transcode_v1_0_0=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_0 +pcie_dma_versal_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_dma_versal_v1_0_0 +pcie_axi4lite_tap_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_0 +rs_encoder_v9_0_16=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_16 +axi_firewall_v1_0_8=$RDI_DATADIR/xsim/ip/axi_firewall_v1_0_8 +g709_fec_v2_4_2=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_2 +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +ecc_v2_0_13=$RDI_DATADIR/xsim/ip/ecc_v2_0_13 +v_hscaler_v1_0_14=$RDI_DATADIR/xsim/ip/v_hscaler_v1_0_14 +hdcp_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp_v1_0_3 +hdcp22_cipher_dp_v1_0_0=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_0 +usxgmii_v1_1_1=$RDI_DATADIR/xsim/ip/usxgmii_v1_1_1 +mem_tg_v1_0_1=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_1 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_crossbar_v2_1_21=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_21 +lib_fifo_v1_0_14=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_14 +proc_sys_reset_v5_0_13=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_13 +axi_pcie_v2_9_2=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_2 +v_osd_v6_0_16=$RDI_DATADIR/xsim/ip/v_osd_v6_0_16 +pci32_v5_0_12=$RDI_DATADIR/xsim/ip/pci32_v5_0_12 +axi_vdma_v6_3_8=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_8 +xdma_v4_1_4=$RDI_DATADIR/xsim/ip/xdma_v4_1_4 +xfft_v7_2_10=$RDI_DATADIR/xsim/ip/xfft_v7_2_10 +icap_arb_v1_0_0=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_0 +vid_phy_controller_v2_1_6=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_1_6 +zynq_ultra_ps_e_v3_2_4=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_2_4 +tri_mode_ethernet_mac_v9_0_15=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_15 +axi_memory_init_v1_0_1=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_1 +audio_tpg_v1_0_0=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_0 +axi_mmu_v2_1_18=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_18 +v_enhance_v8_0_15=$RDI_DATADIR/xsim/ip/v_enhance_v8_0_15 +cic_compiler_v4_0_15=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_15 +xsdbm_v3_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_0 +emc_common_v3_0_5=$RDI_DATADIR/xsim/ip/emc_common_v3_0_5 +axi_interconnect_v1_7_17=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_17 +axi_cdma_v4_1_20=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_20 +processing_system7_vip_v1_0_8=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_8 +xxv_ethernet_v3_1_0=$RDI_DATADIR/xsim/ip/xxv_ethernet_v3_1_0 +axi_traffic_gen_v3_0_6=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_6 +pr_decoupler_v1_0_8=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_8 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +v_smpte_sdi_v3_0_8=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_8 +g709_rs_encoder_v2_2_7=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_7 +viterbi_v9_1_12=$RDI_DATADIR/xsim/ip/viterbi_v9_1_12 +axi_emc_v3_0_20=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_20 +axi_perf_mon_v5_0_22=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_22 +xlslice_v1_0_2=$RDI_DATADIR/xsim/ip/xlslice_v1_0_2 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +axis_combiner_v1_1_18=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_18 +lib_bmg_v1_0_13=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_13 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +pr_axi_shutdown_manager_v1_0_1=$RDI_DATADIR/xsim/ip/pr_axi_shutdown_manager_v1_0_1 +axi_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_0 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +lmb_v10_v3_0_10=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_10 +axi_tg_lib=$RDI_DATADIR/xsim/ip/axi_tg_lib +v_letterbox_v1_0_14=$RDI_DATADIR/xsim/ip/v_letterbox_v1_0_14 +xlconstant_v1_1_6=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_6 +hdmi_gt_controller_v1_0_1=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_1 +tcc_decoder_3gpplte_v3_0_6=$RDI_DATADIR/xsim/ip/tcc_decoder_3gpplte_v3_0_6 +xhmc_v1_0_10=$RDI_DATADIR/xsim/ip/xhmc_v1_0_10 +amm_axi_bridge_v1_0_6=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_6 +ten_gig_eth_pcs_pma_v6_0_16=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_16 +axi_msg_v1_0_6=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_6 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +v_uhdsdi_vidgen_v1_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_1 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +cmac_usplus_v3_0_0=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_0_0 +v_hdmi_tx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v2_0_0 +dsp_macro_v1_0_0=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_0 +pc_cfr_v6_2_2=$RDI_DATADIR/xsim/ip/pc_cfr_v6_2_2 +high_speed_selectio_wiz_v3_3_1=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_3_1 +lte_3gpp_mimo_encoder_v4_0_15=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_encoder_v4_0_15 +oddr_v1_0_1=$RDI_DATADIR/xsim/ip/oddr_v1_0_1 +etrnic_v1_0_4=$RDI_DATADIR/xsim/ip/etrnic_v1_0_4 +advanced_io_wizard_v1_0_1=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_1 +tcc_encoder_3gpp_v5_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_16 +sim_clk_gen_v1_0_2=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_2 +axi_fifo_mm_s_v4_2_2=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_2_2 +lte_3gpp_channel_estimator_v2_0_17=$RDI_DATADIR/xsim/ip/lte_3gpp_channel_estimator_v2_0_17 +gtwizard_ultrascale_v1_6_10=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_10 +v_scenechange_v1_0_2=$RDI_DATADIR/xsim/ip/v_scenechange_v1_0_2 +gmii_to_rgmii_v4_0_7=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_0_7 +xsdbs_v1_0_2=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_2 +v_rgb2ycrcb_v7_1_13=$RDI_DATADIR/xsim/ip/v_rgb2ycrcb_v7_1_13 +axi_pmon_v1_0_0=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_0 +advanced_io_wizard_phy_v1_0_0=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_0 +ieee802d3_rs_fec_v2_0_6=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_6 +axi_protocol_checker_v2_0_6=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_6 +axi_data_fifo_v2_1_19=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_19 +tmr_voter_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_3 +interrupt_control_v3_1_4=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +c_mux_bus_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_6 +v_frmbuf_wr_v2_1_3=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_1_3 +v_hdmi_rx_v2_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v2_0_0 +ieee802d3_400g_rs_fec_v1_0_8=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v1_0_8 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +rld3_pl_v1_0_1=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_1 +v_demosaic_v1_0_6=$RDI_DATADIR/xsim/ip/v_demosaic_v1_0_6 +ltlib_v1_0_0=$RDI_DATADIR/xsim/ip/ltlib_v1_0_0 +v_tpg_v7_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v7_0_14 +lut_buffer_v2_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_0 +axi_protocol_converter_v2_1_20=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_20 +blk_mem_gen_v8_3_6=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_6 +fifo_generator_v13_0_6=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_6 +rama_v1_1_3_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_3_lib +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +ernic_v1_0_2=$RDI_DATADIR/xsim/ip/ernic_v1_0_2 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +pc_cfr_v6_3_1=$RDI_DATADIR/xsim/ip/pc_cfr_v6_3_1 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +lib_cdc_v1_0_2=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_2 +axi_register_slice_v2_1_20=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_20 +shell_utils_msp432_bsl_crc_gen_v1_0_0=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_0 +videoaxi4s_bridge_v1_0_5=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_5 +audio_clock_recovery_v1_0=$RDI_DATADIR/xsim/ip/audio_clock_recovery_v1_0 +lte_fft_v2_0_19=$RDI_DATADIR/xsim/ip/lte_fft_v2_0_19 +i2s_receiver_v1_0_3=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_3 +v_sdi_rx_vid_bridge_v2_0_0=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_0 +sid_v8_0_15=$RDI_DATADIR/xsim/ip/sid_v8_0_15 +axis_data_fifo_v2_0_2=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_2 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axis_cap_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_0 +microblaze_v9_5_4=$RDI_DATADIR/xsim/ip/microblaze_v9_5_4 +axi_pcie3_v3_0_10=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_10 +hdcp22_cipher_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_3 +axis_accelerator_adapter_v2_1_16=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_16 +xbip_counter_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_6 +v_axi4s_remap_v1_0_12=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_0_12 +jesd204c_v4_2_0=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_0 +axi_sideband_util_v1_0_4=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_4 +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +mult_gen_v12_0_16=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_16 +v_tpg_v8_0_2=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_2 +ats_switch_v1_0_3=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_3 +v_vid_in_axi4s_v4_0_9=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_9 +axis_clock_converter_v1_1_21=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_21 +can_v5_0_23=$RDI_DATADIR/xsim/ip/can_v5_0_23 +mipi_csi2_tx_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_4 +axis_broadcaster_v1_1_19=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_19 +v_tc_v6_2_0=$RDI_DATADIR/xsim/ip/v_tc_v6_2_0 +g975_efec_i4_v1_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_18 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +v_mix_v4_0_1=$RDI_DATADIR/xsim/ip/v_mix_v4_0_1 +clk_gen_sim_v1_0_0=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_0 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +roe_framer_v2_1_0=$RDI_DATADIR/xsim/ip/roe_framer_v2_1_0 +microblaze_v10_0_7=$RDI_DATADIR/xsim/ip/microblaze_v10_0_7 +axi_intc_v4_1_14=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_14 +emb_mem_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_2 +tmr_comparator_v1_0_3=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_3 +v_gamma_lut_v1_0_6=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_0_6 +dft_v4_0_16=$RDI_DATADIR/xsim/ip/dft_v4_0_16 +mpegtsmux_v1_0_0=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_0_0 +g975_efec_i7_v2_0_18=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_18 +uhdsdi_gt_v2_0_1=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_0_1 +axi_gpio_v2_0_22=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_22 +axi_quad_spi_v3_2_19=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_19 +v_hdmi_rx_v3_0_0=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_0 +c_shift_ram_v12_0_14=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_14 +v_deinterlacer_v4_0_12=$RDI_DATADIR/xsim/ip/v_deinterlacer_v4_0_12 +sem_v4_1_12=$RDI_DATADIR/xsim/ip/sem_v4_1_12 +ten_gig_eth_mac_v15_1_7=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_7 +spdif_v2_0_22=$RDI_DATADIR/xsim/ip/spdif_v2_0_22 +v_multi_scaler_v1_0_2=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_0_2 +v_ccm_v6_0_15=$RDI_DATADIR/xsim/ip/v_ccm_v6_0_15 +axi_timer_v2_0_22=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_22 +quadsgmii_v3_4_7=$RDI_DATADIR/xsim/ip/quadsgmii_v3_4_7 +versal_cips_v1_0_0=$RDI_DATADIR/xsim/ip/versal_cips_v1_0_0 +zynq_ultra_ps_e_v3_3_1=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_1 +tcc_decoder_3gppmm_v2_0_19=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_19 +c_mux_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_6 +axi_mcdma_v1_0_6=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_0_6 +axi_clock_converter_v2_1_19=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_19 +timer_sync_1588_v1_2_4=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_4 +ieee802d3_200g_rs_fec_v1_0_8=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v1_0_8 +gtwizard_ultrascale_v1_7_7=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_7 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +bs_switch_v1_0_0=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_0 +div_gen_v5_1_16=$RDI_DATADIR/xsim/ip/div_gen_v5_1_16 +axi_ethernetlite_v3_0_18=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_18 +pcie_jtag_v1_0_0=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_0 +axi4stream_vip_v1_1_6=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_6 +floating_point_v7_0_17=$RDI_DATADIR/xsim/ip/floating_point_v7_0_17 +high_speed_selectio_wiz_v3_5_2=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_5_2 +xbip_bram18k_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_6 +srio_gen2_v4_1_7=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_7 +ieee802d3_clause74_fec_v1_0_5=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_5 +v_gamma_v7_0_15=$RDI_DATADIR/xsim/ip/v_gamma_v7_0_15 +high_speed_selectio_wiz_v3_2_3=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_2_3 +dp_videoaxi4s_bridge_v1_0_1=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_1 +ethernet_1_10_25g_v2_4_0=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_4_0 +in_system_ibert_v1_0_10=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_10 +ddr4_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_0 +trace_s2mm_v1_0_0=$RDI_DATADIR/xsim/ip/trace_s2mm_v1_0_0 +mdm_v3_2_17=$RDI_DATADIR/xsim/ip/mdm_v3_2_17 +dft_v4_1_1=$RDI_DATADIR/xsim/ip/dft_v4_1_1 +axis_ila_pp_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_0 +axis_ila_intf_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_0 +rld3_pl_phy_v1_0_0=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_0 +axi_utils_v2_0_6=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_6 +v_smpte_uhdsdi_rx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_0 +axi_traffic_gen_v2_0_21=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v2_0_21 +stm_v1_0_0=$RDI_DATADIR/xsim/ip/stm_v1_0_0 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +uhdsdi_gt_v1_0_3=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v1_0_3 +pc_cfr_v6_1_4=$RDI_DATADIR/xsim/ip/pc_cfr_v6_1_4 +cordic_v6_0_16=$RDI_DATADIR/xsim/ip/cordic_v6_0_16 +ieee802d3_50g_rs_fec_v2_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_2 +axi_ahblite_bridge_v3_0_17=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_17 +axi_mcdma_v1_1_1=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_1 +ieee802d3_50g_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_12 +axi_amm_bridge_v1_0_10=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_10 +xbip_pipe_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_6 +xbip_multadd_v3_0_15=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_15 +axi_timebase_wdt_v3_0_12=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_12 +v_mix_v3_0_4=$RDI_DATADIR/xsim/ip/v_mix_v3_0_4 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +tmr_sem_v1_0_10=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_10 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +mipi_dsi_tx_ctrl_v1_0_7=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_7 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +sem_ultra_v3_1_12=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_12 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +axi_ethernet_buffer_v2_0_21=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_21 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +vfb_v1_0_14=$RDI_DATADIR/xsim/ip/vfb_v1_0_14 +xlconcat_v2_1_3=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_3 +v_ycrcb2rgb_v7_1_13=$RDI_DATADIR/xsim/ip/v_ycrcb2rgb_v7_1_13 +emb_fifo_gen_v1_0_2=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_2 +lte_rach_detector_v3_1_6=$RDI_DATADIR/xsim/ip/lte_rach_detector_v3_1_6 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +lte_ul_channel_decoder_v4_0_16=$RDI_DATADIR/xsim/ip/lte_ul_channel_decoder_v4_0_16 +soft_ecc_proxy_v1_0_0=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_0_0 +ieee802d3_rs_fec_v1_0_16=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v1_0_16 +pr_bitstream_monitor_v1_0_1=$RDI_DATADIR/xsim/ip/pr_bitstream_monitor_v1_0_1 +sync_ip=$RDI_DATADIR/xsim/ip/sync_ip +v_vscaler_v1_0_14=$RDI_DATADIR/xsim/ip/v_vscaler_v1_0_14 +c_compare_v12_0_6=$RDI_DATADIR/xsim/ip/c_compare_v12_0_6 +ibert_lib_v1_0_7=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_7 +c_gate_bit_v12_0_6=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_6 +v_hcresampler_v1_0_14=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_0_14 +axis_itct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_0 +lut_buffer_v1_0_0=$RDI_DATADIR/xsim/ip/lut_buffer_v1_0_0 +axi_uart16550_v2_0_22=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_22 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +g709_fec_v2_3_6=$RDI_DATADIR/xsim/ip/g709_fec_v2_3_6 +av_pat_gen_v1_0_1=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_1 +fifo_generator_v13_1_4=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_4 +v_vcresampler_v1_0_14=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_0_14 +v_uhdsdi_audio_v1_1_0=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v1_1_0 +microblaze_mcs_v2_3_6=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_6 +axi_iic_v2_0_23=$RDI_DATADIR/xsim/ip/axi_iic_v2_0_23 +axis_protocol_checker_v2_0_4=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_4 +v_uhdsdi_audio_v2_0_1=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_1 +xbip_dsp48_mult_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_6 +axis_dbg_stub_v1_0_0=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_0 +c_counter_binary_v12_0_14=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_14 +xpm=$RDI_DATADIR/xsim/ip/xpm +axi_chip2chip_v5_0_7=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_7 +displayport_v7_0_12=$RDI_DATADIR/xsim/ip/displayport_v7_0_12 +switch_core_top_v1_0_8=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_8 +displayport_v8_1_2=$RDI_DATADIR/xsim/ip/displayport_v8_1_2 +xfft_v9_0_18=$RDI_DATADIR/xsim/ip/xfft_v9_0_18 +lte_3gpp_mimo_decoder_v3_0_16=$RDI_DATADIR/xsim/ip/lte_3gpp_mimo_decoder_v3_0_16 +noc_na_v1_0_0=$RDI_DATADIR/xsim/ip/noc_na_v1_0_0 +mrmac_v1_1_0=$RDI_DATADIR/xsim/ip/mrmac_v1_1_0 +axi_datamover_v5_1_22=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_22 +xbip_utils_v3_0_10=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_10 +tcc_encoder_3gpplte_v4_0_16=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_16 +rs_decoder_v9_0_17=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_17 +jesd204_v7_2_7=$RDI_DATADIR/xsim/ip/jesd204_v7_2_7 +dds_compiler_v6_0_19=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_19 +generic_baseblocks_v2_1_0=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_0 +fc32_rs_fec_v1_0_12=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_12 +fec_5g_common_v1_1_1=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_1 +axi_epc_v2_0_23=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_23 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +video_frame_crc_v1_0_2=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_2 +axi_apb_bridge_v3_0_16=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_16 +axi_usb2_device_v5_0_21=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_21 +axis_ila_ct_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_0 +multi_channel_25g_rs_fec_v1_0_6=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_6 +util_reduced_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_4 +sim_trig_top_v1_0=$RDI_DATADIR/xsim/ip/sim_trig_top_v1_0 +v_smpte_uhdsdi_tx_v1_0_0=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_0 +xbip_dsp48_multadd_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_6 +lib_srl_fifo_v1_0_2=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_2 +util_idelay_ctrl_v1_0_2=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_2 +v_csc_v1_0_14=$RDI_DATADIR/xsim/ip/v_csc_v1_0_14 +axis_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_0 +gig_ethernet_pcs_pma_v16_1_7=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_1_7 +mipi_csi2_rx_ctrl_v1_0_8=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_8 +audio_formatter_v1_0_2=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_2 +xsdbm_v2_0_0=$RDI_DATADIR/xsim/ip/xsdbm_v2_0_0 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +tsn_endpoint_ethernet_mac_block_v1_0_5=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_5 +mem_pl_v1_0_0=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log new file mode 100644 index 0000000..370669e --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log @@ -0,0 +1,10 @@ +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/data_ram/sim/data_ram.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module data_ram +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module inst_rom +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkdiv_clk_wiz +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkdiv +INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module MiniMIPS32_SYS diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.pb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000000000000000000000000000000000000..1944750e86fc46b983f4f4cf6f2a9d6008539844 GIT binary patch literal 1822 zcmds&&r1S96vx%ljR{2PW*rv64na=48$sSA3OWdqB>kXyFLry6P=Db?Z-V z%GQ)TY_Jk_n)jHQ_rCALn>XksgLZ44?T#nlb@Z~-+u$<2RF)+jJfG_>JP2Ei)lMN> zTsMxb!@bRsg#M5TXahC3k=9bC%R8p#u(lnzel;@85Ye+L?tsr2t_j*z2K58MNXSJG zpENEB<$~clcu+rYG%a(^tVls6mOK!YNn&6aV8`}tBJ4g^`DadLxFm52PeH1N z?`Li_<6z%3kF0KLkR4@T)2TuRU7;;|2BXiNK(Y9!e9bb9#lm;cMx3I>K$ftGETRo2*eEC}C?jC@kYGq+x|>DWoFqzn zje;vWsHo_mPoIpA8bBZ{5_DWZ9YsZrirO)#$hbsB`}aMybl*<8eKVi;fB!eX&(90F zr_NHRPVJ}a*1f$tXT~&(rZII{*x8J*=0qnai92KdEg=~v8_(jHlMQC+ECy0K{*;_k zh7@d*(G;{pmM$y)Z1@{*A&j7tKoIuf7-I^m)Cp30q01?Q0*<|1pb9eP-~@_Cwr1VO zQNTPNP_Q{p(8rIpJ48qTGLPcTmGS1vcnWTkhAF7>M|q>a*)o5#ElyyBI|;CCeh)#C zrEAuwo+1h#Uqcu{XBd2w3J#ZoD&ED2M|S?RSF#kmM5Y(5Tn@?nDJW4kvb?Nd+}M%j zMZ?R>D!j{vFB?B@__(nn+?69n^L&$jl0EOjIXop*jt*dCa|-?_E5x6_bJ?&PTyMYo z7vCGb-rAmabY73|`w?#-{zwPqYwZgTO^cn?iD-lIcRKzu``*-NYR=z}Z~x@h6%TaX zyWO>DZKoqw&+XGt|4rhAlSZG`H@;4USj1~C6nBllc>uIX^qMGiBMLn|ivIJX$p09H zelQAsT@-p&lysknBL7+x`jja2#3=edi6TEOiu~Rv^5;a69~p(dGKwCmLkIraUnfC` zRKG1z=+pos$>&9p_eP<=6@^|Ig}x&Sy+7y<{I$P^MzJ$C3Vk6IM9Np+DDp=k--Y#J zjxBjSX*JF*fP6CR$&w|VGjXfp^j@s=^-_nT5j>OYPh@xWadPt6{La{;fL&Q4dvcJV zQ@^6CNa|^?{|xZDvQBJ6XD5jTukMg1JDu(9oCJxkEQys?I)k!2fN`w4IKK#^STT)W z<5+I)!o`&pxo%H>wI?^1<(|JJ_mbj;Wo}P#^||HwZg;Vp<(5^Hd01`<08^1!eo;|w zDa0zPmoq3W6xvEk%DwJV=B}zPtMHVtlFF*$3dC7hysWs8m3WHF%bD9#T~S!IoK<-} zP)!LJUX@!|dR1;oepxwD3rq8>Sy9;%Fe!bQ#W{%Qsl1AnEG$MmAmz}!xOlO<*uzTP z#l=^FR#fQ)LMnKg)zy{NBtof`6qZ-Ii`nA*^76_;ie8xSA)&&`#Z?ruxVoB^EUhl{ z6tfb_Bg^+xmO+=uhkLoZ5HWc?$mix#j%ArG&M&K=IK?ctxG3L~4{m|mEtnux6tVMW zOrLsg?&uL?MvM)HM}>l+(C86V&z9gn5w=R)%pn5@-M;=#Og}buoy1eh$`WYix=G^RUL-#Fm#R7LFwrT;>dG|Ht%?YkWuhxzf!O0sbhAI@G||oe zgv&%Ho76SSL|0=1@#dQ7D4^h#XQIaksf?AH=$%aTDigi4iN4H4M}-8hH72@w@?UGB zCk3S$TW6xHHbCqRCVCf>{3a9KJbrF5(Yu-Cx0>kW^Qf!AMDMPMfQ=@44-@^MiJoSn zA2QKg-ruF<3W2U@TQd6jO<3m9|N?6>bD@xU2VAri>Q#yzQ@6CuJ& ziPh2^z?CtPaOy%Whd4f(aOhzzjT|3JxRvm&93Mb9b(NM)9PdRqb&-~J9Pdgvb&Zxa z9FHfQvfEO{aSP$p6kIsOIV)Z|;TIQ}u=)YMxX9DkQ^ zYT_-)9Dki~YT7M~<1Z0TO}gd8uOMXXC7haaOEbr}6HZOI@_l+K zHNG{IGw*gV=DlEt>%KgOrZ@no>$+AaVS9eIx@uZA*MuYPbP>;0)2O+8`Y#*M7*k&0 zLWce|FvwJYd_aE>L5*7NychSCl$4A}>Q~F{o8zjP+;lS%Lb{%=4Md~%Zr?o%>AVoR6Gi*UV71AnchCg+qUD3fxIRPPhI zm9800Ic;3&1c(@O+FXUNL-n4ItuEhT*Pf4JUD`{oJoy7z2GhsF3R8< z)H+Z%NB1_YrRwz^-cCJo7j=7*c?&Yz))B|h&xfEf2P$6$M-<-Yr?`qNsiH}$piUoD zaT`=f6PEPcmR{v#+s70C6$tP4vhwhiaNnLa<%aoFP=)Ayq_dnr)B?HO-#XG-twgQ`5Zu$KRXgf88`0 zFQtF$rr8Hgb4~*_Pa5My3q9cSedzCkHt?^scIwAy?f*oVryk7AJ@}m;cxQ0Q&VV7> zDf>c^%dll+@@|vUHmZ>ihOrp?T=jFBfrvRPU08~lr_oi@W?zy{~vLy^L&Z<=H@C zJ}VCq$YjM4Bfk&8sO*@*7QQo{cmOL@;IwAB6I@49Ny-BBGa)1~bAW z;3e!LLlJvFnW(?@c&5Y958=MY-^-_e&e4x>$53s+oqB-goKwE0!0X|9^m`$LDb}Yq z@F-7(qC6Bi3cL%pEC%;}t9ou*=SCJMQx?}zu|7)0I`3QRNTPMIS_9yfx_o;Z_Mud0u;I;5IaGBhrIuEd90Y%?7lN-!LEg)h z;CvF?D+CWo!4D$|jv>Ljh2Wp1;0Y<1KvkqP#zU~xjdZV*Qol;6FpuxpKOi*|QuCx# z4DvzR>WMihJGg(( zqN3Xs0)d7`V$M10dn-tO zn8>Bo?a4QTyloS;>h4rzdm*s2|tV3-yXMr!tBnU02LuuMv4L8wVT`z8nWvlt8O z0Y+*!ijW4?rU?CbgoH>Dn)L4t2ee=<;v#!!!R+!K*zJ6&)ZxDi@eKW=Et!st#z4Tj z$FGA!OJx6}@S(#JZn%(Q%ZX0J{sWKwPJi88YXGJNPPzat_{AFy2cku9j*Bg=&S@T=K_sk z0!|1dD1mWYfbt#E&<_HM-ku_fR4#ChS-{Y*{WEgBujUi0k>jV)wDSwjhx0Hf9hXBZ zkcN54`V=3QhoXMOqUcu2{ZBlfXF`X{eNCG!sqPa_b_lY}{xL&O;sllbW=vi@`^S*| z<>be1Fl;>IKK(ExDf`Vl`y?u`@YIn;(UERUpN4v2<+F77^kChq771o-5TjX;w= z5ci0agVQ4?2Ysy=^FKkJJ4_CxC<8S)9KAsZekBEy(Q<-A`8gygCx@q{U?7rUcM_D7 z!;Mlf8Mbs7(7*grP7Xy<>NF`8HlR0=6ip6iOQ}JTbUi>)G&!V6sj-oCT}x7Ga=_d` zt)xjGvN_X%oT8tdQXR*q_W{w+yW@`5`e)j<2z3Y>s7?B>51FHhj-`JCqM^6qj%Zck z(cS^INq@r}O?E&10El8Jg=l&AzX6U!LiiA(!;MzViyE4N<_9m>7O ze&7QDZpg$bJOlIhX2#Uh(i8+wL~e~+cxo3mr&!>UlPcyWs#H#3sG_HcVK z$kO7~&^O)3&8?wY*7pH1QePwzn%v$!KlS@z<#C^01pS8o2xmS{%)^5BqwkPUKbJGt z6B#Bdle`j_CY=qF!abv9pPQUiVM;2+Y4W$x4w60|h>?0Wk>(uVPUY}}7pA;JWW6`t z6Z+#WINy*V+d0dQyV;QA_X=DZGP8prUw>vYB#Ra$`b`uEdjZ^#Cj~-Q(ExAgj|sx( zfut0U zL+>FJaFc@JXx7{ZVPVY%gbe*-(qyWx0}_|kEbd^6@Vp1=Qz7f%DW z)(pKfX=?J<(Pm3RD>z2#H@L@{=h>qa!DLON#FaG%B`&SGEs`}`k43TOJc``pAIhy+ zC6FJm>^2e>05MVvaUW&2=0cJ&Suun zn0x#&eA>J~aQ9>1!00;;2zeMCE$mEUuuxf)B5`Ta>#)dJaJ<99?8lF}Q61fjb$4^4 z+|+LLCV@D~hSaABdBaG(k02THYgkd;LS$1>xh1X)yH?`Tu$D+gRVb`7PlKYUe%ipT zdY@`c{}M=8Q8`F;lmG6MWM(Hq-cW@VZsUif##C6JOI+F%h*DTDe-x##3WZtIxLNlI zq#Ie$w+@Jr>L!xhJ2kbcA|jiL$|Z4CRI?;5&FYRNVuw*+ys)WbQN4B-lsEaGqB_&} z0x=a8IqqKgwO@crH@?iHc93NQiz6cS9cH%4WCMfLu1%}4}7;fc_BQ(m1#or zHQ0+VQr7{YCj_A}`BjqDm+`cAQP`BH0}_{cx}ZbafBCRO+82tnNAa}l1oA630*ur& zq+v?9EL{2g4$5bDRQ}B!JY#S2?W;_o`~j|fHxQHZ&f&^SIw=3)17$g1Y#xMS+Oea> zCKhT|pS}weLvNr2^=EN!SHug6(d6I3S9KF9wY}GU3zIf|Byt%~3*&Dhn zrB)i+f}H@vYI2U_V8Zc!tDZL!;p@=d)-W& zAnMp$4Lw=t8OnS7c>?+26eLqe1KIO&a%hZ8=mQF#F_93)Y&k|}E^9wOB)qFex{$B@ z=sIrhKH3G+n+39tl1bPN#7KPsceAhDcPo+g`$*C>KRhCFnf4^4-NDtm`JJ%dOg2dW zk0Lku&*3)A7RX^B0O2aX|yZ%g?R7?a}{ds6+t5Qur-Bo4OIzDcT3^TN+a6MIsA zweskD;uf<11KiE_et#pWv97c^-B7r1}~OhtOB#HC3UkxZH-OiG?NWxi>M<|ZAwnVa+l z)usLqLa<@-1EAP2`A)DO=KD(RHcZ|TJfE4zTYKN9!7=m)h;Op=QHe`SeUU6(|8|GU z7uzJ6BC)C5&I<_HXXqCR;>#dT*hl+2(*)srT5Gr8-x(@+@0xkSTpKusezHE?+@B;a z&3!16xgWn3#oXl-xygSUD%a4r3M3CH8ws}nF;efq-Moj}cMXyC3X(Jx*%FCM8+Jvq z;mT+>e0LMKA&J{Cj5Ia*kJ92hp%W#R+68y>in8x1L@@L(D4NNJlMeK?)W$~g{Kh`OSw`R28+2-w@~^aqt+1G_jMkr zkwi^K9hA5=`}!V+B9!I&J!S5*SHLZ6$ptB zA>qS`&(!aMV~S9v^*Nyl6X>oFCQ%qB4M~R~;Y)y_u%d-tBf>fYvUQD=)OR;hXgQMl z0U%3HaTUwtaujMQOx<|&^*dnJC(36*jkp$Tt8+|XaZ-IQ`chm;S# zt|rl76&F*SCjV-_!?TvBx(bDTqaerfR8g;|@l=ELn#5DhhY-d)5)I{dS%*|F>6q%* zYk8`1Jk_o|)zwHfMWnhOWZs&#Py(UWbT^?1t%z&r?~sO2syB5=_2^+!Ug=q!p)VJy z-p2Q8HWH$rPb&4z;mS63PXQbt~~WEO7slxa*23(62RC1Xg1t;7?LfkI%kCMF(HQp8+S0 z!At9ea00wEPS&@JbQaOZ!W@6d4by$ZKzYhd%>=>?-H=q-Xa9=4blAjj9|{{hLV1c8 zX{GZ#4d?pC2+~%N{`ow8d_)@m%k{jF_=Z53@h=m@WIW-9{;H%(V*E^z z)RZM2EfJ)DfFz7Zxz{vnIONp@Asm^YlWhKMY#FppmsLbHLkn`$OdpvG)Qt3> zMa`gU_+vGP(0+(E1;R4-bzOMo2siX^BvoealSr9+=hY~gtD?wF{u`+k^u3MiyIYXD z|90jU!n)Sc9W!?hJS3irD}mZs?~=s?5btk#f;3S}q=|<+<2Tdo+Dt z0b=NH2+}NM%v42IbOUo0J%CN5uw2L^h|A%$@Lb$3)MV3UQ&?RT62p`W!VSGxQe`f> zVp-pzm&|-6N?jbQ;ko#gN~~`z*Ox&0P(8@lf7MI$FA+WI*+ZrwAOpED^lhZpWGUf> zzC%)_r9&cF`Vii(Fr9Y^_2-Ecxye79Te^VjD-@(=kWA%m>JoH}%0CKwTdn<6tIMKSq?KXQ|)!i~O<0fFBuNW;TTHZ>7$ z@+zD&Y3-v-P9;55#Im96g{NZZmysruy@VV3TuGJoULMI_XSls$Dc13&o)$O?VHUUbHprwz#F> z#z=y1k)S+3biNdPLJEd$1U&&kz7dozrFKZEu#KP_NQyRsek~B$*cVAxK1tC=&=Dzh zIFhbOB&9Zjc&|f@&#r=AoHMM|Ej)8?5pFnfnmnhur4xMR-L&1~uRMqj;KR#0bh5O5 zRtg{;;&b`l_J1}Q(+>{)fMYn5C*>SZs@=g0D(47JoSvm6)jbZhNuLGV=rU3-Br8eW zPOoqIa0{vw~!!0Gh* z^HbW3xqNG@AjC~Tj9JMTw;+z=vp)SP9uJw6Wgs%zyVPMfl|WR;K+P~=eNOitrKnYUDJDFBaxh;XGDp$j*6h67_m&d+NWNi zC@1qG8e;HbY4C(cd67riEuvum9sx8E)7WgJUcU;P&uhb@-HK>U`Wi^n71~>&b!Nk( zki`jNT6t}H309Jbe=D+si4WW3R-mnuG1OUcs#ybq0sjxQ6yDqL7m7L4?<#!Jb=@9{ z%Ny8hLPG^KXgKG(nD36W9e%9=+H151xp>rmb*kywLE#Ug$*n zcr%i3`?M^es@1%w!&X!n-U~v24pVnS7V+FqvJ;y09SG7D-t42zm)qdE$oUfIUl5gE zpzQ_I)=(Ix`S-xmCVjEgx;qWI^4|vQTt0n1$>2S68LcnE(-FoP2?sw3*d*dm{z!?T z4+9#SoL#<^ZM$K8z(0-(kZhJrFdB#vlyyMXkFmc|GF#HV(n4b*)Ltf}sVWVgBu9`^AFB1|uB^GGz0J3Qlz zdXnzI!xX(|?QDHr4OgHE{v@t{#bfAL1`nbiPU5<~DZBGfnEvCtq}?sU;CdLG=h|=} zTVIFV=d2?`|A12V)t%yo9+!p+L7J<1a;;fLJ+UHNb-PXlRZ~Y2S|t1S8k~o8Yq_X& zch;bUT)w(yL~|K;a8S?79Phu8VJA<+#8u}9`?`nej;mkIPBN4&i$}du42F!Zp^RFC z89f($@j5Qn1y0kdc;0VYhJzZah?ZYaTOv1Bo`RYs3;8U$?MA3{)z>ks_Qfm1yzc!M z!eUWB+ZW=kf8W6$zS#4V#dmN|TfZTDeTT4{rybH7eJ>5!Tlczmc+E~;@1As#kZ-T` z4$4NuIw&`}6p!vC@AIElJ5Z|i_G-8jiVUvPr0xo+Yxonl{|;e)=ryCW!E0ARRGdjS zeFJZ6cRb3(|EK3=gP}9!<~KA?f)PIY38!^Cbh>;vbBGTaptEB9Kt<{^<)27k*j9zje1MeC_D@EZUpz!^++CO z`Usr0PH-CD;F{kxx1_%!Lo_ZU7QHmPrR5ofm zhJW3v(^N6HjtCi-bbS?iUc*t!1o`@=D*9@>YAj)I)?{Hk5*=n-n`#`Q#_vT0ykd*m z&+%{P1=tHp$i7Y%zlrdIbcs~^nEs|T$lwZrBnz|2p!@M4sRM#_FsT|n`C-)S3 zRJ+zlU+s`B@(zfgMdCXf4qn%%kh@7aZL2!(K8a%Xj|02a*_89G$QuW(eTXXf@=>i63qiRaUNN*rv)Kk)K+bR@Fe+=X;eP9Qy=BgXu#tp+{014_XD)GH<#z5Fva8URU5{xHs*ayw8HJRN* z;czfL|F1|2RVRk5q`E&rBMM@}EKzn_iHmoWI2xtwI*anHlQQpe-G{gLpiEOUr#kQp zJ^4~5V%hB{8SWnU+%}JjjaG`H*iJ&HfA%wEOHT84c!8mBCXC>kX;5wQ?sYyFl4FXqXnCP*3-QBC;>wAftpr1zP7bF zr`D`IHF8xF?h{tWCGElr)1TEk2&i*aSKOzqiordP->+E}i|&N6CsxQ^9-NG_w)aE% zH1y|%#K*uhmC-dTk6`OfHsixHMFq5w9e7WJqfsg#M`Ui7lo=^2pbr(FYR3JA%so;j zVg>xd^9(D{`)5GO_6x!*p#CW=r*e+3u~Hk%ITGHQ^SGh3Ws1*vKoIqCh)Y37s5MW7 zR8tPU@XcBmp(gNMqns1`@gBvy3Gwi0rxQuL8iCbfbiiiJp5kM+4w407k~EEI*tVI2 zotxle+O@z)y z3c)&bVv0QI^X`ZL!*c?a2TA2*s3fnuDW{o=U4F+4js&j+2+<;QxHl0LY8>DTu@h7r z@E!O*&WV=)9BkzOb(^mH~<0!2f|CwN;<+om9=A4z+E@nxDn3v0# z_;gGt4X!gwaCnK~#inK#b|;OT{h(48N_uiHQf#hSd;^GrBcH7_~H$7(|wZRBIUBX!CTvH9j4 zRo>@d<$b{~OIG1#6#4kQo>*RstzD!mIVtDpio*?5_(I#I*u>ZZ%)EQyAJy1YHy;@y z>sshb$_7VaDvz$z!20`8B`sB(hpJ7cwxOx zp#CfV6Snhm!=?$9RZUxB()CZFH&Ht=f59gilCJCaZ$bRvhrzBpeuS68PTBzKP&wsP zptzdqid0B{_9}5OwL{@5wS!UxIWL8-7+c zJOY_@EtF*=^`7YR!bzl`>!(%|P8RLKcQPLj+af1(iluPlvGt@k& zUGb>&aZ8+8TS$*v(6SC8(DE{R1f`?HmXj`o+Z&DnhpL z`nfiP8|Z_FglBvo@`=QMGZnv{hKRfYCn3<%$$}k~sg!)pD2ouK>L~= zplbRxl%uHWd8F(UD8nNZ+ByV-ruStCax=D}*Tb=pPg_d(;}(l(6*YbfZy0>;a@D&m zmSjY|TR9Ro^a+v7HkS*@hL_8dKU29dMC9f2Lm|rL zAJA{49!D@r$6f&e-t`WW_rq z6;h@WnWY6}3N!`RSUZKxI4Kj+Wx5+OVyvavUQ}5vRaw@CDrI&_XHJ{fXVP{iL>~LYc@a=Jk zq1_B3=6#wR<-@_dpj0~Z!LizWO{AFxsNU~1R{(1Cy|-g4qfbQ?;OA=mJ1K7ZY7QG5rjgs<}P|bM6GoxPTjR!Lh|_PFAg}+*DBp`sOdBS)`dsv zXHl}$m54(QXbZWL;YHe%bE1eB_i^08^AjL#I4)Z;`ZzXpbvNz;*K=%;XL`#<9y;A~1^j2vl`X`WOb(!D2?wha z;r4oZPA31#Q{H+t_k}&_lW9iwq_pN^R|ENBt#ei2A_Oc%nWh4h5kN7r*0CyZIs%x( zS?d55=!pOgudT@{fb$2OQnT_~#-9{T$~m$6g5N9^&#>rh`p5@$h7%CMP6KuR96uE@ znqkt-=b;re)yeCl#w;(HeMDnD7fmUOLw$nY6TV4UmOIDnO6NQ|Fdh$%8lD?wlaS{-VkDDmS zOL{3Ed9lNWof^Ce)IuDAiO(dpuEGHt+G%L@(n%We-I?arQhq4y_C|c`yLEoe%45un z4}3N=tm=8CP3K*-bt-W@=)57$NWsa3vPt-iq|ksq!m&HJzRpPhha>H~xr6Ulm>wCG zqtn$kdb_wFen4(jx6oR={eaa58f(RqN_@9H%77Ofs-laShAIy>EwKBcH_yk5OgU{U z9;Qmi(H2kI?j0C){A=%{$xB#;zK#>O0z;H15QX-XS5C>@w=R_8KTN69?@Or9f73&q z6C*Gg*R%yZJ$KO0$noC~z|R8M1MpPw`v7eL?>AiWi~BGP!Y2Ry_ro3}1zcSk|JEjB zz2}XZG-lLdR#m#(U5205ap32Ks*BxjN5(QoncIQi@o|?G6+0?R9G=o*M^Fks=7ZlG z>W}DIi{sgdk)Gnk-jVL=!jXb8vZy+L@rXhsM(P}AIWk8%&Z2vk<8%kVjX@xD^aRIn zLgj7DkRd}DSo--Psg^=g zt16A4{<4a~a&J-b$igb`Nc=-i&9M7?aCqBFL ziFoFJa>u6|w|;u>nwHz|_CNV#Jp1(eyTb{eKfUAg9rydU)_i{ZmUxCppZ{@NJUh1g z-p}v2`?H&FIJRRmsM{a>^alRDTgH0ya&qHN?;ROBCBH0++^v${AmIn?3HW zJe6ZZV`*7=xx-Ukws2u_H5v^Xk+-6FSygeNr?|*bysWUe%2QTZF)@DB2nUp) zMDZI?-a?`|@;wfd0o48~Dm{*}#Z~3Si;F7|e?IPap1vK_N8nKFyI4COT4 zlvOHGadn6{b;@r>qaP8&FK)@7#X_BU*y57HEQiC9;T{&c7qP{jNK}<9D=aO>uYaM* z<2T08;L*631bGyYx&624A7dR(Zl9}vWVk@`ua6@4q@@2{!k^p8^_P0{(R|vKrJDw| zh(vEks@q!-kr+u7?XE*o?s88AQT!;INtAx0%`C(gQxguU;&_-qMP;>#FWL!IF_+2o?=rl{hH$HN|uh_qDxdhFbjVKN8@h{OZNcx0QUg*5Iz=vXW(xf z{?26SZt&gUyTNyZ?*`uuz8icu_@43jo50eqg4|V*TUZ4;{z~vyozF7dadBc~ii^uA zif0%ecmtQWf4Ba3)o9W0R*#7cZG80m`g^$27#jszK{GAf}g=vGnpvwvOfWC(MY zqKdigCcD@&#OEAJvk(TLAe8qjR#B{qVeMDwEzkET%c^J{n5Haj=Jf-e*37j7McC3kRym1!k@Jh!rXBz|O$KpSq4A`iw-*y3kyJ$#YM=;vu82rKenH2>gAiDx!kX&7%X@{wPZ8>C6J!h_!f zrr!(BMK&43bLOmhbC)fcmo5LG7`I&6~P&SgyAI|0j8^R_}X8rM> z4I0Geub97r%}<|)2#5w@wP7HNjefZo%VYZS-ZC^ETEx+B1Q+uWC?398#|;8m4OkLV z9mpcdLWeBCLNJ%s?qYe}jsTAe9+4UVGQ6y)vSP3YO=k(UShOox!hbBey{56~xl%Z| zSVV(a8jpnimj@#Ueu$l@5#>SjN&?w9;M1vxKgXc1>g7l^9(Ls`IbHPajJ; z$}YwSR*c0ZTMSMvejFLUh|G(gmk!fo21X)90+6s z{|2xKaON%8Jp=qtz|DX;w*~_H0WY~D5I6?-I-m_3r?=l32&4nX+=cjn^8t$hR{_=n z9st}7_#@zcz!4h|A8;0+Erziv_aHuC05BUc>raRem=9PB_$c6Jz|R5q1CGbu*)hPy zfVNo1uH1z9fZqaU17h-;4#47cn)sEft5!A(*Y;rxqCL?>wraorT>BW zfC0eGfVVx4_<%E>KzzWv0d1(nRGd#q2doCn27C<=13WtgSPPhs^E#UWzXse7Sc#KC z#{f42+Bz|I2Ts+c1CGThy==fs0gC{)0oDRe{wv}G{sOok@K&7IJO(%sXH;#SaWDih z9dPk;h!6M;U=iT;4Tule8>hxM1OAFv0QLiVb|60B7l5_|{GR#qh!0o`m<>1=?|~En zrtU&~z>|QR0ULHBKH#cG#0Sj88*a8l#@+)=2Q=^sUpC+qfJK1!?MHmT{Ra>q@GHRm zfOj?_KHv_#Hf~E|?0vv=!1M9Wd^X@hz#_mUfVF_T0XGBMUPgRC&ufSe_&uO48Fn5* ze84Gy*?_kmMts1BUPpYu0dF8a;9;sXx(JK}d?>}SAqz`k!GK42+e5#Sd` z5Faq>9mEGb@Gjy5ehYXEaL`f2@5wdn2cP7(-Vg{R zTM$T0o|c$)ep08U_BHIBUXzB7NgqHeNG2P9i=cNB5vC+2pL@L}0bP<`#4o~M9r*K! z5`kX}@*eQ>qwqI_Zo&9;7uliW|Dgt>lt_O+;Bt&x2}Du+1tI=1kW1DD0&4(+dV(@Sg^Ml$lRqTs;5a@Ba;cE%<*2KWhHL{{{TBNnwQY*$;ki%s&?c2KkqT>^lbf z49qvt%EyLwlMjB>{DVLG?m*zqDCuW|zXklL`3HX+_&1y5&kEUB3;y7H0)fUT{LSDu zfqzRB{(kVsVLp5%3jY}RpMZaB6u!-d-+A5`2s{;qpAP=#;BSq>&jx=f9xWMWK811d z`Un4G@O?yxP=B@HUx4|0LlpjI@b`fqR(>Rli}ddYe-s`its{ccZz|to;6DIEO43zkmo4_}SnOg8vka zUj%+3_`{>buLZvf{NgD5&EP)+epvmGEG}OE;17hqwuT7Gz6(SB>lpYo;79HM@c%Y| zAGQC3Uj~2gezSfGr^G)qzGx+Pl zZ;Zm<5B@0lonh_ok{a7h==YTVG4LM&e@m43Hu$e29t#AXjKWU`e<%3S?8^qfKl!&& z;unEm2Y#4+ru@}{|I=^qH-ldZfBBOr^V5FtN5ennPlh6t?=kS#{s!L$|N8^*qxFw; z@H@fZj>gXhe?0haM$13=$HCtjg}jJ zJQgLs4eN(KSRXtcg`W=oli)`k|G_`!uhIRBBJihxAFY4Yg5MSEjzQ-6drqkTZU#RM z>yM#k`zVZy_kZvQfWL(Zs{YOXANY@fpJCQ-^51M&|2(-py8Y?k{{{TTX8jb##rr?_ zv>xh91eHF8aq<2S{?FiJnGum+3;q}2PmjXi41PDPuX4=o-&8*P!5{y8AW((=9x44} z;IGAc>yJ_N+i3j;ezfr`9sCyXhee5>4gPoFUu?F|?8Yx@I%*Q4;$!G8_> z0a5tb;2#G6f++kV@K1sNM|1l#)n6_6S2hI#)1t)R41O*6cSb3{{owy}Fc26KCH^t+ z?N}F&juPLNi1o!wfxzr2@zcS-5c~_H@Uy{R0e+Z$C87RX1pdjt1p<#?9151dWeOMI z^$-3steab-=-&+fxmZVk9;JQn2S5JZK;YvD@v}iY2L2K7xqmS=G5HqD)Wo!#tvQMK zrNpU;nK$XK#H{OMT#4grVrM2gs}sjhNz9s(m^n4k0XfJ|O|jlW;N zm%#5O@OugTUIM?D!0#pSdkOqr0{?H8KtNt9_w8{-C)b;<_K-g_03Ayder@RN;9bD^0>w2^ApMnqQmp zI0*W9By69*J7oRNY*)`pPLu8JE!nQFl=whdFG?;N z+&5UHKQB|jCkF{QQPQKuQ}p`>2>INB0)8doj&y-{k^JRD1Rg8PqeZ5t^e8wpL&yar zRP=v0*hkjKx+TKiJ0-kF!uuqAK*EP5d{n|GB>ann&q%mU!krTCk?;ixUzG3_2@gy7 zcM0E-@O=qClJHXrTO|Ba!fzz}PQo80{6#`-smPx$VVr~s5JJ<2eGETV3>Y3UdhiJ<>Z_`$Wf$A z=)dheCBO7sCl|~5&GNtT5+(oV^M$-$nAaf*p?~F-O8%Bhh5YqF*E&3kkk|5+eClOF z{%?A?oWg|syhTdBd7hA`Q(=)V^4LYv)aIyvEyfvlF8K$}BJwTB{3073chdn~de4<5 zqWbBrS@CM>DuszRVd>jd#AGuNC|#P1Q`>AAg4LBv3%n(6uAF+Rg;BdLK~2{I3%=-j zLLx#LNne3K3!_H66A|8rDmv@TW&^3La_1Hm)7zj$Y!Pt&f+kzXIYiC%(1B?72ne~O z3zmC|bH%%(l1|z$=Gv)=$HX)CCA8D)gmVys-iOTfROeSQdKg`RbITlgnh<)Ev5I|+ zpn9FL3+&^sG?LPHxKio|?nFH2gBXt0v!M{ddp~TUfL?Yd0ysL%J`&K&l3@Z~F{ z9u@)iF^7=ol0cktb|K)RYPNy^UQ}cMfdIXr#@^)tyv#rsa)tV!PP-Htdl4nJh<#6Y z94e-3H0M(%eG{#QS`J=Mr56zBl}&aRaJ+-cb^-z~!2xU@>lGf0y6SC7j2(hzyiUae zfOt!ZjSxjv#+J)~mu;a!!)s6MZ5i| zw*@>28Y%ms%5+{-7~aGo`1?>6qOz*+x>5yRMZqiM%!cN`PtJcw0lX5xPNHS-6ZCFo zhn5N|6gp2&*Y!LB3fZ-DaMy<8hGdxX0o2?M60>L*;I74J)WaeP5Zy|Hz7|74%ldM@ z2cyL-;aDEC*>toWrrXJB(d~c4Jw}W95XH|76qkj~Lr7Zk7Zf*HqAXBe!*tLr<+xej zKsRJod+anMY1Qm+L5ZBYqK>OJ>GxBA@S{lEdP`g$DU>R5yPklk}EKyH<9HEIC zbuY`nW%0*JxT`h|z&db^7XKBoyJ@pI{zomIW=lOqdl0>P3+UFi!z$+=^gy&HvWY4)Vt(afIm5s;+Z zQOur7QCJt7r3;-#=vs&o&B7zu;=7}9c7-FuEFx}vXArtgfxIP!E3?KCVFFUNq;f)x z6$BVyN!|!$DP%?Jdt_Y?Vl&HIbW5uNxx3wF$#@@u9yEAc22l`k<8CKqgQ*bEMC@_D z5SsY|N8y)rCS$*XXd0DUz{+}FGL>0|{27#9cYuO1MRoHD$xaY(>=}Ox&`*2Q7tXX8 zYAlxZbNk?nD8PteSwE`}-faR#W0qyTtM>;nBw56H&c0<#i!I=sa@12HsHer+$&P;7 zBC>9{O6eY%B31B15JODM2pO~QqF}g`_1b{gmT{VjFshpqQE*BFe`jI1>CKC592J>$ z{qw7PXHzoccr#zWb+zLWh|PeQ7H3Vbast8G!(u2=m6*gI5 zuD-lJ&lXsAUtZnk2+S!OAgSifGL8)(YkJZ0#4+zk@f?1Sl^sa+qe1&9lLNX!3N@p)v4phr%}?;}Xlu__p~D5vR>Psf)3)^v=C zS5CNmaJ2HlF-Ch6scLrZ8dJh289C9#IkTI9 zmCcs%`HG00XoADwL<$nLmnf+uO{rJ;%LAFdZ!D5Y63LW{WRgTOd9l>fHOZUL6U`$^ zob~FkM2W}~jboijC))j5_+d1~+$TC>iqdSJrG;!jT0=& z!0scFc-OljDhzbcT*5466t_PahD>CTPtz@T4BWy&#O{_mY8fgUh&=^g>QPAN6nwHrA;~HDc8@|*Qt%NUg`}n6 zYd#9;lY-Cs*hh$T94Yv|k3!N@@Sz`tWTs#@K_SCZ@aZ3gWToI6KnfX~f{y_yWPA#~ z3Z#&;Qt){o`$&uXQb=|RJ{Y7BR|>uyq>vdY_=J!`W~Ja;LJFCkf{zL*WNr$+ zE~Jq8DfrBgJxipMmxAvNDWoU`A01LiY06bdREm|SEUXG5RVnx;k>Yq#@X-Q=EK8}* z4G%k)LVSH)>&jcDFHs<1z1=Y?h!zf}GN+fYuTsIHJdy`0f1%r5T)H&k#^2IXH z7Uw3cJE^w}w8t$oVJUI6f>1mMvsj4PBZEXIAZW4Oh#=lI#=VEO5%)AQg7vHiQ|rq; zP&FMdN^^q4*y9kOE#6+-_vp)wUSHmu`yPFzQ^5LYeq_`#MpLoW2?Hv}&Y1aoqx3Dj zrm$1O`qF23EfX{)rKbLDkS8kX2{I{mn%PtAM_ZNliPR=E&EX_Hu~cGkK-Roa>L809 zKT|Q=DLSZmlG=GSq-Y~rxP;7cDaB1#0}7;^59Co)=9ocLhoU}|M?_HIs94yCXdcpe zc@JXbyeP}nbCnw?I_=ol{#!00-?7m{J2v`n?%2eJc5Gt8c5Gt8c5Gt8c5Gt8c5Gt8 zc5Gt8c5Gt8c5Gt8c5Gt8c5Gt8cWh$9cWh$9cWh#8+M}oauuxUd_4kImOwi=F>(hdW+N35-@%EwSCp36!HKy~ z6qeY*iFvR9am5Y}br!LM!!=Vrxn`nLGMxIJqILt7X3<2lg74tiLpwP3&<>8BFH(|h zTI`u<;mOmnv&p)oqCeQW48&bezI3@8uE^9XjBA%nXYt7wEx~EIt~M?H8OV1d=SMR+ zKPlw=XeQ^U8~F~J$@xiv^P`!ZpKj#*Xh{Vy(-uR%L<%`Sn#uV|nV!Qmv-6W`)8bx5 ztnOrT4|0CAZl{n};rwVRWEm{%aTzj@0_R6FIX@|Iel(NwBXVGJep05-!lzldIo$aX z88A6N-N^aTOwNzUf!X$XL6lM&oF9eQ(%}3k#GVG{MM; z{3s+X4bG23`lP}6(ME`L9BFWV6q23>=SLx#X>fiNGAs?wk3zE2;QT0LY#N*&g^W*w z^P`Zn(%}4PBSkvSG&nyB$xegwqYzgboF9eENQ3jEkXdPPeiSl04bG23=BB~ zI6qpJNGC51&W}Qh(%}3kq%;lAk3!1R;QT10Dhw#3ZVXCJV{=NrUsFjS*O_mImiX zVbb~0#tP<5S{gY&3YE@}b_Vs0p!1`Rqm~$QezfsqNRlni)(!J!7yKp+?&ERSl5;N1 z#$?!Q1|etht!On1_Uh?`7^Ychz5>yDQw!G4DgX)7exFAG7m2xgo!bcn7axv@$n%`U z5-DCU@<%LvHL_pnJWJ|63f5NAP5y|bFNr{RU-CyRL4V}5%Q3B6`fDnZI*64Cd+3RB zZ}Q75{iR{OiEQaFRFz`WlpZ)+?V5_EjwjNODxVLx{h6GjJ|GAS9kc+J|ULOdZOb3vqf*&c%m>#Ir~|OH*R%j3#~8fF9s+anU{C!41&9 zC1b{EN=!LGCm_C2iH{T7XAGRw*NL*gUgv27RzjkGwTD@qLSlaZ>LO;HB9OT(eWH}> zE03#i*~PAvkVJzr{z2g1Y3{s zK;rZQ7zBj8MXQa}AqGu@Z4lzE@gkPdiwZ^e^JuIRj}$G8bW1O=99;4ORp!-c8QP!z z-3ydkSpTILD4tA-=XUS{X-C*H@PEb&d>Fce&+Xs^hCR3YU+@BVaC_8qyO7sMMIyaE zQ98=&6NS^!>-$%q+r`=sBMIU4(IY(jpaQgG%U5X7_RKO0t|97g_=1)%N%qX~kR`X! zh2h{|aSO@c``>d5KSb)?$zzXI(^^%`^wNlnNt6qs@g1r~G*1sZe<1sZexc6We8i8r&|UM7mE|x{!T8u7JA9;>l5aj_7To0&&~Q$cG`BD& z9vZFjm1vg%B0UH4`E4*t52_SARqU5v;bzvJ#IPVe93lD(R5&y`FKO+ssmS+BCFM{; z$0+-gPuyQ5JDlrrKbf=1Z zrV@8(bYjzbwx-0?TO8zi(?L#d+Sy@gO_7oNQPZ+c71&&OwLVJuLQSbqFLrE%Dmn_v zRb6OG8RtH&b2KHU-v6M}#&lwoi_cNw1GHMwUZ^QC_1el<7)j?!xp<)xcW89Z)Oxk1 z#MIj@YamX?Pr3NjLYzGE-K2fFro_}sGA~1%4ykhSZ6lcp4^muBeXC!LJtif;;2d`klLc%^uq zz@*~!0-K9AMQ3WQcWFwYdOK$;6w^^#uJ|sYn3^C--=`@l^$yP|NYja2E`47}noj6i zAJ&wZdIib_Q98KG#UEDU1GMiclaFgkOubQcJH+XDFBgAYiKB_)i`CYrH6^BAq-usZ zodV|KPYdxG{b;lsKh@d>z)5n0hfR8KQJ{nTsC|OY6;0 zWSnfaHft)5dYNoK;?aR;9=BOYQ}fozvd=Xorrsgj0#P~^&BZ?tiFYUQuQes6UNQR? zqI7tgi+>#w-%n-yy{5#}O3sNTUmX6p`1eXYoo}+rvnv^5$w@P^rgf%$-4Tc|h^31q z=RhEumd>A4TtJf*5I#ohqzy4>$yp2`K1E!_()p4zW)6?W+!#qLe3X_;&fdrtS};=v zsuwOEhUWhd&Zh7O57y9w2kUP>c+f)+9&BL`9&BL`9&BL`9&BL`9&BL`9&BL`9&BL` z9&BL`9&BL`9&F(c9&F(c9&F(c9&F)9MQ!0rPFwhc2V3}q2V3}q2V1)b54Ls>9&GK7 zirU&OIc@D8JlNVjc(Ap5@L+5A;KA1J!Go>cg9lr?2M@OPM@4P&L4z%HRMZxBRMZxB zRMhrh0ovYwY84nI(%?}Q`*kaqAnuzVj7gPMyM65hD5gR-;5o>yI zK&K{RO%D$Esfk$AgM(x|IEXbpI1m{yJviW}CSpww4nz*j4-Q~pyHgXfrc)EK^3+6Z z=+s1P=+s1P@YF=CIyDg+IyDh%J~g2beriG?{M3X(_^Ann@KX~C;io1P!cR>ogrAy- zHJ_SL2tPHU5PoVxA^g;YLini(h451o3gM?F6v9tU#F|e{D1@JyPzXOYp%8v*LLvOr zghKeK35D=e6AIy{CSuK}CKSR?O(=w)notNoHSvGi`w}>->g)dBy>DRdd$Zl)%`gKD zFblgd%&;h~JQoyX5fu~^^JaT6gR?nr9uL$4Q8Xq^D}zgDVjM6g8Y?bo+C~SB*^Fow zn?CgJ?H$+@BGehKfmRkD~P@|A&9;; zA&9;;Aqd@?DD-bl6u#xHiNc&)6NMSKCJHldO$f#-#KO#56N2&zu`u)2L}Avgi9&sA zVtj#9@NLY9ReFV3rB{emW`&6BJP`-8mA+8n_!lZ1eW9Y7R)~(iP*F`QL`N=EIL=~3 z(kn#AyHG(%V1=kJR5+OzDx7jkq;#$mrPt;33em~9P~l`;sBp%Ucv&F|W>$!T)tVKe zQxya2goT)iabHs=V)3EyVNBfg3f4rLNQ*8rNsG;ja@z znPH$a6qF4RRZHH69yj>duGs)A6MJTw-64C$88nQ|z)7oaMn3w5Ci(hM3_ zJ&I@KtaDVT+C-)cbrDPrp$P`33w0(36E#6!sEc4~2u;$s(NAZf#>AitbzwSF4o%hs zeWA|eV2UP~3w2O%{I^NL)A7eBSZfrd&BIU~DF&YDg(%PxI#UkKpx_cZQx46%L4!-M za;M9`%Gn*7`!J%F(uKOvJYs|vWjIq7q@WCE%7Qp$I8zp+xD02?g1BWkQx>G63}?!M zRF~mQS&*7CoGFJIO**w@I8zp6S{cri1({WbGi5>Mmf=iUkft)6DGRcw3}?!MEGfg8 zvLL6G;Y>L+-=q^O!6mElZTkPT%xQx;@X z8P1eLO(va|GMp(3(ou#pWkEX2aHcFscNxx<1?extnX({*WjIq7WP2H{@>uk>s%Up; z+S90{5;{{3%_gvzs#v-IgbIHFXn6wIROQ?eYPb-+dmPm(RVMA*EFcYO^RNtO%Ath@ z+hdjCOj)p-tkM?52rV*!AGXTqOj*#qRw-Sm3oWL;L7RuAbfGSEBDF-ld00pn!9q(& z5%hlAQNpNkCI*$LMdy*0lRdEXW2B=uQ!8-D9j5k;ttnNhms$m+KX%!k;G118y?{q= zuW}a0>xI|YLxwB%$rc3TcQYi~>a$pJ^O*KmNSKyVGM34^CWknAocO2GN04Y;g+1!k!EyGN29@??3|8X zrXNqI4@SXSqo6sbBgN>P?oK@UoX#B1>FZl$hM99Z+Bwzdbjx8e@;MzbVstjww+zDP zbOzybI)m^zok94V&LDhFXAnN8GYFs48HCU248rGh2H|r$gYY?>LHL}`Abd_|5I(0f z2%pm#gwN>=!sm1b;d45J@Hw49_?*rld`@Q&KBqGXpVJwH&*==p=X3_)b2@|YIh{fH zoX#M8PG=B4r!$B-r)xz`@i`rVKlP`I%vI+~2H<+B$8LU>$>8=55@SINO z@xAO*<}fDw8mv$9oYT#vWe(2iu8yJJ@Y9>HM3i$niYMoEBJceRW187}%JPA8FS+4bEzME*EMHs^GPUC!yM^=&#~6>oV55an4!nW~o?)e@!FB7Yn> zk`q4hw)Ye8lbepIWT4gg?Bsp}M{>d@PW+aXW5*gMk7n2JF_WoPXYHg6%(sM1eEre) z(a7hAW}k1Qud3JQXbajbHbVJSeULJGng3()$hjs)n&An-+BfKc~@qydj)s z>SCl*S8|aOy2cVQ;;?Z)#F6I|E$$i-_c0dpM25KCp13;eNy^NPmWUC5jxR$T`CrlE zZsg2NUv!GmZX-X}fx~F{7e-{anm){7U*_j_kLPy7)2XJ{oNDBp$D*_+TNE0_7fAJoEm2uqQZ`kf_u_C$tNt*n-cWp+NwS%rM%>?t+7S0Fi92kG z81Y1SEyR)E87=Oxh`Wx(+>;^h3juMrlDMy0B1Rk;J_T{)y+(`ssu9`(O z|8%2nMJ3*o74~lkJ32bfx4&x%mw2aa0XMnA(ZauLxK~a4o&xnKYi@et4~?R9gi3C6 zLjPik2yuXUKSa@aofh>k8KPdx5cSJ|C|nNsttAq~RpzfCiH_s6q~B&pdV?i3tXXQZ z`w@Q5(Bs8eJ@~=#0q$#Bt!Enfdm*132x<9snewN3@_){98#tDcKQ|~J9*9B0vMh-vE89UtpN&~j zr+!gp^z~l!Il&xdsB}syXI#^K2lNHOHK8(i9KGoNiLp@HXQOVB&4Y zIp%aTrK73i7!hq1MTU4uJ`2f!rbJMK$k+<$yXXbxF8Y{mqI~;e z{Dn&!@{u#vY(|bXn~`J9W+eRYkdK_PW;3$TY(|bXn~`JIB67j?d+>Km4OB1KL!jdn zhOt|HKMCfo%10n!Ow;3_6p=rGqhsd2AMC~7B$i+N8UDf|Dn=VtWB=n*8gq)jNZ79o z<`&NY``BL_th)Fv!hU10+G28-GxoOzn^k-VVZSq2Q}InCPdvcdk(naCT`Zknyms4t9wkoOwU-j5Ks7Q*(6VJy@ z>8Ro}NWz`;78R`0Vseo&_AXNxu8KHR&=H3kLm9OZ%9nc(08yz~XzI^}8dYOxiC)-r z85rQ*2w-z7fyPHpCxOOC4n?sGB897A;}__ctwQ=`tB`)#szASNRX{IW70}C81^Q*H z@`6a@8SsyzjqykkDQPywqqH#|Db@tNF+Ps=l_MpZpf|>&*cgwLYFuwTkE4z8NSR@v zjqxaLj7MA}f;PsZ*cgwL8=P9aswjwrUq-A-Qh7XCs*z|RKzKvK-$(^%1`Vs|%6t?X zj3s=~&&AWN#SF)qky zRoEDh%s1)8s<1IG$T?Nm7#C!D6*k5NSyhFNaY5ErVPjm7bye6H7i2>fHpT_nRE3T4 zNRvsYr3xG4f^<}2V_cBVDr}4k(v1bThxAurV_ZT8tFSRH$o491j0JB?qmERF*2w?)Nrh28ygoP1VKpN6&y$T!Sk%b1^V^v{e zT(Fz0V%ivwEHZ%~wyJ1jT+qE%F>Q=T7E|A#)p{{)j7LtSmZ(?jV`yVMvV;^F^8zNW zqNx+0MA;r_I2(Xgt`P05xP}fLi=*Hxo=hNARAn^dtrfO1HHJ1Lil}B|F(OoP6|ztoCOVCgSA(rIV#3_wI|wWK4;@y4QIQ1dQL)fr$<1oQrEBr! zY6>Z@wregzK=EUUX6ycpd}@)l?#$R`D87`!rkVtt;y0kMtsPF$wUjY8P+(m-WayX3 z@KQU~OZw~>vWpM6^YaN9LU)2kzeHwDCKeo|8XsGemxIwBYEhHvB{Hkdl1Lv~79$y| zxEdopMW*$?Ri_of8}W2c*Qzt3u>QC1CrzeFq=(KkkvAan5?aK%R3vi<#H(Vpf6ubN zc&=faT1mn4&d|Zjr~Z;M*=SPQg!Tfzee`!6^sckMK?$8F3B14w-Hn9mt)ElaDocph zEjQwShQNCuo6eE#RYvDk4R8jwn$u{2i?uZdrYoKFaa#KlOQMTecG?8YF|gNa@b29u z1i+V91Bu*VL~fcIqVzjW(1vL!JTdlmNl~u@yZIaujfVpPeL)2>OU5MLqgyT}%eNp00 zRWmHgYyGn(Zp)K~OZ{6BsOl7K)3DjQ7i{2BQm*2KxCEB5K8bqI|09OS%u9Xm`G0&j z_x8?uQ(Q`?-@Lcr$GR!4mrwz>?Lp@w0VVgKiblL@`xg;DhVQ!e_l5mms z(9uu<#|3nOR`1+y0-zU|&C11G5_Tzs>|vU9*&Ze#8TPOy1npr>2-w3K58A_qAz%+1 z5xzZaaLR|ahyN3)S8m21wuk*Ygpmr;jO<}D1dKgwBK!8R!2|ZNCIswZjR);v6C+>` zYeLW-)&$=kreljr2Y=WeHVPVhm=q&>_*)cg>|qLKdzj9wj6F=Rjc9wAOe=kQ6_GoH zbWubQeNjXZeNjXZeNjXZeNjXZeNjXZeNjXZeNiMLcL?dCh#>l+h#>l+h#>l+h#>l+ zh#>l+h#>l+h#>l+NJQ=s(nS$L^hFUt^hFUt^hFUt^hFUt^hFUt^hFUt^hJ?~+##fk zB7*3PB7*3PB7*3PB7*3PB7*3PB7*3PB7zuu_%_rO+rtD_QWdM>Pvh?=f%54U*~8@M zxr*u)Et)QhM7%qMbWtSY-65olB7*VhRm8hPs4t2L%BNS6#nd;v)2qmd)Dr#EtH=^k zgkL>^*JHXUV$MdEL8A$@`#`4R-;syM@Wc3H|2@%Y{FqGG=M3hA=M(k_v6(x|bjMHZ zLTb%2;T}0ZMl#h+FlfjJH`|58;@gGuz@Y6yYbOaTqeLX4d@1BR5b$e+PWd}xaft=y z!M!bb;x}(bLW(k7M-kRGj?#@NaWBer zFG?RpIYCDeR`~+S=Md$97v%&KWy2Kx2At`(xKB9oUJ`JI79bJjQzZqH&|t!%<{3u7 z@~Qv8Dt&O3$ql_eRttObEXt}rPr8#sUgqbNf22fKku363is76_@rhRu^%9-5Bq?7* zS&O89;w60vC0#J|)PuWfX}7`NL@5;v{p7)2Q=T9hR}gDxX!kC?9J1eM(7ijSBq_zX z;eHjdwdaTygTD+MTDKbPMabGlvIY$Pec;+I-|;)hC>VMcIPE;!S5reN7&?mB+EwuT z$zN2#(9a&+W#%Vh*WIce*`$q8J!?h5RDUDlyEE0qm6XnYoo~tSOKW2YggXV~yA8ai zW$c$a)0%vgM59sG(iXb?G18N6gigGa#C=+e6EX6Um{%e0Hc#BAjW{$k>RR@LI*PE$ zcX1ZgDD|8dA0w^iYeoF7I6(ZYRZ!&=TS-ptAbCpkp9NWgm|l+POFM=H8oxKI1xF8 zhDzQbKZcm>aU!zp1t7RkOSX}%7U7JMP5zg_j}ldqG5L_liC7i9gA27|oL&g!Yqevw zm1P$`0#YHYEZfl+=^B(nR+b$mI;<>*tSmcX!d!=}EW7CQI;=vOtGQv4N?Y98xq7oI zf|X^vDG-V&XCZxGx0v=W3rhbH)DmkJ1^~Famh6?Ti-C+$e6rG?Cn!xKv=aoJ_mq-P zA4b-e8K<^dnW1jCfyD6rzs@q;1=P27?V%1;ZkYmLj!0kGh+wVM@FQ@^$S@h5vJkTXh8}h`amV7K*CWB{Wp1v!Y%byb^5G21QNz zIL%C)%l{GIC9~;BrJ(5((8PR!pkVH1sIGJMzv~MGcSCKv!FV#k7YOdt=pW__1P?*B z=g99*aB{yuK<&8Dp~j#mNy?X=I*Cfn zLQ`qcp{e)+0S!R!y-TLof$zObrsVCf_ueJ58~(4nOSXchvoe{bUb!TO!2w4RQ?ML> zty1+VAio2Exo-2W7zObtK}0=DIPh}|c)~3ts6qsUFO6y5TOnwo^)U*Y09(Tl*YWd3 z1Zr1yn0VPEBn)hr(1cL{tq2R9KLj{9aGyaM=$ybRp&X%z z_p;s8u!A_q&LlhD|8|7=a>_J)@1^jNX;<>9Nkc0oK89)=F>0UbM5_2rDn*9W(n`;= zIv*m*vJ&m??u`+j`txyM>;~}OhW>jS`tQmQI{h~{^l{TXvK#w1E?qte2VU4Or^_ed zzzh2>-Q5TWUf3_k<&$tJjRFPQh;ixiNw`cCw5Q*4x_lB2ys%%6%O~M-%>Y;J4OqPu zg!N_eDDC@K&~hytcws+WL7G9ssygg4mgDkCxY|Uf&GvF!J_!e2*f%){ys%%6%O~N$ z3;QMmHAVt$w!3usBpi5Q-{c_p!afune+m-UPJxYrffx3}b)*=0ruX7mL7VMi{lb0) zT|NoVq|NpUTs{e#7xv3`hv!yfT+*BEVcBf2#Adr71(mpbB8XFo%O`>qSK{)CAZ{ft zp9oS>iOVN~R9E8ii6AwVxO@_p&Gt%cwhJW|nN^9)CxXna#N`t~nksSmM36<5 zxO^hWl1f}Y5#+Q=Ts{fQW_u-e1q3;#5|>W|Szd|DCxWc1#N`t~)>h*3i6HALars1$ z4VAcjBFLsnTs{fQW_u+z+Xd;U#N`t~IxBJcM3C-ETs{$`zY>>E1R1QvOfJawN?bk> z^b4@nLzbaH&vD05pJN51B|D7MU$$;W_#G%Y_G&- zd)V7-uf%4%V7%EL_BPuq>GFx7yxAUJOy3XiHrvA|QcLtV+rvvpk<86@J?s9^*Uw&v z*(@?=2~@AzkGU-t*=+p~lWF8{XrkMyVW=HBMre;keArlu#3)ujqi$q2g(fYMYd2I! zuA|WHe3!5`QbFiW7BwqENAQvN>v!<%hNkLC6!!zxY8oai^xGxXPZDLHq1cm&QcF>8 zXPz3OJc{W$@=>NtBFg>5b0H}6UV&bou__l)qEIw|He{IAD*t=OqOi;%Yf|#WQ-uO z@XsO0UP!|die5QgK&RQQhlx?YsM2PFRHfcEZT|;d3kAyFM^ZXS;FSM|IMx`QC$U_{ zT@iysr8d>VOA&&n!erC-PiW|KyJ|Ngt~G`PhlW18t4?o}6?Or|)>xg{$ZOfq9-M%hvW4eB)}8_;*_u#v3CeX^;iS)V7#7mKj-<1yq3eWea1hY(_3M_Po2zP7XeTPSaPJ<&r$ z&+e?FwSj$!34Lnk^iP59286-L{Ne5x5d3tXOo6D!3_e*PCSD7aQrMIZDx@x*J5k_g zoiQ!o!%*adMaUh#y4ywh2@UPvS^qR**;`G@-``0}UTKh{JL~sTSU0t7d}VHDeVou9 zI_n4xea9$C@}T5%tmKRqh^;RqzCn}1y*umm5k<-feRk)}pR~llgyFB#JnP4j%AcbB z_R!FQUG;+$`_qQ~Yg(cE4D!^@`kRRLS;P9`&iccIe#?Y@c_(D*GSCI(ZRj_vS=MQx@RLAPn|w4k0GSKF@}zD_Eve>ZT4nr(fZ zs&t&ClM(S>>@mqqtH)vh$;?4A<1`1F&m5#9$nq0tNo7}YShsEhKSK+ILk6Aa&CHH>zg^aG7 zOaA@%5Kf=X=@KPnbW}epN(>O|B z7uI?-t7h_`Zf|~x0>hyeUI;e-9hJzIHs}OujHwvItm&oEqEP9iQVd^~OCxWiwX`@? zdP>o$MKg<6M2Q?5Yhi01-xN0F6;XYNI87B5olz7*YGcX(t^mtereV>USM+#K0>t^e z1p!e8gea1UomCO-M6FP1Q4y&{%7jZiQA?1s2%}?GLiIzmh-5L!P&Yv16<%WMhLf&sqB>AsYu#iyYk&Il4n@H=i4!GwctvoNzzoXkQA z2lE<{22mspqS$1&Bq-d^)jQVt57&@`ZIc=@SE1hwa~d?Ywen#5N0X+8N{!fSc4)kU z5I-8R=~d(XBw%b;S~H8OUsaFM5+?XSJlj7zd{4mC1X&a zY1HR$8VyC5vS7&|OVbRfRNiqXqh-v5DfP|=pFdnjes{KpV0WM7S8T9p(CibKIB53q zCYAhc!SA$G>9x9+bDBn7W~&;lEl_IytToL?&3HiVBBL41c$YVWV0ZsxjOW48r%DDL z8XUM(VUGCK?swemF+G1B<}plItf?Iu&ogQ#?`Z8CiDECp-eh|*Xn2|)R45}X4SBE_ z{lPV0FZu%|S&giMPb>wHk-+vg(9H2%U#{3EoPJH!#9aDw!l!gh-uWF)C z_oq5Cq^Y4|c|##Hy&g9vD0dbs#ay#l9HVo5>81moz(TQbF@(9J4v)csA|wMvf!Hf! zg1s)V1T6HIb&f3S!lv~_=s}&dD05kd{wA%RdJOeDYW%#cE0u)M>!h2x(#>*KYTRr5 zo*gi9ePTthyK7SyE45&E4_Hp55{5@(4Ue``3r>&OmQ!Y{$#3TRZN?uY$kgTRQ2p16 z0&LxG^Njs_6odnwpfhE1)x}-5=SGtTk-T*PW8U1#r>CE0m z9+56i+d5t)76)6~C~No9%)>8Pdpu16KCXnOSvuq2ZhUSZY9qZW@_8RZ}w? zz*`QS!K$VG1sXEB>P=nK{LIboU0?Y;2nO2#Z3g8Gbog?LMbylzm2X&k61;9`X2F&4h z$+nr8VvNpZEg7B1Sz@%&&x4tZ=lgjygeEfNnuBbVceM=vY&DB^Lag6n6=UH?2>x%J zKbYuA%})-r`?Pl$$|OZU2XHXw71XSzU0LCiHqiSclD;W&)d?Qn!l)VQR7MTCAQ{e zB$v0XYcOfV3BT&;O13xRHX$6~4Yc+k6F5vs4t8`THiL?r27^2L6G?zLK7k5deE=~j zf+Gm_4jOWMUr$e8FJLZ-A4o|!XAB*8p8Rov9ja|yH8f-|F8}x^nqId*X;lt6(c{OD z+Q|z0%Ba0KYA+gZuZnJSP9G)g;!5ysd->QcPQ}ws`iOIxQw#XAW6l9*)zkPI?|78& zy(nc{UH2AcjY@l`g^NnpwPUK?D=|}gUAjO}dej_tSH%cW6JEC)E9`m5Ts;8fklF>l z(F9$8iQxaDUFd_YK--{mu0LqQ=uC9?Ck9MiCblQq-(l0h7X*#0K1jvq=ln*pygEk3 zqe3;-F(-;9Qqg$GS$WLa(sT%abSZs7my(OW@3KKdeTmeaZK_L#JAY?CH_dQG)MlL`gP3fAntW_~Ct@r#~ad4>+Cn>?r>~ zBWmmaL#_42f-q8y|4?9cVt}>>F-AH0*3~h5*yw zk)tEWod?kQqE58aIUxVl%`CV3t`D<%n9u0l(u(EO* zyL^5!P+9Gbzh6b2+4#UP%GmqUoEqwMR;_G0e8ip>wa<^HM~*mk&c2rxIeQF-M#1Ej zhnzhD`YfT>I}bVg4A1KV9y;QTI3xB+(Pj+|Gla@L@;oCWBMgolq2{EM_H^)pIg(7& zo*A`ICk5@fJ`Ii1(0sm5=WF)N3L+mmGJ;5?!t;H*i;Q7Ne92{y+9o}|&(*x)z zurYWrh*4iVe$46AogUcpN9^XP9gE(AF~W3u&Eo9Qy|{k2|XjNuWbV9ymb6 z1r-PQ`SdXj%&%ZzUIp5oCe5SX>qFd~^#e*=zwg#rOz_bI&iIsO*dq*v zg2BzGetkAh5>pw0+cGf9i*AW?bxZ8~{jCa_=KqlPS7x7+RY8uS;SB@G1%{k?|D~lS zgA9fZ8F~PEofxoZ#KXSujSXDN@{F2fVsL9uKP(V5{$$q{pbQHgQqrx1?VbI7W@td< zjzn9EEI>9|#D|+P`hG7{#SUH3IP}Y7mpxA-+pt|9#mIt2KRr79%1EgH(a^a2kJ^_+ z?S=GzXfxcZ)zqd}Izz)vhn~NlQuAJsn3?G2qGwf8@vt4J+>lhNBA9k9C4<0`7Bm2Y( zdtrt1#sd1gFEr@fA1ZaO8{X(#KJvV?@qyuyw6o>##^#X`{5v+hF;vy~v~&5kA$wK1 zQ#FFo6f4rJe&y`hxGgmC&QNFV^UhKy6^dRL8fbJr$Je>*nbUKwH3Xd z_g2%aj3SvmeZG)`EBUPMpV7PYB%D$DW~TDipk6JZw^C(@7l-QO$5IarH>LJD1CNg^ z+&2Vc?_~F`2b}1!L+O#j!{}cdABMS)-r&qX7E0UGtWc!3Id$w%(*wtk9c#KiwC&MQ z@u0RVTF@tK_43=y@E>p3)JC5-h>5vk_BK#TGKXV2mthfQB*P*NZerU2HVqmh8O z^YtbmMVy!2;;eL{E9s9@7fPQWDtdXOY0bd{2ZnVSe?yNxv);z&BQ1yL7-aqg^IQp2%F>_R6JCfjfk{2JUj$ME3`c&$H)V9=7=SR-K z0{XMa*>)s!6()%CUyNYU+}k*E44vVQ$D4n&&v~H#3mZf88Xx`fF|0z4*bAceVXM@M zha%2XPUEqI!v`)qW^Y0TKlTOt%T|RwzrtQ$Vb5`AU1HCvxXi$zaP4|~PUS`ltdBa! z?WIwS6vu!^ga0F-z5=V8;o-yf>3N(#m=~f&f!!#<*d{# z8V-ZDDg0?y^E>-`67xG#tvkBrpE=Op*)^DGA50A-;_1GDE9b9UwtBrZC*7FMZtHn# z*4Lh0tG7S(2CRz5`!;Wm532Zz3*rNb){b~TJPO6zJHZY!<>~|lB|G~D2Fa}x?RLh~ z14v2pgA{LTO(tkpuf2aqJl!?e8SiOLUJ0pdFIu*4-NraI-gPT3SQC${_~tIWI@0H- zM6bQXH+Q#gNgBbe9UVv-dXQWl*q$1QxA%3YdV1ABUmE13N@0j@K9RKQ>+T@kVMysn zJ*@**CI%E_EDWfqmDPE|{u%U2>TI+Qwkn;e+Eg!WvED>SEyQXu$<}QNlc_FjOT|f? zYU}IkR`Kq>b_me=E>Q8FK5RQDpQ>=QmajuL%hGWqZtwKKxXK%&^#8I$6^&v^`?>ugd&rPfdSQ-j-#4&;!8M% zw$}D5Q~jp2bh#T{keVq4op^9S)tkTbdCwfuxS|NsQFD0_gx!1$dS|a)A5RXpqUBv+ z_Ck}v)(tCuK3nk@gDI7Sye-11u1*Z}VIv^EIn|3tGTxDBNA0217PRdcMB(-m8rLO_ z;_29?8{*lm$i5bX?$fOB2L)v!B$Dmu8$CU$s~2yQ#WyElwJQj3SM3x@E!*CHwrL)u z3UhV!b|kiIRpL$N(QFsPN;Xs*qwyRUakt^Pv| zx}$4bO7(2U3!J7B;sbFqD7<(V;a$JvK!?ZMke;*_?Ulze@>PG=NL_IJ1L&=sB~h~`ODc=uoz<+n>i$a*h8!jYfB z+|<1-5KbM<#iZZ1W$VX>Wvd>_=!Cpr=ZqkkB;2kwr_c+iU-;%&_U~(|gPqT2wASC6imxvURR8_sLJqeh{y)>3!q{a(hP>Vv% zcta5FN9ZKbod@#OoL_`!h?@rznB&nXdJ}0p1xG<8wsrMkyn&+4%8bA?ox}(G;;jP% ztvgV3n9VU_1dG64Y=px%3Uhdy-q!K&m^DRD*q2Cg2tY?1{84 zx7OZv7$6w5TCYUeLqv}*EGjE%wrC*eNP{~NqrJ5^-j;~>rZC{4N$uzzY~8NbTtFid z4V!4O?VV`X13mF%U%u?If!%|IP-;*^CqPp^#>&>-Ekwnfo<@VBE=bkYOwtm45RXZ8 za4<0d4N{vog98b&X(bdBC)Gm}FG7=X49tD)nA19lIkBC(T;DpR8V`hMJg`uu&D@($z z@OaXWz%4$_75FiamMcrb9`|_C`lC67e!-`?0_6}VMz zALf@OVQn5y+7US6(_Deca{>MG=_O$=c|2)H;A1|`75EcadX6T`guMMWf#YNS%cqxw zB|Vdwf-$+Nmzr&lXe82;nQ4!w|cZ(y(H{Pk0#;_;*%flu{m zuE3ohEmxL=kvDtMOWO zkCrP-!XEQ@(vHAS_%v7G*F0LTED8Im$CGvh{<%+c1-{0sk6c+2cCE*gb_Cw#(_Dd1 z31os|>FISd? z(RYvx55`r7PxWc8z+drbxw0gT+%JhNfsgn!SK#$@DHgwc?Owtz@p#gXz~oxU#7nyZ z|FcKS)l0%&_IT2cz_0i;SKzDQcf!cel_g;V9#7g4IOWq^fydw?`)INxY^=wVb_5Rl zG*{q{dbC`gss za|OPfT$kXNuT4nUCXXlW2psomuD}&#qsx-8N{=V)2wd&cT!C9XT5cXCtj*)WT7lt& zPjdzSfk(@gC1L;M@uVGrf9TU(f$39W^vhRX5_YP`lXe6?!>73df5fBZ>Sa=S`}V{< zj*w3;32XFt(vHB*KFt+)pGV8pOTupPc+!r*xBE0#;96b)=hKVX-?n|f+moNG*Y7J! zY^;2GNtoDNe@y#}KBh;%e0oXPlO9jn5%`Eta|M3cqve*DgdOvE(vHBd`ZQPI^F2E% zSC)jW^mx*az-xS(EATfwTCOY!`=-Z}b_D*GPjdzSOV5tVl_g;}dpv1J;C(*L6}Z8( zqjF_Q*fftP?Fc;6r?~<@N8p`4%@z0! zkCv;KguUtUq#X|q*k8C$rGM9Xv|L#dcD=`wb_AwR9MO-~FanEhmapAQSha6!IRa1e zX|BNcd$e4=BA9xYdvgdOpC(vHAi^J%WYm7eXBD@(%0dpv1J z;0Zp>75K*WuIVGSP7TknZRy*V>C zj}lhl@uYFl(Fm^cX|BL0dvjB+ED0mW!So~BMBvkXnk#U-N6VEZVI3Y%+7Wn*Pjdw> z^5&*oSrS(4@uVGr%Y2$EaFaI&_6I2rP$Tlt+POuR33O zN!a;*8+Qa=?bBR=AMx5rZXPA4#SW6G*{qLJsT!hmV}+=@nDaS;iW#!75Flb zmMcrbF86rSj==BpX|BLGdbC_w67~U)C+!IQA)n?7{QO(=AqhL`@uVGrzvt6jfnW7( zpIp7T3BB!g+Agon<;s$<-5yWc5%_wa<_g^CwZmLl5;ouCNjm~B@M*5VMP8fBl_g=t z9uLMZhRb}KEAWjTEmsz2<87DMx4eAk%95~edpv1J;OBgrEAZzemOe=pXk$E zfp7F^xp|bZ4|qHna~S@RPjdzSjz`OtC1Kz7c+!r*M}3+r@K&#Gb7e``l^zeyks0pw zX|BL<_QCa?D@(%Q`-6GXj=*s9Av9Ou-+HuMSrYa;k01y!pOM9j^(g~F#4>^OAo2Ryz~OKKQDcZdND5@g;N+)*}sq~RChV~=qQYE zK6+TK%}bA{{dws{YJYJ)ImPOUyz~L4x$qtF|2=ro)bsGq-?9YEdDQn~eo zkBx(#TVJy@J-5DS58PIz$}Nil@_#D75n z1)cb>VSYa5RVOq3s;qR!L9cvrE&@O4BmP^Y<-L#TpJBf)3t68o(DTVjGQapSk+YNQ zK_@-;CSzKH%W@>$i4zQ6()|haBz;a}`p*%ces{2(11yK9A@#SQzm-1Xsv}#U{%tWW zSM-r|H%>KlN%wKcCwO7{mF?|J> z!y2alHPe@&yy$l+(|@)qrtyC6zw~8qO1EXLpARRDe;)H+$ogCddcJbIc@+9zu^f5#^;<0eF3_o;%I8|cOdnx>`9fKzP146uf)H3ts_ZIN0Jo7kx;LuXJcGRI!_)R`??Y(bnIl1=L zk3k=YeAV*2iE7fnUu!xefd}2CDyMdPu*Fb005sUz^=bZx#7j<4KD78%~dD&ehDn8cT>; zYCn=+reDqc!}T#uc|!kHYNw_%5_s(8bmeolcW~IPqwwFu^8a;iOmp7K{PejfD(99b zJ8Lybw*#d<$NYt-#5DPzx!*lDiu@zY|LT0hpU|=D%*a;h)a+ z{yor1ANjDa)YnT)-@tN!>fdWx4kLkwg$_dP@S*c!nmUKW!c70c5<|a@=_O3BILpxg zhUqTTUtl@+GQF1RThBE7_c8srZs)n{DLVhp*FH~TIsZDz$Ps(sOr}3L!_fbnpJM*2&_46&xs~NyKFi4YOXlxqdU<^& zeURzvxV?RZ`L}C2BY_9Lkzn-siqS{v_m)xk?-73fGMB^q5f5noQuSljb1$dy8K&PI zi)qS}O#j)-wNBnZEns7EO`%`7F!-VoyxdU($b-`Y!R?6>53bdgLX| z&rE^Ge~dzp=z1wt|FkrwxkdldQR<8C-sY>v7|Urn&B)otX)j~?j~5%dw3C&fQ~%8# zuQrS#znkT(ZZdMbb}|b84b1;9r)KIyXB5<)-^b(06|CpYqsX}n^jhW#J|1Q{A6*~Q z4> zk0@Wg{QD^MBD8BNhc~Ckbo__(U(^ZHpW}Lz`Gr2qNBlcaH2gANO$5ChH7WZ!(!Xeb zkNCwe%r2IHI@4!!dw7EBXEA*#k29N@elF9$#O1J`=_{GO^P-rhl=D1tDbvq6!_XgN z{>zy@GR@HUF}($J8n148xJ6T>-*qwnJRUzEXZ{|h-_RJ-lxvwj!1NC-GxQle@6g>M zDhK(f{vQeqjZGr=u1543Cz*5~ zU6;u}jQPj~f9JQ%I3}w8hWTfoVECoKzoF^E_s4Ca5F^|_q`&u04S=`l_D4Aaj8 zo${4!S6s~e&uujP=Q97LOc(der!xIPJ>Hh89kUI;=(&mczj~ITOTUYQPUU}q=Y`$M z{I)Rvce%b~{_WQMux~%vVi>vo4{Cmn9C&i)6_FK>}IBmKj8tU?;k}D-BYV& zp5WsNmh%|5lOJ&4FHrBgU9o+o!Y1IW;3qol?OIK7LBQ{uET^CC+wW+qQuHxgs`qcR z{ugpPd7kMO&tH=63rzn-RZL5`gyp=f>5K#(zZ``gfxeXP$!<(@w{n~crq{4OVqZ;W z`mT9~{}JY|W4e6x^%b^zTfh?PqD{H|cb-UgP#7>8kdD!Q>!bB*&}v@%Y*6F1RSZYQ@Ft$c;|? zoDA&zvjai!r?92FudTH^-T{}&c)>iiom><4cPH?YdE-foo0cdFAa6Cmnw#J}0PYFm z9jTrkdVgN0vuw>dI+=4XI&<~1xL}lwz)PzjrcpgH)k|lWaoZg)`zFLBQTs;5M+1^m{N?N$&#QaGt zTCzxa4pK6Gn*{x%jN+68Z^WabNA(#J@Z6Em(yb2hFy zbM=a|kzOD~Tr$AZR(#p=xamd9&$&p&&s%lDS!b?_UvTcZ7cW~EUw7tNtCmrBhU>ic zczZGhryIRp+M7o#cHXKLXPq5i(72#+vF|&9`j+ZX!G}fbKqiatvjc6s7d+Jg}s4WVpN8Srq_ z-gzawVsv%;yo*#@Z^AgKF|Ll_CWibDAqu%s8W_ytr+R=ZD)<`nWe`m}wSY$+xF_jO zlb?(Z>WscCCeMKnc@}|iJ#?F46kVQ1=1h5;sd_U>-JL0EB)Vy7-!z#lo5?3lCP}*$ zGTw>eaVmc*3bv+N|B|Y|aeOu8Op?rp<$i?m+QT*5mOl7!{0I%opsH zCg_ta-jVE!8wWg|;~ee(33|b4lJ*(rCy4KekY5mT2ICVcA?JT6iKeU&;qh7PN*4s&@c2>|;$tlNyp_R)l!6Dm#Faj) zE;90+C!ZAo~{(N*8R00pAm`lUT*QQC&wj%+%uN zZ;M})*n$q97&seVqR5*J`z8{vecf$v^8+Vl-JMkL?Dnm7u&*mq61C3OtS+Vt$GG7W z4`>*5jO(Ce-d2ll2CrU#A@iZ}s*KCK}H?YsEZrVP!CUcc!r|)z#fG4?g@F3F~ad$ky1g zqZjhbliWX1$hO3QcB2(U;s_f^bhlCjhWb&(jg%?X2(WQWAAmvf1lXwOlE#5PJ@hsv zI^)LB>x73z4^xfWd_&4)#i_=v?FfYM)}F3*sL?k_LP4R?yAkaO{PASi6-9QuK@^5eJ}nxI|fYQzLb8bTJ!M!%KnfLXx$WyKWN8v&>+X3rZN9dcKmY? z^vgHjq+?3`+i%DCsUJ$#BUp~>pSONsd<~vO_$TpSv}3>&?rG_Vs^tW+9ihuf;^Tx$ z|7cxFOF4<}-Q%K6;dfV7fn}lUec*XBpugPrx{DLqprzmoyZn@N%u`8=}&R8%a8U&s7y#d z`pJE?{lx~KW***Ot_~0XAfRCUCyHY_>x`8p?(5DFnzf%*V$({Sd6giy7CYJpT<9mFWHoR*xejo-eZzD z+37!=6<_Y({baX^@g3f$koei<|M{%=a!>CGY8dzpmYJvg%`VR)2q66>8M)8bbf<}t zt#5YxXS3qVy}#JojQ@OAe7PUEmg8rqmyLfP@u}^K{&J6S1IIr=nZr-ox5Srx?MGNJ z|8n2(SHn75PJ5I1@;sIm-@BK1z{IcS^bO|sKZ*a-toU*sQ+v?F_?alq0&4jwkJkZ^ zEXlvzb9C=D9Lwi8wFWYOGZ2t|jen$K6aEE%5?}UxB}}p-PaA;1!b8tfIQ;|CF*q=r zm(C5cX~g=%eI~-?GVqQPziyQHiytx(uHMcOM~SaL{E*3_u?NW~JASWK>4*0xTh~)Z zN&k+R;k=^Ki>iU__~&u_?DGHhdc*ncNdEXDhweiO9OV33i=iH_4^ne^uNWo%O>|KY zzrC5!bJ8kDxU{_>>$Ufo_*a^T7nFr+d09{TCNVp6v0*HW#X~9%e@f0J zLkhObXbRdOOFt|ADBdIsVFXCq2*REQ;>-PECPT~#5AL*ccZFt$KX$g7Vh&Bv=Bk`A=c|-phx$hm@@y{Dq-P2>w z4)@{>-40zjzkfshzmq3_ZS-$@=c{ChMg7f$;vP{r^`J$gSH++&k3mn3p?_iw`A=ie zpN&CZ6@%VCM!L7fklz`DJ}L(NhZyuXW6;ONu;+;w@~6a*?-zq!5kn8vp%Z_de;ox{0&GRv-tlxG;PYD$YrFj|$;D0Mn3C|Ip@CLr1*-0;_@pB%8Du~iCSD@ z&OtnH)fKF4Q7Pg9sf6YwrAs`eURLHQExiJ?k}4k%Qo+-#si~?V5lXGBxU$Mq%9a#W zR#p{L^x`5f2^Ci@siv5vH8rekc}<14l$B8)S&_G@0=h&#JS#lKh{@wYzMz0|EX!v} zQN>bZyZJsd;D)i67#e-=Jd(0mccW+0ZD-@JV)Fth8dh_^4sZr=PSOeb+{iNws+G8}FUecjHNfe2{O&>yX%134iO_DMr*jE$QT>K|6q>8lPqkB`k5ELwjID(n zOTbko$#JKNPNkrJ*(N&8k?NOYqAQ<(c#}+YN?ZM0COYL!{oE!x*`$7XCb}9Eh&SIv zN5O@Eg(kWqOl7RxMDJ#zSDWaGCVH)jj*1HZ)|u$$Nq&Qgo)VUZKWn0QH_^A4=;nE0 ztBKyzB>%99PCktKZ8On(DI#EliQd~pZ#2;}O!NaLdLI-0po!ksL_cJr_cPI3OmyW# z6FX?4XPV@Xo9F{f^mY?{poz}zDdZTn5ho9ci_JtIWTLzM$82sxue-^~+;xp!OXy&* z3GF&qxsWlpf1hoylntF$1Cdac7uS@5E`$g#CvIy?2*0ewgi}{(J;?EeghLf;ZRGf+ zgj)&U#_&3E@2mZ{+x4!g~_FjpJ_I5o*u7svlhI5oxA9FE^eI5ojmC&#ZR zoSI&1D#x!OoSIxK13vc4*@3tI;0}D^_8&c-H*a=xW6M$(b2m3tbI`mn1#KdI;$|m1 zkuq>5W8ds88=W$6J)$$-Uh>_6PcNdzwr*PaZBE8~=k0W1$%dx45UBg=wn>CF{b+Uj z+cfv&L!O~!T#wt|sJR3BGzf*#UgkoEK8B)9K2-C`e!U-p8a33*UfEk#){$nu+dnO| z5eXq(Z;u8tgW9)kw;Soa5^8y6FIfbGQUj|`x&yxBZX^G=k&EE_f#%>j=U{}OIKj`( zQ=x}v$dHU0SRF*X7Iz^3kUKE_p!E`A%Tn?A9+18=#T{*mC;UUfIM z#JdAc0z2TYkF&V-!tI65{jNCX&u!QItJ@RSG*K%4)hEwd^Mpu%YGP7g_3Ow$YJp_$> zsQfE9qVPUH$yHQK71v1>)XBptZh{JF!m>Wwk3wX}B;wa2wEJOPf@7h@$bH@4(jLfd zNqHgnld`5@g1_agl;;}*xkpl-&;6t^80T+E;P@dUx1}lQD9Z|2Q(gf7T|{UJV+W1g zBS!wAz}tbwUssGN&%5GKiBtaDcm|t-q}RWToFJ;ZKJ8_M5In?dD6j{qJB-|SIo8zT zaMy1re6Em0xpl&}cic_?L=_(zn*A@v{7Fw*?P}LTdp%JaIeiK=0HbvC=b;%zq+|g)0`tzya$duu%{tv(`<)K zN7MX}n&w>CZfcr;`u?}3`JbC6fIyJTM|aT{@2*}U82wvTP(gJA;3K6ib73lK494HcGR z=4o{M+ilA-NUYIDGH(AKn-B`2Dnu+qj=8Ga52YEIi>-IMxJ0#o;VN@FBKok&i@X7zE9MCM6 z5mKjeVTm4UB_v@-TgMp6&Z@u%cz}JId*1n96I&(^YB9MO!sT>z+9v5jIr!>b>n&c;%(mWq& z8i-)b6+Q^%trp3KYa*(bk^wU!B;Y0NB0~{-ADO84dOX`{=&86i1^Wi{;T#==D~4(# z?Pb?JH0PWQG>6`f)T4j&Shf>WY(Q^Ez|g3* z8s#$&Io@4CWm|S46#6BWfy;e85r z*6=ilhQ1Zofc^-sNR)v8fNO((4+72lI$TLRq9&$XH%Qot?)!2J@*ir!thEqcDNQ+k z5NNRB%}+U0bts_LHWV5JCriPNQjqsDCAfeD_XxpMDR_4@!SN(WyUft|dA&$_n-ol< z+EE%E5Nz{6s!2-il2Q>K-_dU%H5XF1OR4?QbnPOk;gIr4sduC4+Coy=Ceie$vt|cY zAHmqE+VI2INX*KygAML0UCg-r$n?PX z(*wr?ABSDj*Z&;~HLN3M{*l1@Ve)fC&aUZ9z6<2-h18&XQITB(f!(*`61)WrQ-e0r z*FTc&Y}g8xNogU3n)Nj|II(}lSY%I2zlb6nii|LZN0=KeLbE;*WcoGO$R1iCy94`o zyIw7K25&_?Lm%{)Y-d(uC}eF4>fq3lIQSR%&=CnYBvWiT(TUi<=du6!a71j92woA6 z9j+yPC*=Gs8UFSR-y}o76KMwY>u^PvxD~+PvU`KR5rKwYln}Z6VKa{(N0X!*k7ys1 z8e=W6HNCDer7j3LLoX22fvhQ*iUwfl=W_zqLQTPLf!v^fbq;s1*0ZDMj50egPMH97Q`f=@`n zRJ5G%P<|E(%E{rI>x9PL(FA*upqw0Dm4XMQV8rC`<(G1DxL-{ zI>by+oAomzqKS^BkLA%$5z(q6qxIp@dYYrj?x)9tD27tFmgFRE!Q|BLUww?NNGEUF z&AU0dh;I8qVqr#V@0jDrAvN^X_iG$*Hg}F$5{T=z1*4`;e8qDJ)j9|Yh1BF z;G-`?czo`$y2cd)3_S!{fpq6C|L#OoN3aaGxAigfR-tJyP*g(xF*za|`aWWq?0;C| z%KmK3x-}Mkh^KnPRa#hq@RateOh-@ah^s(CUg2o zT$wXS;?kTOqnY!{7cq)+>pi?U&n9!y_X08WSBUi1h^D{+UZOjIes>5nnW5i@peWBw z%p@qXu?JDm=CXAlCseleq@y{wUkt~lvMncuDKm2w~u5|-ASN0Z4T-qCqX0I*MUJSCdcs2BvySTZ2s%6~@MMk=v)S=1kY5HN{cdL&D^!tgd ze+Ee-{TRu=C3xQl4hD2TXTC*bn5ay8PU6y}pJ7s@XH@&S$w?Ka9s(N z^fg4vKemI);X5Bp>BCdIjF?G9xC+j{$&eq2sJkhK$&gbeE)7ZYbvERy&rF8o(4s_t z{Z4L36*uH7fsj=+z#IA(g7CQ@(2Atpo$_Svad3k`g6^guoPtWEOIScJ<92PMSSGt} zmbkPlvx{AqbhT@ONcjV_5JSI2DBvcA!?CRS7{bDuLkJoAU=cZ7UGWl^){N?6%`2a( zQtB9qAGm`Tlbf4!L?G$d<}s3X0x{B?aJ9es9d2WUXNE^e3Z9 zJG#tlXTlWIHcW>-=@hv+*nq7yL!Tp%I@)YWIt7T4J{DKId7kZ0GA3)7#FaHRiA!rP zie}BWqcNY0aW&)(nni z&G&!i*4#nWqvw#O=3oU`li3dl`aF?nm+P~@*QWaVfufmg`dH%1rq3iUZCVx0rj7sX zQbK&~ua^p&Y}}^#K(ZR)VKxQh`Lt;W?mp}r7@5m}kcZLL!Z{=c3zbFlBrYww5f&Mj zAM3I(`~DMdR9E-n;BCBYJk)OV1Yv`VY)Jo_IPVzg9}^@)evT-rH;HU2s%Ipw4BIJj zY1m()6;-jY$~+B3&EjSq7D!LBBJ*V+M*1^El6$A7R&_s-O+~dq;;N`NNnD!sezc;R zBy8$hR4Ej>IrucynQj4MDk^f^eei3?2$^!)1WE4;By3#Ua6|7dxaSf#^W?1^Ee|`u zVL?NG8ys_C9+tSY`dEy@T-y>+m~yp)@tmSJ2Y;aA(^nFr4}~FyzBp2^6>H_lsdN-H zM(p>%cN5AU=gOuFWF__@jP!#*=ng^0n4C;(y#ZH4|M^dmd5R|pa%L4fs8v#c8d}uQz{1=&+RNlXf@_AjAj}`jf<=a=~0=b7P4*@YLpA)Hk zSQq8reXK0!i%lEkX~&Khn^>q>1NzUmP>HnT8qmMRwNnv4M2zO(PQI#JNvZ9*`V%NP zZs-TVYHG3A;gl4CVWeM%YlEwc30|0B=x2iI55@)b(-7#C$PAIl#XOO85^RJZ*=nT6 zT{syiMv%6UH*+&$28<^Z0%3p*vUAJvEtx(%G zdC<1I0+(PlEHG`7ifx-3Qu?3w^e^CIeA{Lw1v~G^J3(yL9k|BYwn^&HV2P&TP?>)*r()>b6Y_a>w`dH!c&KEPh^so%ZyfLiW|RO{o;zCblzKOsu6-ocW!vWI8>4L7JOHAh-;FE!Os8#|dQhA7>u{x|o9KYJucfc% zah6jY-N$9BaD@n$VN7oAEaYMfNUS+HCvt1&Tu?32^iL>8#~A5e-g$hkKdeTY4qvrG zB(s-xVf3v6*+j`CttF-Db-0>+)y!%l>wh3g(*#~7aXExuhP1o5I>|`BlfNvSicdH3 zte(YfNFzN}>+7k*Y z&p&e;>C^8bw#ohnB`)pvMzjC?4GP-*u|tTRwIL$4)qF4LQh}U{qyu|r8d(#8 z8~Rj1{T?FI>(k=g#e2ghWpaUTBw#YBr^Ka68>5-D^AI;F^|I*;MDyvW*iC=r<@g2F zrTz>d*syp3P;6K{C)f{Qx!q;M;x@qxn0dUlXL`Uf^djP$EUl2ZwDd30EbT5VHM>b- znW9Uy4-(>C% ziA!_$Ml*Ne2fSdrnmbt}b`vVs(5nSfh?I?_3xF8u`M8?*P%~Xb)<=`1$%cs%mo|JH z&4!QPk72`e^}KXaxDCeya)cJYNv{Dh(%-_>yrRo|k;wWZBx$nYX^Bf4+N0TUX;&LW zpB+n)n}Y`0h><>z>o3D~@*ZE7q2~!^67>M;!$*mqdkn4!bz}WKLNkZcRZk~TlTn!x zmqzudjWUqD{2nhD^O{K*b;k|dC~74}`febG{uZv2_jqB{PQk2&#oVY1DE$tjT!d!c z$Rn*IQIk;{Brc6QC7Mw=kw%GqEes7dk?caUNk1Eizg_bc8M+g}y2e@m^5T242g8XA9)v(^yU06=Le9jYow%}II75 z9Q5*}+ObCCNwuq_rt+jVLdeipk!VL!^SdN<7A5sHO@ewQWT(-?7rrHi{v8nR9e%;} zOu|*<^9+QL_;+Zjf!q^D`d^8kdqO3?o6w~0bk#%GMM11Jk?NK%slNSomsFn& z@J!ixs&50SYg~&|-xcJ|AoJE#9+~Rzd8&^>$k1;i(T-Ff>yqjMbEo)wmrMx_g=Z>QV{}Y)cS7iHE7^;A;f4;G{8l zY26S`f|th0`bwd6F)i%#gB@;|KARXQPr0d>M7W`!C#kZ}zKgtc*-HH8V2ly%)$4c( z4dr?I5{RLHBS_mo`t^BQ_@OlZB@vr1$Rmuuffy#^2{-hOk}8dFi)Q@9SjH!bv=-5> zOlA((H&Kwj0ZAB-a`(4rIAqlwAskttlVrh}ShBaxmQ_SGLknWm%pHfqH6#6JQ8TC- zep{>MT3%WQX;B`LxhIKX${gW_{*{2toe=|nSjD!K=oI1#y!M*zQv!@zSfPpFv*xyZU0LJU(~5RNfaQe`g2Ma#t- zZ^X#O{p)!yex?%3YzAWJZwS)$$k>1CCHmu#O?m#HX$W`#xiIt;f8*dq)JO? zN3(QDEK7gzb4%xOOEbB?A*8Q4*aDKNyiHw#jxhztVsEK!plUTb=}CEkn=Jdr*YH0K zeLv|pnM^qLfh1L$To}#dYCMo(IwI3Cte!)Wn}by0M&@F!uUe2sMVf4CBHZLPIOoyU zUzwavdZ>tHLz%(t?M0eQ_7aYB0Fo;0T^`Ne#@D*oo6($m3}waI&O|y`^(FH2Ng^x` zY4NZcpc@9QB=@P~c;2X2Lm_|eF+8+#Y~Y#~jigazO!{zKx#+I3TwXqA!XRu~&C2al zochJBFVjOkt!8(DrckjF)p`wFmH)gEwV#Xeji{Xz?7R_mD~Qed)wssmh+5yFVMR0z z`5?kC#WkSM#T6S-1o%eOOaz+sA-G1}h}weU;2TkTiP-0v#LK7CMpQP+No_A zSakpmDu9P|=wxYqUK$`B;&TT+2!1vk(;u2NIEE{AO75|g^*a%TByi$%s+Lms7|>>Y z(?Ta8Jo5C72yt;6J-!vdDfmV@2#>SNfenTG%h9YWm4rLBt4Iln=(93hCLl&$s-gc_T-fEcO_C>!)J(SZHbqgJ=D zl)5kYR?sUDM7eGJD`iRFN%4bPmz0bxloHMcN9P+nzYXjv7y9~P({o~HAvr@YjS*`T z6+uHLVwrZe6M2+zv7%71G@Ke4<-?aLl~5tK9sBnPpn;eMS|fe`8VoS5$Y}Q>TC?5= zY5H~S?U1M2Py|_=Af}by)>n}vi3GR7HcY%20| zIqH}?;wang7GKKlTEq)3!Sez92yV0fSplA)glUF;6d~#y2RZgWuwSa==cDsuR`R7t z($Lq%h!wSxhw~_NV?~Kp$tU(wDt$#1oQFlAmEQSc~_V-n|1xRZS+I=9e zm*&e9gp}9&0c{Zg%{_{Ekff!D55s`|z#q_IAT=6#f`=$ANZ}ANoH|3_0JVJ4yA}Z> zm(I?s@@Z%V&j63Q;x{~zpufF%xkG4p zY7cZGeHI+Vx1C17Cfdn31-7EX@LUiAbeOs)vWWYBlAYA7|9H8R;&p2FvF6L|a6{zs z8uv#Kl^&q&3)31Y4Aa`1U}>}dxYWA)AhoRfV4XXl-$62lev6EjiEsd6jFDJiqyW2$ zI8b6GdF)#N4X@=>-GSBZyJ3AOIFSmFY?e$g8i)~=bwW0Xu`0-Xc04yrEx8T)apnff z<5756dedzxUxMUf-4D*k*v~ZS6%#~YH4XWPb{(SXflzPQMg>WN|D{87n5*b;XcnNX z%nr?hm1rXA7vtJMqPxBjNs4+M17Ra+8W%Y|Eb=e2NFo;*z(q2`B43+D=(+p0%Xo&q z74+aN#6nA+g#zzaN);rgzP8bjQZrqPVQXlIylH&C8=AO;l;=}}?=^0$X|!=)eJlr0 zsks9$9l`>t(-UKEKc_OEgGX!4!r16;&fP?Hi^s*baO(DMgatnM#{H-}@I%0YC%)p` z&2>&xxRARg8^M^O&2{Zam`fUUWCK;=p515zbtix|*BzJaHn+jU0Ukkw>7i{NiRN*q zccM{G(p`9%qMJ6%(>M9K0!{FzaQ&+u!F*!yAo}4HuG^QkJ7X2P#mQaL?$%S`dKjGN z-m-tDz6rU{-9(1|9;FAl$%_N?Jtq{`K#3qk!pQ?8_tI!gX=V@TMTs#7jgS<5%zaHW^_Jyohpcm zGv$VV!`s?TPlN>@eNi?TI#X_bLeqk{k)xk*T6ci%4&clo);#E}82@yJAn{0$#1 zM*azM$gTOol;?YFNcHEQKvkWXl6!I$Trlw#ke2<*b)g0x-WEams8))Fpj;2~1>L%b z(S$FT50=#D;pHcMttdtCq6iXj7r)bq9@ww}^stAq6@Jn zcq@7-LyS9k1{x3SQcr@WCrA^T954Rx!kWupih3e4jXim(kiCXvxx=9^=Rv)kgFL*i zh()tlMnN^7fkyBxL1V88Q~P@AsyXLC$82pS}ZlYlgL2G@1BZF@b>4!{mMvR z>V+Tc=DMgd#h6pkAz^;a4$6j}=QohPK9S-5&we0qh4DFnt*m_d2(MnMi+au5!EP0M>$!*yIFRsDVs>Y`)p*ZehXub1Nl6__iWzUA*|#tt+@MH8r6FF>F3B z#Q`wCYbgdLl}d3Hg`<|@9xkQ7b`G*d)2MMdhAdTlv|tMsKEsQT5+L+Spr%z$pnXH` z$#ttwj#-m}>*TfelwDY126Nhm0d=kEf$OX_@wgW9>&i6==uQ}W5`^3(;mIgx#|J2% zh7UU`;B;lQfAt}3y~$?W{d`dYtz-wzC~!1J1>}m%{XCbV>}mL1RzQC$KGlo|37NxE zCTa!z#PbX*(2V1#8J`zf0e3!)6RYd!-~ z&ACCMwJkYIG4J(9J*6j^Fc(>0n{wZb2(Wp^4C$NFiK@ zE=-XJ0=|9le|S!y@&K7X7b?l?Zq99?Vwdmnf+N8z0YbFM9OX*}g&GI=LhLvd2Yd&< zk8`~B3634--Ug52S~HRyHG01o$7ikWYsOJp{^03gqvf|=d&sO3X`SOuRa# zBMq)IM{szF;lZXBH+CnD+Xv%~{BVgm z`F;(b!JC7_w((*L7f(B7zilARos`9(eCL^Pu>7w&$;WC(8tvp`eJFLx7qJEMk0|eR zxbnW>m!+z33yOTw9&ZA##kTI!m7J1$WYt>@RQN*MMcBl67?^qYA~?3Oxo!b6MAo&Q z1!04uu(7EH4RW3HA^2>!kOaAdO`pWO>#yJXR3Ymaliv*9{v@(mHy<+om*@(o=wDFR zKysbp_D^-!-%+octU#`wo1(rKEvxBmSM`dZ5@V;1+Z_|gAm@` zh)Zzs6R@3^8#Ya-to-fCDc3%Y-bC%h{01KoX|DyNbP z6u;)W5*58M+O`=FHdjo`OVBt(0XW{V>8YY#ycLpNC9q4TtjcDF$!e?&3pkqn^m0o>?*n0e7c&)s*4NQ1jC7r+7quZ~s~rDh5ybE0;_o9EqYaEu;!l(K zQZD{bY;o#byqWt+{46eB8(aKmB|eYDQ@Qx;*y4kfcovEO1AP$pxVU)Cx)9YlNLA+p z&>*VwFjePA57UrD6;7orY773?Hdz~If-TVI;LLXTC*kR@nNLYjh9Q+tV+ghVPE1r7 z#qgpl{u&%nhxK!n!i%5;H+}{wLG{8KK3)s$7*kkVs-J7s#3VOx&4aQvaMSkhZX?_2 z6eo1`!r8@I|5Jo-D8d8b2ros6;D3o`FU@!1_U|BU=$lKa z5p}8v*~aVV#~Iwf06ZkzEU5rLWn#0W>_D z8!Z7bx>BzbH9c6>^qU}wn(m2y9Q+2#a0`XD4#A-5y%s@k#&-02_z3cDOBui2ViB#v zAGGj>!RIb_z1xC`kW|R_F@Tf`%C1zdq&fHnJlR0qJP2(ciiB}ytK>hF3&}>7%d&^4 zTwI9A%jI+gTEBsQBmGpaENaJI1p(gmY$U#qi~m#{vttia;vYh$^%h|8d>(f>xf4}K z88h@<9Np6p)rtCtkomKe8IH`7qm>3t;WgGvLS~tiiRv=l1{pEd(rhoPY${cm>w!>c z6VCU-K7aezH6wPPNz@(p%W7-$`MJ{oH>w;Z$la(@&|=%LA^H+gQhXB{@~8^xxhEoh zojVDc-cSRE>7doVu-1WLZ%8;U{BA)?-JWletj0?zpS-m2n<7^tCcHGVU3zKQRSWon zQFb=v#10q62j3ot7&>(pG4IplC~pql3Z>GS53ddQ<|~xrz}GY|w=_CbT7nw{L@Mw(=!L(3d3c9P|9Q>Acf~7c%r-h=A{qG!wVn@d9V` z!2rs4M_&|}sY0vnL$l@rzI?zKmJ1K7ZZ(bWQG_~X&0TbZh+O{VIQ7?hA<5&dzc}DP zZ>#jcp{COSSQj3u&!c3iD-nkr&{lFKBa5^-_jm~}Zhl}-u473Xj!Qg_e8jFW=^gri zQHUxX^+7x1zfkd1AHOUDw{$Dagnzm=hrHYM0gjDa+mpM%^&A`Ko!xo|51rz@6#ldK z53R(QMh>8N83${V;r4p_Oe6owTiJFc_l3Pfr_qe;O=~N{t_JeM+UBdk1qfJ(GD`)f zA%J3JZ4*@B6a+Abv$nx1&<6n;UfWVt0Ot=l#lQLl<9CXt(McNd-kFxRa(*c7 z=0?2hyKRAg^-<=-3qD&IR`tBnX7euEHiI}`blwim7{SSgvMG3tq|ksq!m(SpzC@(| z-J#Ci+{yPVOt*|G(dpJV`ntOzzF%%tx6)d?^MKV38f(LyO1!r{#()SXM2 z;eGkxH}scnntsXji)RNun4Uj<-kkcZ2gf*BzPo!t^tODPyXhxu zu=!3TRQILVIreYr9shFzKg4%)Z%374QBHdHd|7&%nv=0JPac@Ko`AfcB8@-(2x4doc{crr_Oo!yY6B zT>Uiuu1&`JT{d>g__0e^b@>WU1wNzW#HWL5NEMGy&S}$}W1Xv3Ic0d9DLjEb zH6+ziNNQE35!PR^w7Ak&QaYx%+BXItAR1lHDwdX%);iCe=FD*p8|D<))M?J~W5Mwr zZFu~%KRxbX!6$cqddId;?_Ae<^KHQ=pLDQKue~jj@cA=4Ki_$GaGU@0n;&*CMEd-i z?GAQy_nn{La@%J&Tz7Qm{h;o+_tWe6`)(QgppT0i*VZ>WWRgB22LD1yua)qwPICJs zUa@ppQDsGmv#Pqds-!f#y4Z=F)@J2QsvU8LGb?9YZRgAA(W4!hU+0yV)|5Jn@aJ7# zYHQ00ozB#@DsvC$LZ_UbdvHILGO9W_eESxR$Ub-e^>nEGsTA z#h1R&?~I$>9yG^j--`npm*zJ*bV(L~Yix+LYP^hOcI2iQ!a^gTAS5L-e`xI-1kBLtRK)tLCA zov>)M+Gs>iWu-ZJ86DKlI&&t}GEYrWG~t?}=)zGo*F@F4Smc2%E~@Ov4VpbVKzRk% zj!Yx5_kiyK-vhn}d=K~@@V%4pH<=B+0&-VCZc#Pp z_$$L-O%coT*zHtUlWOhutP%&qbj$Gjzv{F>|Ad*Mzq)gy!^e{@6)w zkksp`D)TNU_iK3(zR6i#g?u@)O5n12oDNo1St5KL;K=&Ys^w-BRx;*r!;#_6gNO@< zq_mYw@a5Gw;slu z9Xq>fDZEJ^+-3N@9fhaXRidQWl`NNv>UcJj*lOfqbll*X)5yUFG!9Ctev9RCQHV zrIVV@!cwf$0^FIMexR#~-Zw71r)JZpA#(NexVps(0EhfD78C@az`d?2@~W(+=> zOArn^WD77#@ZTk+m8dCXzKS={K@M7zi25m7Sji2~g1bKp4T2iMsZQ^T>Qd)m3J-R$ znuRETk9YJ!d}TJ$9z`CG&(z{mwqCwCW%LQ!D8h<79L+zxLgJZ?R2sn>j(ilA6ohFK zUFyX*f9adR1;{32xWAlp+5FneFUuJ7y>a{1iqAz=CYHU?dyE7K~yGfQ?|& zrm;cz&xQ?S3sx;y#TE>`3=t3w!fK;H6dQe=7t3P$KyL*a4=vv4JHVxU1aiO!>$))@ zs{udTfHM>|=cOqcq$H=V)fGi&?saiVRi>9-TS}fWXEWt{xw5GGB z3Fx^}IJ`(igIVst0*$&Wx>8Ni(nX~rLrbfi7&owdr8Q`kr-Ek*T_5e5#=bvbbXiza zbOk7#~JM9R{`e#F%;SY_&ngVfOBsQg$@EW`P{8C{ zLm?Mn3E%?2YXNHk{|b0Bptc2i04D+-1e^~@+pM$y3_bCTCEN}@fRg}SfE9oX0G|S^ z1^f!|W8kAOq@@-0dOVY!+;+E?gNa& zIh_{33c!tSPuy$rYyu=6gmVr8}J0+pWh0FQd1av^zBgSRKSt%V9OHl zL%`E0zH7&0Nw$35U>HT>|^KwObo&v!0!N4yEFFjG1vq6`j^NT;M9Mi9Rt$cO!{dn zFJxM6s@5+t!L~_DNJUiEAAiRKq0lKLfM0Z3@m+3`Jpow@mt<@xU=IGaU59o<#N^aj z$rjz1s9uq2FOVcz9}7X+zn6UrAb%tMgk#o_+L ztKjX4!gGRGy*U)BG4n1GI;VoSAH0jrJcLQ-eDK(fp%5<+WvAqM!HWma4cu%CmD?up z#(_7!6OT~RyAAwY@K5W+=lKWk4>8gVf>#3GzX8o@^8BMLC*K?j?K11UP~_1G-rv9* zYvv(L@uq@zJO*z*csk~T&UvJGUhu|(cV;J@JpbTb1m5oe!**Wmry3Rc2Yo%}kErAhgCwSVQL!pi4czLq^!SjIE7=e${Ucm%($1sc{S7?B%tM&+&M zmj_-ucyrA>gsEIA!5aY|V^tL12JiylT^@yZKX|Xk;5CBR4Svd~DDjSf*B`vnPCV*o z?ckMy7f}x+hacIRfx-G6@T2v!3E&+AFIqp#1Fs4G(cPq|)3{d&-c0yP1EcUZfOigf zWl?zdgSQ#H^=6)|LpsCyJ$Q|sc$CK@;NAI`PVM4Cf7}h|50uA~;P-e0K24{%Jpb_f z?gH=0D7*>ajfF24&7M5)Zi~UI1W$v{7pas-u>Wx1KvNQjNSB!g&pt@`5lo? z<$VOaH28?oc$Q0S#7ymIhHJQWIk6ot1Q zyf47pABDFSyqlkn&U+EOGvU)d5+&Xt@LmV+nJByy;1xgHb*!W|lS+Oyc0#!1Enmzd>%p6fCoxBw(?pos;a2d@1@B=qPx>@3 zg7-dnS!SKmH#r2}-{FrBHpi1XPk{F+cuS)2Qn45p8^AjQ>xF1z$-Cf91Mk!* z@s5Kx7rft@ZGnG5Wu1sM%r#hRbT{)rCtfyq%lC#tYoq9#3EpAwBE|}|8H!g39=)(9 zqTNfKtH2xmawv3F6rEeZs|WAxD70`HnvL!r@~;t|U85B?_b$90NJyj9>m_!`!lo#GO2 z3wR%ccYYM!v*4w^-pQUazm@Dc2;TK?ghCIZjfdNlWjgP`r1v<*{Q&1{qUcRbLZ1Qe z^GZ_wSzIoHO! zlPCET<|eypk|#}1&Y7N^JtNr(ImpjQwy{*`*#tdXp@*vIx8H9i@LLJ|Rsz43z;7k+ zTM7JD0>72OZzb?s3H*Pj1VZwsa?}}DbXq#muQQw>$P7yw{wq&(afl@Z|7BOXIQ*ZV zLsb;mVsQ~**!ttYV7!Y%Y=iM%i^atumdO0KQ6`9GBmdF*iGJwRX^d%f7;Y0~%NqDh@WfCrv@LCC@7KVvf_*|h0!+n|z z7st<;Ea1aq1Wd{iFmsZCPfNIUioi!oSTRB1BTf@=mxN^#1)hGofS-;R@HUCBmH18l z1^vsu0zTR=5?A#9TX5S{k>2z(Bhx*4mcZ9f6L5gUJKOPyOYrASkBpx%BNFc%?|%#4 zIx^DEvqlL#?NkBtJMkZt^fjjle!G;<9UZAhZ&IgvR{SOzua~Tc0kZuSOI*pt zg8tzm-G12uRt*#I2MJ@vQ}lwtLVn;70iTfYs-XgZYmk7GM+n#~;a_BWN{@m`Swe2N zgo^&Z4IYvGZ0ibP?}HLPBH{d z{PtWye_!HpK_@> zMG^9D2n%^vZx@$1&`D0ALVmkl$=l@2e5VrV1cm$qJ(TkkW~{e=8iXDj&^X1KW6I)3eX z5%LMMmHg>vySPx!FP8sc-0%?gFFIGq2L;+C0il0Ep_0GgA|ZdRaFB9_nVw4Yg?jmVwbJV|<;H*3VW536rg%NodGJjhfjw#YXUwTfK zO+)q5Q@7&L*2fhlo}?{>I5F8(2$U}`!0B)H5P~&T%NP2}#L0f@sTM}<_Da+=ohu1H zDEp&CgfbxPQv6vMHQHl{kcdAzGtK-6R8@NlN=oTz(h_zXaQ=WN+s!#dE%4I8YW5xo z1>+X3@Rk;cXHO-awEvE4rzSqq!B}^$WEoolNY7Iicx#HP*~7pV;`}p5J|Kjigsf)q zut_}*c^bm}kw{YdAy-QMpdpzt7g#u+&#DNPl(5GH^s&DofFsCk7*YoGv3v?tdf9pb zee4+o@WdVaL_i$ zy4Ot4VX>PKz++duB=D3K8;jg7#KTgI?t(7FlTd65vW41S$*xC$o_S*1c>rby5vW|q z#vwm=!ilXWSk4Y};A2yeyUOM4b^y;pc9=jp>jT?8%Xx;z@eI)@LZ^tHKv%%?y7XiQ zJ;TcW1{@FNG7UW7WjLA6V{PWKXe{Xm3#k~ybAcTX(J(qhP*R1bVDU^69U7>vL9hS^ z{6WG&b@m!)P>plWOmP`JE_0wg`|4 zu^?PKm-8fOq%1>y&q0})4%2^%k`t9xjmMsr;*l0Sa?Z{}iSZNoQ_*hd(E>IZQTa)H z54!-trBo<%E}wqa@&qVk(`MkRAqn1fln7sRfmsa5#bupE(iZ(2qV$LIxZ$%%)o==C z`$mg%5 zLL%RiUds?>r;O=8zQ8e?{Y}D73M|z=9pd^=0?V*JN7&B-bK1{>2$CxzlNY$*lfm_03(3yfv)Xz!MVIdGBy*U8B}f|E9-ON3>4G*p!U5N6bwOX zRQNu{1p>xK{Lx9?es4gt7Eg`EvUz@gynqE5F)W+&`s0x*VAK#So8A5B&7xZTO`PY- ztYBJ#pK~fvPd9=(Ai+j<4AfSTv7=N> zvhwG2k!B9a+3=l(wR1>EhqZH*wY)GbbEq(_n;#a&PeLph|6zpj7epBUJ7JPE{z8FC z<1Z4JG=84Ir17Mh8}DF)$+#g}4=U>tJYR+fYNX|BdWjsYLJsZ>=b(f{C5V02=6qstl>7E#jgpn z1F3#A>}|^A;OUS;&8QvlVK-CZ4WY^dHRql}O1Z_93f$qH|a9Gjka&w}*Mm}LS8_B65#7WU4?K$|*aCbMVw$v|x&DVsN& z+4~550C16mzMPOO?8{^JejFF}@eK4AF%l@o3sxkO$q70cEOIb_6D-QWUVlL1J?J?u zVW5*{A!b>Ht9=j|hD>CUH`KFWJRHhl#O_%zb|SM6C*QJX!6atSCO3&Cud|;z6;q`B z9Ev-N1d(VO-glyqxHP=@L?N~`yaGibiD`Hjib7J;@KO|oq^05QC<@6)!)sC$(mxIF zORgfzTmMIn>Y@VXU+Oijak zSL|a%I<7RlfJGrQ)9@x1g}Br3N*0C8NyEEY6q1*Qm$WEkUK-xkqLBG%cx{V97Np_* zE%qFdPGK5eoFMleAzZ_v_8DW*g>Y4+X3J&pQ?b;!+{{V75xQcJWB*@E{lb|ZWe z8PYw@;ph)vqbKcQIl7U)o)t@$Fh?Q~s|0!Ph>BXQu}s9em+q84_o|matx= z-ZI2yH%wTXoz@Ofw5`(|8?&WePGQ*r_1Gm^H zI;e$`n)n2$wBs$DN#-o0xJgff0x8!$g%p)J3yA7e)cXpF$oR&yh5a4PL;5=Zf*AQa z%5rrBXBI@buM_ucolCwoq<7gG()req+2z#DE+^j)(r@4ka@r5l>nSMrgJ{Vbu^*HW zw-z#dKPdiNzRE|Vq9uO(kB{L>&4~7c;!g@p><7jFBrvfb6#uip#C}kGNMK?=C>~Gu zaW%rhiPt15_JiUr5*7PF@o^G$^ZlTBU7}(?C_Y}IVm~O}ro92nEkne9P`pEXhofRY zC_YgWnGyRz@u?CO`$6$NG`_Vg_k-d)?FYqo+7F7)&_0Cj@P1Hyf320{az7|Oi*GMk zhsgb)_)*#;$TSTXd_O3Dw8W)@6F)}caz7|ON8)lnD8AEvQ2cmqeRw}8{xt0?o<8jd zQH|2pa^gdjBuk?Gp?JAJ6u*^<`7?D+){O55+$y%8K@f{)7k#w(Zcx zHB&yhW};FuoJx=4aB5FbX?{&6D}Hf*Xe|U%;k+3p$Z_aN4wBZ5j1&%18aYT>A}4SMsV9kPNu0nPq%=54 zS~ADE1JaWmBrQb|Y~RFEY6|IY?ShCxXI3 z($XkNSlD|dGLQxbNy`wC$w5kkgQWElI5|in2YeSTjyp(cv-9x287?5~;~D5LVvvK> zlN=;1lM}duByuo-6NG~V1AEc3xYti%1D(P^a*(t^WEf7M}yNgFNFac00lQpnH@I7kY~&VYlYkW(|@ASom#0}hfxCS<@tQpltX zI7kYangIt%8za(jWxzpF$jl5lND6Uhz(G>ToD4Wf3dzfWgQSpo8E}vkGCu|oxkQ7px0S8GT)fsS*6ynW*gQSq!3^+&%S;f-VX+y6^ zP4y-RNgF}IUR1@b+g-T+87S|X16hwdv?1Lwv-P2RrOL!atBoTI$wA71gQSfY*m^Ak z4wAy8gQQIm%p0@}a*z}%9VG2E>KkDPNt?)b*TN2xHi-;LiL=L@4hy$W%VCshRSy^ee~(Sc7Q&$m7$dQj>>k(4RIvHiC>fInUz)f*&7_h{*Gt z!jdUo->uYoGc~eb={zZQ9|7%M(j7!>OD2gxcP9BPmaxw<;BHL)mO+||q)vs=h())z z`;qTv86*wsHw9$NAfc)pTe9>rUaL)0vD8^f`a<+l#Lh%%T5Upnj+1sxtqy@L7*x%y zNhCuX!jxYx!sMx_{3h6_wBn-H_+vgFD3MllTZtiK!EU^C3<*?Ya1f4)L=|JV#Sv>cA+ysDbV#a&gf;;N=b0 z5=eZaro@z!bR6Q1N_?WwK4-{}{aq*vynP~5z?&d3sK(2zE+MgCP)!N5P8Z00HuMK6 z_t5|$&t)$b?0H=F5`pC5jYQ-^Is!BwYT7V<7y1n9HJa9qrnyg%)Nq!S4s*5K!$7dH zNqdiWooXKld6d>TQim8c4btnUcx$|XWsRa->WQFe8haM^H!bW-^b6@Jt_7DoMU{DV zbY~XzSK5aBf5ubfHxczNHxY%?Xt@VQ=%;K&YU+Ms3hF>VbrLAjl_Vy=wW!xqP`Z-u zAlS*3+=>E|uB4yhgk8xuIHp`lfhku~V9J#gm~tfr7Iq~C8g?ZG8g?ZG8g?ZG8g?ZG z8g?ZG8g?Z=hUJzHSCVdvS%!pNNs*bbD=E;hE6IJIU++qaTY2)Vd2x8=0)9Eq%+WJV?IfScJH5c^}3!>06Ru*tZmj z^eqJyaUY3A!g^&0jqNlk}uDKO<*3M}kf z3N-9n3N-9nQr|H7medkEHs7>KWJpS!Bd!7#bU#7%bsvF|Kw$ea+V+zBawLg-^`RuNvz8(D=z`h(E z-IM!rGM?O*6PVhUBlR8oaspHPasqRzeYy7`+E2R3epshmggygZ!0>Jyl)!SN+F!WH zBtmX1xs2C=43{xynF|PheAp!-uR6HM6i<1$N}pqpiwn~`r(Pkw!o!t%`wI`3=Vo&` z8}J#`hh0;Vw#vxwB62%L<~|Yc?X;!W?@ zaXLTB#j}O@9FgQHD)L}$AR3hQbWKH451i0TPwC7lk9@ikcWQJ7)q19;#ME;sc@U?g zsa*Wbh_t55$OEZqS!W1rK3wBFsJPD8lnV8z${kQehg-R-^Gzw^B&#)FQ)0WbM4`|< z*!4<0Ux^RaZYAx-ni5kF;!HrE=%g&yUaZ8O8l9ZAUa2WD^}NnHh|_^uE`FsDC%66x zXky}7w_JQhhqz9Ke6^;;)YCy3&`gJOx%kyWe9jPhr=4{@wIVc%A+Hct zC$K_Md)Eq#%5Vj7Z_t!N^%zhI6w_H>uK0!s#ecletay{aq~gs2n~yd{r-ZGyYD%Gc z-e?;X)8S#R_*S8qnjlHvr75ZA5u$ERLfV-qrSIyHrZdOZ2Q($79_n&KF&#zb${$eT zgEa@0-eZ~)YaJ=H-wbg&u*}6DQ{rf%c$c^J8BK|)N5klKwL6vgGeUgMKpHQt&#TCT zHF`qUx>Hk;)N^O2A|joI=4tIz;z$cy7S=tQ5>t<()k2hxOmp!)5ox`wA`jO3Qd+NS zDw2BEZ66}jF>4tHxZEew1oZQj>r@e0h ztgEWhKIhzfeqFPb*#lQvEIfC54TA`!h z_-Kkch>x_2f(%7)oI!1U;IBwUk?}=GnSW5Jpra!S!|#ZL|F_oK>zs2RspZ!h{r~wd zG-s`~*IxU*_uBicy^d7jR>UI{ZjSr3a8vgt7tWDqU1fXNvfl$%GW2HlX9MDYsa zFxzRAeJgqPb=}6RsE4nm#R>?|(Iq4eF-Ql$7fifFT;VkGzIEK49L@OMyNnrdMed!jI z04qGd?1<(VzeaP6U!yt3uhAUi*JzIMYc$vRHJWSu8qGC+jpiD^Mstl{qq)Yf(Ol!# zXs+>VG}rhwnrr+T%{6|F=DqBQ=DqBQ<{7_6^Ne4kdB(5NJmc4Bp7Com&-gW(XZ#w? zd)X1qGk%Tc8^1>F%Z+GY{2I+MevRfBzefL1&0)gU9Ez|thazmvp$J=ZD8kkpim)|@B5ci}2wQWQur-GwY|WtvTXQJF)*Onk zHHRW>&7lZeb0|XA944^lFsHQUFa_2eCdZn?)*L3!n!~U) z$3(oW@UVc^@`kdOH$WAutgV)^&uS@}k%v~M5Z`gy!17;px~@m+S0UY! zKuak(rIs>2&{D?bXer}zw3KlbHi zjxKm`jxKm`jxPA=pQGnq(FxAc{VO`-ay+kHJTr<~D=`991Ef3g#Ey5c=Cf+3wX1((PGW)+bHVh)TrmH{Tu}eSTu}eSoW@4z z#GHC!_{5wtLMP_uBL`!gm=7Sxo|uztdty$YJuxRkFMDFnHq~@uK8i^8#GE+k#GH}{ zPRxbspO{l3bYlMR6ps{~c(sjZPs|0=6LS);3Ql~YV0vOMSiPQ@-wW38iMcNOPgu33 zANs*s(nAVQ%&#R4A{IHYCxv#yj};9fa?|0&oZ{(;xypNZaf+FbLGA}gZm|<{E)AWS z-$5rqF;^qCE|JJ4fww9YnNMS4!mcOgb?z-lL0Q!k*G5F4oAA@gA}vyRV?G zSuyXm&s)GDBHu0|yPaA8Y{R1GN|JZ`Jns>nPG{Cu8}=sqe9Y%LBs?8X!*^}i%l0Yh z+$-U&4m!m>l=TN)&9b_?dj?rQ568ru^#^S`G^{&MvU9zCinzbmY(v~bB<`52V${o7 zb2U8YtGHt-?u%B;vpM1(4vD*w#C^q8G3q4kjSxrvG&px(5pmr}{TQ)7?kb!5x_cDt zgPG4WOp*cqVSsTWmhGr8@~G>>3b^aXf!p1Qd?s91#j4l8Uqd{39+^vJPVfsxTsEG^g-%*@Ys1bJQmhZy zrz9{XCxI+YovzBgHzhGHo`^3Js{9$b@*92mEtb38K1Ke5uzdJLi7a+qm8)I|4?sTo zO5yx3&XvDR<)i%Yr4m``x*Bc#O3B_+h)zCMIQq)m=&QofQMxN#SED^-qtC6yH`z4$ zmATP3`O)Xgdj{F5pDFJdNRPpOoGg6)0s@uoWX;Fis>x{^8@J<1c$8lHI8@Wiu*C!RGt zmpyAl{t9uuSxf1!c-DxJXAN)8d7#+q6A^N!;mun~JmO9R)@_JSUO&c}_kcY9HvEaa zo&MBqpugn$ATntif#muiGIb9JxvUi!a%3LaWYkpCPW086UAYw9<36bR4%798jaCi-Lhjyx11D% zX!1dTPK56AMXM=i$YJgG@r93UF3?_&<5i&UOMatkC@JBLOFBzoGQFI1n3_P>uqHfD+ za}=FT!R2JC9-Z@12A4b0x$mPwnSId(RLvFi@+!KJ7?F!gn5ruht%Rw%BBm0i>WY+A z!c<+6L}gnLQe6pCbq%SjY+K?ZQ!8Pr9&M6z>MLQYu1I4gOw|>cTM1KjMHW=TR9%tg zN|>rEva}MW>WVC{gsHkBuc?HodUTPbld6QNx*``>!c<+6)s-++S7co!Ow|?HPzh6Y zMK)H#R9%tHl`vITWNRf%)uYXlPHQDh)fMTegsHkBos}?ESERcVrs|6HSHe_Xk)cYM zsw=X)67PK#d81RhFWUGoXryv7Rgcaiu#B46N&F5C{xZ<2Hv^mQnD<8;2C!wBKrnHx?;Dw6@!QoT`GZZb1TVIUC{$>#pfw>8I29v997U= zzUW!h6M1tKDJ6V4WhD79W}o_qmxy|pb-ZZw>ogmg=*#p-L|ti$oNPnKl^JbKah>gYjxCp5tWpgwG@LOH@L$XLQyz#4WRDiTEXI17*B*l|-{=bY3S*WM@PrBt81J zkKk{KI3ps^nDH?aH(w_{nOjR?Z|a;FAH5U3q3kK*e(84nMbnpEOW4a_Va$|$gRtKU zmMFUr>?QvpSY4SoBND8>Oq>x3Hn-e5BNDW^{M{tRbp>5s{&PY-i>Au0Ga?CHU2dHb z3A&;D3QBaGW!_x=6jhFKe*~)6&{|G~hchC&C9eji+O1UAd5LNIPqhY!6g1j2J0n*w3wI9pp1cM)<01&E#{@O zDR?3+=B0D$8C>p^&ZVZo3&PR`Z^3+4MvHmrLSjTNYO$Cr60OBzu866{Vy;M8Ef#Y{ z617;&6{)VpVy;MCEf#Y{rq*IHFKv=^>T9u>E7Dkt#axlOwOGs*Sx}3`T#@ElEar+V zt;J%l$nsh&=8C+g7K?f5B1tDzi^W`#i)*o%E3i@74}YO$CrvY{4>xgr~Dv6w5e zxfYALB3o;*n3pz7I<2)>%oXXV#bT~VXDt?UMY?fV=_CEMSj;tKs1}R4BD-s`m@D!| zr+Qy$BON17q{X~+9)UH~#7^ZW@%I6sJT#{}Rqrotm@^(1$f;eaF|j0N$+=GM|;uIK@`j283KWi&QuF)yRVy!0&UiM*JXloGz2 zGEy=Vf6AuQNn|ChKbHgG%#BBXD<4O%P0OwYOZj+tg9^5*z&508l}^dWDA-WM(lhbr z(TbUWPqD59xnj;@fGAkmI|!RgL&IxGm7M`<#XJ(?HLP|@8Yyt$!$2$d;?FTbWl0cpn&jg7j zw>Xntx524QP)JpsH+2^R%1VHEJf11XB+}!-j3e5zQNpH60;Y_w9o2t@OOtR)zD|KN zmO%#Jr-KgwY8TZxwp^3~qvL!5>dqIz!}sajX~crp!Q#wp+G;S6XT@oBpU$1(YNX3n zr6{gbRwrkfe4oyp!5M)A0kSrBXNV}Aow_elP8u~*|D_UnGa_%L^FsDJHlIM;nyb%R z**9MzY|~#)6|is{2d|!f0m*8Tl(y31LbVq+XCdD++=;|`sU~ozP3SHpG}AqY!q&M; zT)A4rKaar2Ae-KXd+Q|6>l)y7?Q)w&1AN)77nlrF7f||FyBb|px7SR zFFH^^H(Tl)+Sw;=qZQe8=X4=n)%XD#wn=0>4!5;LFG+9oN)^3JL448cgFcy^boJXcgFcY81zDfopBzAY~T3;oNBf=Rc<=($B2mSY-IX`-1E^x+4RbriSO6{mKPN^Jq#wnFSH|EG^xj0)W`vlUU za+Ti=fT(+cF!dKor5khq1|)E{FqSCExQPot$+XO7P!u!fr0DI*(!<3M>Jk&1k_|^gOv#2Kq)&cS5 zl#x0)0E@^0SVRuMB60u*A{~I)dsuL4O$T6;cwT%PGCi4=2GThH{`0_Mbnx#zB+G>p zBd4Fn^Yq6l zhKy{wLH9hN7q9@0s9&OqP7yU&(!4+ftgauiSz5DBN^@S!ab`d*UCGlsjwENk!G_#r zpVMa`8{RsrN7E8S6aAErP|{a((wd}x>}CU!UJ}>hUQJ0yM;`t7-Whag;ccaqq9Z^1 z_}=M;B)^_mBP09vPQRW+zCq9fH%&i^ta)kSeh#tO7e}k$&j3fR+XQr_sSAR>^$ z{KOn*%I%cS9b9fL?}s*|5a>AXN4Y-+Ua9gsw9PmtcamuAd1laCOz(Y^Pd1NbYn6|& zI2EJc0D2DMp7Og2d(cm_j;j4J z7N8L?xH3g0aP~m}-LMk@kBNZQGuj3q;Ol~Ipo)K7gl&aW;lH8m{7?m`V*ND;`VIum zYKGJq^u-158HwLIowmK+NzGh)Plw|@XJt?4LG`bKt)`S-&}=(pG^fz_1Gt3@u1Zlk zK0~QsbMetu%D*#PUQ3c@1-BivIf>cL$sF3Y#LuPK6mJ|!d!kEfbDi0Ax*lIO0|?B8 z{|a=^xeRt2cIPl3iJ&Zw7EHer^LenG?#sqV_+_K+5&YMRttT(S2?U26XQNWagzEy{44FppBASKI+>T)2bkYl zM&+#~oracFJOgUE+mE8cOSzWx@vBlGV+EK7(;u)^3?g(81iXE(Acsj7*-$A?h&eMO z-HDwfCMH;CC0=(_3f$pTx$u+jxRX`#Uu;ZK0!{}~pf6hx^Aus;iu_zf%yV4jx@MDb zKLGA$z&(NbiaSSgv^qh<%x$6o46{m_YTQMdB{~Xlm;IE(Hlu!ifkel#ONw&$8cO8? zSEXzF{uZgc#zU!T@VFP)TqRgiN+fkAwo%**1#5MZml0Y=D7LJ(KG=#>pjT2S^r`zS zHBK!a>WO1=hP}#^vb2qYogo}0W zM{WBXSzN5UmvOt1#ljbwOSzN3W+-_uxT&$zq`y1Kt#X65(^LQP6`EoVB!-9qHPRQ@qr0?k#nkzEM2U7FP3O_GHgOS zOLmiNLOV-#lWameOLCKJLOaXKry<9Lc9!fW*@SkM3G6IQcxPD^HL*`1)&$ZL*bA`< z?JP|-?YZSc9v3t@Xit$ zoJj9_Cy>Kh$zW(_X=YHyz%%PDc(R*h!#hiMlWcfrNp6yj>?|wxnFTGhmeSi8qdQA- zldOonSjUQZgS}WMh`m@Rh`m@Rh`m@Rh`m@Rh`m@R2)ju(cAIH0)=7xHSSN_RSSN_R zSSN_RSSN_RSSN_RSSJX(Nj7$yX)o4Eh`m@Rh`m@Rh`m@Rh`m@Rh`m@Rh`m@R2)ju( zcAIH0)=7xHSSN_RSSN_RSSN_RSSN_RSSN^Fth)>?#cq<#JOU?B6T`#?f3E?`Zjz0^vm`gkiuo7oD8)#Fb(3uDM$^AoM`Od^S(>w`Ck8u9 zvz#(AafFt%N(ijcHLm`0vZ+~$RlfbY2= z3I9Nk7`a$+r|wz6XSnnwE_VelE}H67Huc>|eGw#0Z|?*P&7HKJn0O}%U#(07*QOBQ zI9~$umk`34yG$~-nltxp;*Uk5Hgi*Z@kJeX9e1XYt&-LClGW5yKUqw`!nIgSg|?LU#gHKMgY8(CX627B}Om=Oaul zZW=yDiI-4ogIfPsCsM_48*FPlXH$)@eI0~I(!Mlz9la^ybM}Gd|G!4C8r1)PjX-nV zf1lR~@aD=M4iaRf7Yiw3Rr0+Gnh4;M7;8*|tV&`bMXU;nSS+N7B}fsAg%q(W`Cf&H zAhVb%C}Od&A~wN(uOdlr8>(sB7Yiw3^1TY=kUbn!K@p3E6tOBOVzH1SCM5_dVpUMY zVj)FL3J_An67szY&L5k_ND0D<7&6En4wCe}3dvwd5tHv#fQLOCRMQcEj1{qJ`CbJb z@mE6;i-{sunftv8S@oS7C}J_Il|^g#dleF5YG4+lA!Ri%i%}#|1G5-Ks%u~tqexv1 z%wiOoS_89~m>%)hz$`|Q#u}K#C^EMOW-*E^sNwHbNDi87U>2hxOKbRh6%w+%hQC)K z$ZKl&dliDDYH+#`Q$?%>W-*Gau7O#MBI|1SdleFALk)kgLXeF${Jjc6HrMd?Dg@bD z1GAWz9`V=k_bMc$qXuR%8q!$IStS22-%x1opEKoN`iidYR4v6!!j)j$zb%qn6r|A@ba z%wiO^ir8s=ucG$V9`W5g|}9Oq5*m!mv&Ph*Kp#kae2v7*Lb1`@xHVU#Ss zkl1=$;=>tM{8mEyEt-g5Pt1cZ$@LoQ;$NlE-F8i>k8dUPCM#-g{AYx|iNBACuOv-s zr?_u%FXyQS7H`WZRS?5Lp?K4X(n*x}Se~gwDWh0-TFMlnkRd_*gO)OxC^f`$*it4D zrG+SWSxOx!P~uh~;mOk}^4;zd6kzhNi0NKd-KLoMmtAt+9Df@TA92qGOw~bdgX3SZ zXw;efCc+2l|Hc)Zs65BH2KHaousyy{Zt zWp~=Qk@Rm|$2^2*!fW{+qQ=T_FzPLqQw=9}4nn*oG*O}I9rGaMHhOIlJouibw@rea z8a}ISuj5vTI^OpvUIz)BJ_n#%!evqg=$l(pkcc-8_2N6P9-1GkQIU~P?VWL$Baq<8 z$S3#CIyUXJ&dk99LdG!@C>36A+L8-blwx zXr#OpkgneN1q69juI6a=>r+U`aqg*ioEH#;oOxAT88&S%>8A}F6@lTU`{T$nzR5=0 zdKEEbMZE}7^f}vlOi$hvIzdJ`>M=ceQ$+BFdVWX23kD2zkUx+2=%kv@a>rA9T&2R| z=@fE@u`&ZS2cg8gQL5@|H#K-vm`y~Fj68AEOxj|2S4-%lH_fJ<(bo_LUG=^DQY6JH z)W<+%E!)1&ASTA+P6dTczmMd0ap^Pz4|Jwjz}t|KCy>SZ3^=Gfk&!!YYM4NozD`np z>L$wMPC-uG)bKPUdfn8s@h!re8tx{vhi1me$hRevBoCQFviwmx<&TUU+S@?I@IEH&U*injE6AfaH9SGAPYCPN zH#J0w^_vpwqUO|20C3GtYe|@%^0pwN}Rax+L`zs1*7*aeY5HND{2}>#z6W z&D^K`cN7f|Q3hTVzD}yFe-pUFneWnPl)VWqC!^wT?vZ2~XNv#3Gm*>$=0Nv36X__j zdI9asyjmO9&0T=*JE3-Ov$Z>S>vbaSMk{pzzBTR5vr#%1uA~giw`j-0YY3H>j~G7- zuO@VX4c)o`d0%Le%?ruHqW4;hZd`brPFlo{@7Q5Zr3p6@*rkQ&hBt|*ICoLhQc>724PNg}7lxj5p-hpL6VCh0*~w9zRoy`VIL z0+v()TmzPImWH%3Jr;_d=u3dOfHy2ks1QXmaSE%VP1222l$KJqC^NBgU(|ABCT`J^ zHAzBCH6gTs>#j*sjPe=In&cvgtf+MZIhBZLNGN3=?im#+EiEslMXV|rVxEv6PH81= z(vTpLh*({Y#BjhBgyAd)qDnOXwSg$&uj9;-1p{*K=Vx*g$}9~LGy_hJ!p$%hsEt6a zGHq!Ndd6(D#Ppy=12OertXPv=T1K&E5F)ie=*-!i`-UK1Zck_o2LvMVrctG=D0>ZN zuGwJ%3I2mSML6Mb-yEM?DJHj4V&SsJwL_F@hbWWcmWPE0rTT;O{GZp6!+n!FvTeek z8y0kE>T6Zu{*NwA9hEw<-|f(O6(N3fVi{EvgCuZ7TI0?sqj6PN!V)G0eKp)L@SCdH zq7FcvH`H6F7O(PYfvlh%&IorV>Rr=8u(G7PahH}}yn?&+pSJ0=pOtApD-UL+3h8q2 zcN9!TiMc4xR4ffu04fNW5N_bH<{7Pnpw(-_4U9&k1`f?PXtLY}o}>*Nns35wm_Or$ zN7J<220f!#%gOx1O*N-1XwXn|=^Pa58Z(P`jfPUJE6`+6q(%W@=aJttXx_=`8FQdY z{o#|(f8Id;^Xv`b;XWm3*l^dN)hD!Y(CXtaD#iOk&@|NO_1ww@U1LUWuNtc_1no|; z8Us}31L_w!-C*{gc{d0T_y3CdJUsi<>ZC)HgKbi%BSEtV12=z8FW!bl6cZ~}T8HNI zoYwi%&ep-)Tts91Z!|u0N8VWDv-spZGiXv8kan)l8JR?%70lr}Q$ug3kU4%=DhaO? zb8V(9*E~DWEjr(#P{5^Uof%|92n)s>_rV?{q8)%%%P}`36gXab12!bV+8x@C1nV$u zN^B1gh^67hxl9)4@_-TBlVJRj_7&Qo5DJeovYn|?t%5Z;3Q9I&;L?^1+mE1qf?-<| zR14Mh!~hj-sWx1X#8GrWhUbik&KXfvT}r~W7}^bvw-t@eqR|~*OxDx5?(j-;cX+59 zorkD&R7W&_*d1PlCWIQ&DoJRS6l~h;#1phaNZ$>J)#0XLtrxWvZkmu1MOng3DT?t} zo3`*unXg3UjnhSW>Vi=@iMC8K zda;C3&77uImD^XKS7}R!J6Q3KL5ec%?rnjo!DnihY2fjKLx<3QKA0{rOH;qd=@7K- zq7IR>ZqNPW&aSz$fXQvU&^${`S!6FCZrm|;^cA`FSs4_FswV+7G{CBY+79Yw0wjcZ zl0lR|hmEI>y`qO-;Z^IuLdR4EGN?RWXY}N$PKM~DCR?F8cVR24ojg2u<1h z;228=3is9A^DBHuNxSgxokPP-OWmJlPuuj;*0k;L#{-G`^PdmHeSnUL3g*13VAKW6 z7F}p_Y0)NIB#SNz${;IrvyJU*$SpKwFAlSD z$6W=ghifV5!tTq^LtXp`!T(K*hB7_Fiv|bU9jB+QtG5Y`_7UX7yls^!0-V}sP2J%` zTRacZK8Y~TSw<1Z5Y*M%-aXuraRRxEdNMtI1AClB!-E5hy1TY5+R@&=X!r87(q}DQ z*xl7TynEq}-r+?{o0c}6y+~s-uSE)Zw{;B-invp(=y`>Yqb;(`k{4v6=ssZ1wXHMR3s=sQo$IUgS9`H3M%^|bytLDevM6}g6khbT1 z41dRJH*|piq=s^&{iS31RgG%bsz$BnRUs%;SEZGnCQ{9s$ ziC$UVbi}MZX?8Rp!5^;i-*Szw{lBZmZNuwCfnnJ-gfHKPCm6@^Ybe|zgZ-KIuD0$! zpix3If=yTE0i6`s&pmSV`~|4{R&z5Nq2@XvM z>F?1KM_(}aW2#7+WT!c#|L^xMu12hU;)-f-JVxAMj9JgQ`2ViGt9vs zE;aiF^JXb|?Gdv-M4zklndSj=P?%p-@W^p<)ExEBPPQ;KY9Vx#<4;=T=urzEJx*Pd zllJov0P{7Oq&Fw&olhC`76deOaG?c!o#t!aoN6K;Ierw8C<{+&UXEA-J$aHE;7RIK zos?F(Kjj*bHzWC3QQgP6PWvN@GRAtTstFcKUo}Bzv)#}3$D-@1Om$FWf{tl*8mpxE zQy|DRJLw0UCJ&E3d6etk96gEWNi$+j0OVT#=KrHpLzgxM%I7x)>Qz;jKS)aqT0j~) ztS0IgCH@#w!;{Boia63Td~DbG&G%#QK$Wr+M2g1W3nxt{PXxf8Jnpq5y;Smc%o{Qh zFpJsGGXcXimUDp@Uoh*0q|lM$_a7qS;_5^8`PGvQEULD^!fNy(CM~299YA)7^8=Z< z<>0$^nHk6KH@8R%mJpza%*0`4*slyi!GtGSza<|hiNiU8yK*op3om$gV*q&0Tk!W( zT66vY9%H{!WZ1Lb!TT-kPtwgWeiy3l0E!G*^g0@j53NiQ;7mF=;+9o=@to`dpJE!U}7UAq?kBnY38t|Rm+I7v7ho0MU%&a{0?5LUHH79R5bXVl{ z_eEx#*O>N39wV*X@jCzeb-dxOKR{y~qod__m7uQ$eTF6h^<3m{*7}<+KYH@xrrL}= zJKTJ9bW8IsTb3N#^{yXx?i$_Fa@_nS%@v1fuE77Zs?EBS&FCTz-LNH#vw}m1Nhdrr zIcnA&J8HH@_L%RQosFh?^bl0XQB&>BOPKX9Vg-3})HIJCK6dEG-dWY&l4|qHV)}b9 zGGy+HRG6Dbx0q{>K54exKYBE4b{yN%a`Y(vogCc~scrhIxpvoxx30?69>wB=?TBzyGOC`Ry>2eC=Rcr%MmMzUU`8;RGq44*vGeE$n4Pd48Y+4Y%7*$``8 zAK`kLcZ#vcr#j&+@1J~z4^(eo-__}r>)6+_a_cvw@Y)%iXWam{s;>?#G>Nb2>V#`& zS-l$`sc_etBi;jUvU#n!zxg>c5ubF_K=;X{W;xuIgnj_0(WqM`B^Jll!?{Ms*n zh0fQ09Osfv>o3BZhQelc_YeH>CrN2nS&1{dWg*oj#+piFO>Yv_p1kGcEyKh25APa2 zVSa1|7So@lX4mn^^;iO{esvUQExk=gPhv2>|8p%rK4|Xme|Sq|Vbf=RauWN^YMM?S9zAr;NpCCq#%CY)zT{SWi>kd%)!zKX+^fC$)z=6diPdlN=GSbY zz?n(&g0~`x3GF2CWcYss%&f*fc69WZH?P{P9gW=36p8)H96EYP{~h!$NdC*F(Zj@= zq)qa?Bs`v6;LD2Kv)6l<>qfrk)ZafEdH3F-$iF&fsFCK+$XkK^#xV!Bn8!xF3#t#H z!|pdH*5Uuo9g)GNNa8amc3->rdm!%Lj}^gdt}z#wcYzDF<;|KXtloaNdc^LJ0UD;#1vrj&G7G8kwZS;*8y=$ErMo(Ib9_mV^N-{y zj?Evhq$;-y1x&_kxUOdem2ku12$`%qg_L7+qyGaWPH4@t3#@b+Rau$%UP5} z8vIfjy_wyFqngfIY7^&H=EkBL<}y+iw{e}M``bEN5CIPqj2=tmu~Z(*ob+(-@L&d^ zC~#(A!0F7U(dg1zZS6aU`=!RX?j>V>)pMfZ>6zbdEwXQuAjLKoK^(P^7eRO-hMDoA z4V%(~Lv84ZSIE))V0cVmYF%WvHdldZco6b-D5rBnW}pv;IO*-fy?6|!J2LHPK~&-5 zZF`1L!Tp4$xwcU)9lN+YUetzSvltAz76*S&K@uS|*p9K%)8lmY;vm47vUiGJiOakF-Cplz2!d2t52 zyLTZqnu8>{0pKX$uyb8o_kbU1&E=OvChly9dJ^>w|D4dKb)BSzf^?CTF32D18g}r; zM{wk9s8EW3#AT(R3y7R;JBDs>w(ZE=;OwUFcVg7u00Af(a@@NUR|k7B3!0|6YZW%2U-BGKC3j&N+OY1*XSJ?cNx?sU?up6&0W=Ip0W ze!_#^pwpWsRQQQKou;6+!5)s>-M1r+yY!)2vzjL9pn7PK<{3VnZ5!lSq{BhMNXjr} zQ#bX_P*)GlSzx14(cLyUWO=%JxAzV7v<-Fj^-3Ub^jY)C3=V?oT*u|$P8sYULWctB z?zU~2Zl|M<=Q~Oi6LyELJGLS)j(0fy1E|#Wwys`q(uL|!+D?DFRK?(Mdpi=QdAWU{ ztDo{kePLk8=|n&1#(F}7oBA_cWTr8;@mFAs9KhG}-z@*#?F@GzA6>oOeYo^Vp@Usq z|8yaFpgX-IgV*qbLz#YB&2wfht!LgNQ$)(D)7#dQ!AtdCnt(9Z<0dAuMWHfD5kx0D zoCM~8fnqHeR3ZAw_JIu40(6SrOcqbYQBj#)U459OkkJ-L7BE_e(nEdewt<1RJ*YY? zh1q_rSy%`eL1#fXMkmYcZqG=)QODy|zF00f{{A32Qk{)dehr7>E2A}8Y#j~n=4-!JHAsd_k=?a)&+j@5p70N&s9g2n^HCGEs%k)7!R@|YX%m8vQ zynQ=3kf7Dilp=A4duWwL=wKSNd0#sg!VY52>_*mx`ZglfbSQ-LVduEvZPbscBck>Q zlsZn+YSKH{O-m|f8I04Ow*GWmJ2WpMLAI?6Rxn5fuA_4JG}9mj=i*gYuDZn8$Q9MkmCI8A_|v^X^KL`)_EImT`s7~6 zg>|4gb@520-3$G&cjBMtWR1dni~uTV35Cz|`F15NSn$yJzfacIQ^?Y=*ZVwKqi}0L zODOzVpH`SJ4f~wWlQjxI9MBR9Z{&k-DtDnQ4cp}NWR1d`16o31`X~tfipkQjZ9Y%d zD4Yps359>)(+cyYVL$YFvPR*P0WG2Mhq0R-D_;_F`h8-+MkrQB4IA`%vPNP0x*7eb ze<}Q^kc!rq4La?$WR1e> z0$M`hasId}%$J6Z_j$5L;dnqxD15e0E0m>S%YB}#QTV)omQc9UrxnW5ur8k`YZUGd zXbFWM@M(pzG>pCoCUu%M3V$-7B^3TwpH?VK!{{@6Dof#C1+;|1EBv{mP?ktI{dq$B zW3fJ=VcI`w?NC_zC(RQI&-VLCVZJnMj?a@d3eOK{35AdOv_e@LMqj+q`cn7{0WG2M z&wW~+@ud z!jl79LSg!BoupYh|iNX3Lg(>357So@?PW@%F?i_eV(jQcuPP_DEuRzRwzrup7D9IMq%holc z!s&pPP`J8sY*`vsHp>Uf|D=ediZS#4sSFms^CdNT`ufB|8=+XfG_1+z$r^=Q0$M`h zgFdY=UmAA1&y(d(niBe+fR<3W-fn=4<;$}FN%VWwi;Cq-!&FZq{YzohlSuzk_{+Y& zQdnOa_K44eIoiU<16o31`iKJkisehgPWn7qqwsS9EurvbzV1_)FAZDk^JI;}>jPRs z;m3Vip)3vihR>5V3X=n2DNmMN@e=$FU-v1LrD5;%d9p@f_O*)mRQC!u__|M_EDdY) zd9p^~IRP!9@MAu$P?m;$&F9G)g})Kd5(?ko>pq3DH0(y7CugZ;u~5bYYZSgWpd}Q(#n)E~Wog)cpC@Y+eoH`0 zD7?nk3kqdv*kwLX)+l^=KuaiGc?ums!xBDE)+n3|XbFWs?b8bLrD31(d9p^~&jz%F z!rfJ4k0A}~@p-aF;r@V@PFYWBY=mNS&a0I*^Axf)tik6w^_ghWUw;eh zUc;(=o-FJ-MR09EODKGfzxEZ%(lGkg5B+FfRrvgXmQc9erxnW5unwOmYZTrQ&=Lxl z`s-YwEDbC3d9p^~%7B(oxY=J%3S~*jPQOpgv=NH+2@N~lc6{e4WNFv|p9gD)g+ux< ze|(+D_xXHR!Vx3;MWG`0{|3Q25h+KPfDuhJD88!Te?6&jz%F!sq$=P@yahBk%n5 zqcNoLih!0-n7(Wze1){_2EYZQJ%Kuak6W}j9lOT*sc^JI;}Zw+V(g`Ygd7}Bs4 zK2O#t{QZEIQ204tk1EWUl>YR`<6aw~Sf9|aeLhdtD11vmODNpr_rt<`Y1ks4CuCKP?m<3`8=4vEL<7T5(>ZBrxnVw#W?MK?Kk~$7s}GGZ}~h~qwseFT0-F$ zeOjR`4f{8rCuh2QMc3d^WrZ}E9B=UDiy0WG2Mw|!cnEDigP&yzI@p9p9Pg|GA5 zwosOa?eux@9@)aZ0WG00{O$0sSbb?2-0xVPtWg-Ac9fP-_+_6~m@f_ct(y$--JXxdgj{{ml;V+#+k8ZT19v10sjXJ1izsnc0jjK9n|P?TQo9H=P9U*XK{D@Lz$ zQbp+r=dOLl_^X_K`-{;hICDSP>g4|-PSROklwR$uE=sR)HWZ~#be=gS&oGHb~@HjMe4EnS&=x2;UpFRe?ehm7IG3YZ3=rnOgonQVg zKY#|F>lC&Z)qq>1z2-8#u)WCIz;nu-C-VB+TIQeRthV)RCvfK)OW$DWI$?BLIjPDN zpx`%F{)fOq`ESnaCvReUm9y?(Di8vQc3-YjnE&^IPWgYnHw7s8JqWN^y?ts7`sYC> zIqD*6s}=QxrC*asG3BqV=J!2du2bneW5=C6Jak^L{J)$a{N;AFdd2epqvbCJPQO}I zP_ca0gHH0#>rXMCeWB}|W9coHuIWxdzKY4Y68uyybupwp>AysL`qAfFNKUJjV;3Cf??69Q ze$r#yO+wuE)ORwJ`^qV;pt_m#uLzb@f z+-2zxTlxaa-v_$u)H$h-wld{}rSGx)Kezm^wfVUj^kVh)&N1lkwQ}^L?KiFb4}nhO zOFz6Ev-G2uU%$0H)6(g~NmS4Jkz~pT{T|1YFDRzv|Lw%&htY$#e5&N71w6@-?2~V%725U-)8A{qsVcKmG^e=bn zr%;bNG6uhtqfp=b7RxEruYLmh1l04>cHKlX@$cW6ZjsPqF&6qN>bHkmg=!Bta`=rG59ZMdby*Ymu|B1Hd*>ZD^pC_ z$A2BCP37m!C&QM%{WZdWx#hncJE?l=KU!W(zrpgSXA1upEd3^?TO{;2VAItPQ@`Ja zy=x5qyRH1cS&(ARcUk`XtenrduTRfRA;_&zB`X+P=4s z!GE{%+mGWJd-8BU^Orjxv-vz=)A)p?KeHmmlt(Q6@5Ye-IV(T)Rmsl=%l{Qi-}hiE zQ?!3RVddZ4lVbW0`LE-Ahxomullow*rO4xl%%4MIFIpp|pYrWBKJw1JmVUvNLa(yx$m=Y9|M^1ytmVJf(r;`O`aw%?1)b)rTOVv?ijKQ3%m4Gq z!v8tT-(%^knuPvFOCPZG%T@_pt@6ksiRwYW>-sO2|J|0}Z}pjsrGJF!770B*JqG>j zR*rtvSM^wWdq(O0dSQyCsGdM?(1^bMETMngrtt&NsUG&(c~bTNpIiRNugc{g#d?$g zf9E%49i%olzg@2?QuD|fz7A#}SeJ7oD@tlypqI_qyAZgu!)BfOUTb8y~x zri3~xSWda~m3b+q==^Ze81k>S{5PE`{JM|6*3!#o3H=i+4NluYr}F0O8#^ukd$tJw zC6>R>($z8gd6xcho`=hwbMo>zWckOh6gfH`v!GME9J1@hJ}YOh<*zwg_;p?0&-~DP zKhi3kc3ixT`EBITgKi5@f7moN#ncOI*xi<{ZnXz2ovhZ1wbz#{|6gnrIsat&e}#7E zesxc)P-60U4E#igzFp6h1PJ(j%gTAc&OhH~Dn6fU>9^Z_zSj1K?_2tX7o?a|)BT^8 z{)t+lUv1^ko8w~n|IHZm3gnmS=ie(uPMeieXX#Jn=~pu>eT5x&pSJw7EL}gm{4B-~ z{pdTr#qzVl@|WB4t`>IZk}>${W6G4z<5#DcbFJmyVd*zb6#5q}eZbQ1ZxH&|Eq#}z zZ<;UkcUk(4pwoD4KHSO_R2TogiwU37oo~;_G@qk94-~#fxY^2o*v_B2{=UW1-<#K; z>5KK$Pg1u3Xu3}Oz|i0ju8gw_h>JE}aboq9kJ*LoqP}AZiOPX_>`xNClXG!2bzlay2rp1ew z!<$XfsQ5TU*p*Az&5Q5ZJl|PLQAtai>6(6l2c)LO%bJ@V-+xN3!;`S*lrj92jOlm; zm*UX_LOwcjy>GBn3Ez!G)6ykqVDcRy&Md@%1sqlQZYKypkHBAXVj<2g#OXzz=ZPHe z5`l*Y-$6vtT3Fh0w(s~Mv7B3^`NJSzqU3Lxvxa{mSLws0Wyk-4`m#?`fH$7*}Rcg4yJ*QKwxxaOJvHG@RkiuRYy9I1Kk1yUY?jL$F@^XXK>qbXc;DbiITjlbxM;mxZp+p9A zgU|yhYi%95lo0UvzZ`yi1*Jy%}*w zBc71p5Qdx)Aqsg}8W_ssr*?p+DtIOfWDt$rSHSlU9FcTq$syaVO!f#a?J~S+j{mZb!M?P(+VNfFuu~}H z3;vhbv0RW~%TmZl`;=OFT=39rtv@ zr4{?N^4;Zh_3jc6Q!@PrvtAKrD50@RQvs$E^3;->+wI%ChX*@zX*_R;dz0(nbcj7s zh24~KxO(7$BP=J~(G6EH;su6kc&MvA-QUGNTWF{U(w8*Bjav~XXYf+xz>i*LXTZu; zN$$3gJ{oV^AbjSqFP`?rs3`UhnDyO7v7apDnEjA#hjaG4ai&hke8+C+R~QSqsaa1% z>fWz=8=U>X&sldTwY~KW*EZDGl^ctCXj|Se;|daQed;6)Gmp3j8Z6p#F%;qdY&-Xk zT=^_Z{Sk%yAChYtc0y+T-?5i5_Vy)y@p7d&O@n)SuwvqglJm1uA$X?B48Ye`Z{JX+ z>B5z37Lvm%!SHpbrftJr-5m?z>aU5g&Nj?$O&xoBAy1y~A5 zB3P&&&DlhSa+-$7H((PlBTWN+JnJ@PI@6-#b;1Lqk2y`OxgkMP;GCxG+7SquZ9QFZ zMY*F7V(_PlWs4m27evChoZuP=tmLd4{-enI5$J;U4{sy4RzA*-u9S5fT+;1QPM#!f z2x`qI_aSkSWhGlJ{Qvwh1yN~>Xtz_oozrqln3^Y=c*DO2AW-%F_#3?xFb8%~{BY{! z;s4e1AtlhcbU6Nym*Suy8^4jU;7@-1ixKqF?|w(GDfxGYm$FZOIJzIfwwr(c{=wqw z@hrtZjsLWl0_MOTiyw|!W8&C>>q+C+Nw7ovO4`b4e6`nljw0aqj=T!XL!CE(=Xas} zt6kSFn~?5XHUFB9K6fJiE1~#m|Mi58ujkA8`KP?m?*{y%I#qdUCsvPr7yVlKuS0(7 z`Ile*{h(6(l@jdRlkKzd^>!!4uf@Oo_;gM~bz-Z_w>#@B0};O&^6>vsTH)VqK*I6W zKJ9>w?-$HQO5{Chf14BEo=+X95XSek+6eZc@s)ZffN*@ZcbnTM99E37b6xoefJ694 z@wNW-95rR*cT^!T^vh5G9)yMC-?cBr+;{Echx3#@XAn%YPYxiG~+*>7hmoBR@?ab>E+{OolbpE z^RIS*8*KbTR5<*!e`Z|Vh-zc~m<|Av2*#b*2q|1|#m zFcGj8N1v~RXkpfKZTg3FVAw#7r@ZAL*wK{Rx2>HCz#)!Y{0g3Ppnjk~J z{P^rpKNPND^i1Kb(1pSVsX{4|V=GyBrbm diff --git a/TEMU/inst.bin b/TEMU/inst.bin index a802b3adf2fd8ce9b861f78f36cde572277a435d..7e5221fb28da5ed1e7b734819fcd12085e5fd1bd 100755 GIT binary patch literal 212 zcmZo*;jm%(&tW>*fzyV?NYhlIfs;|hRmg_JFvv`YK}d`N2qd*QY#i=#m{zXfw0Ten zQ8W3Hkd1GeM}Dodp~=42w8S_uu5SVeo{gsqhlAVR#*6#sO8sz`&uxz`&^j Saw`LakO~uAoWaW;=w1MB|0;6; literal 844 zcmY#lU{PUUU{bM~%wr=^)?>>2j@Kr^d95h}g8)!mNJRk1U;qNE$pS!m0W*09238Os zNQ1={fowvUcOHigM=gixyZ;cqFf$41!zR!CP6+6}ATy8~tR{;9-898)0fUGbh|l~^ z6ezdVYz{*xm@mf9W8=TQ$22>G*Cs#+(@$dj0zi54{Ny^9!-iopb^Mf_Ap~?E#7|=U zB0x7yG0TSfDLX?HD7V!t9qOl_PCPb~+j~sI?(*8W1Y`Q?r;`9so;*MGt8>^qm`)u( zh20ebx)0(f1_luobEtn97(`V}pnj>1=dp>;=`qzw=CxU6i0PNwcmbfifSDXL%>ikm i(;Nc>hst{@q&J;pA)wn3{$NG&2NTpEz@+D84%g5rlxJ3YyZYJ@jFcLwgYW9r_JC zdGP~y@}PJY@!~-g@upv(C!I07wWW#!o0-OXF|P0h8U)`EA&?VuHD>G7e|V zyn56)Yz9m%qjPw-EhZQMmH^NaG%J9f=xgsoW|an3!}RP8!>gBkCkKY-yFosZm0zg& zVB{U&0VC&mV7P_DV)>u~Mm6vDI{mpbj&JCnM+8Ct`a#r`LZY6;Oq?n-Bhe93&fp%y z^&gi+276Ye1^JCgftmV}HgQRBEFs=0P|NvZSj(Q0TcJXPJVv=sZeqSc(ZEbIM{$uK zUOBvL-G1w6PCaBuKdFDqYS-lC*V&nLK-{$tce|?XuL}Sb!qDGlX&&T@WzXL$xNgOT Il2a_h7poOZod5s; literal 0 HcmV?d00001 diff --git a/TEMU/mips_sc/build/bltzal.o b/TEMU/mips_sc/build/bltzal.o new file mode 100644 index 0000000000000000000000000000000000000000..26bdf2bb94a1a82d11bd13ce42885d5cd03bea2d GIT binary patch literal 1080 zcma)5F=!KE6#g!kHdhpU^!Ya4F`u#I*3!JZjzE{0yYhIC)7y?$3hFz zP8}RYaMQV6+#IDi7;tbDA>iPq-~0RjXG$yf!OQo)_rCx8-yOMUckbVH90xWWc=*eJ zpCknzxTyu#aRCKXUssSD7NeccR(%j&jIjE)4)}9GX|BXVq`9HbxxYLMe@ytS=~r2w zH(MXP=*Jtc{{8o{hxI=1`LlF`u*7{=maDHSsQ#MfHoM!h-vZv(fIVIJaa79<757(- zt5BC^J_r@hsYV&AnjapNqi=55I6P3%7gsersCf_NDbY%=m_ON@G|%tx9uK?PBcJt- zYA*J4PtG&$-Im{_Cocs~MUXsQPn&B9k~BWXj;-SM;~;HsrU+Wiw25FXNf5;CN8R4T z4FvsGe9G+KjS5E&bKFSeKhTke3GT1s3V$a|Euc7)!mZ9ggqvhvrXa)9(qoccTix8 zpL_(uNB!~~$Q?GUpXFzvdt!6(8+8<(*NRqVmKEC0h5r09YnN}Vm@(Oh`fe{tAGG6m YBgT5O+mrD}<^)Hdh~vc1hJQ8u4{tG8egFUf literal 0 HcmV?d00001 diff --git a/TEMU/mips_sc/build/convert b/TEMU/mips_sc/build/convert index c8fc86d614bcd93b320121885dd7bfb4ce678f0c..5ffefefc1a5e23899cfecf65a67c75de4ecbf78b 100755 GIT binary patch delta 2335 zcmZuydrVVT7(b`&g%)b*llHbiTje1tP97$(%uxj5McGzg6Wv^kQ>=+jgzDJTsY8to zl7XLpU=}x$ZON9I4aYyA4olR*Hr+JYCO-a9GqyUXVTl@?(e69rC(wM$$-#!zHTX;3LIEhaNXcBME5X+ACY~Pw1rpg%jct4HQQfEgWoXKH@9H{Yx>^`#C)g!XYl(#>IZb*w_}FeC!_$Awu(M?J4+$JF+95by?>jA*@4g z`r}Aht5C0NLtUi&+f|VZZwQeaLi6t<{v}ld(XJ#P5eE8wA{$th=p(UZn0L1>#a%(j zQXw+DSZMxRyK_zl0+G!Nj@Mx8gcj}qs=}^Yex~(5R13|ws8BL(s4-JY6q=({h;SP) zDsDX^MzwGOmQym+aN|2}0q}{gRC^*~Vu`T1hfPn|6Af!m24Q|;Yk?8>Q)sDd6C%F} zk%3s<<9J+%RCXS-puNY&oRZ@u$6&`CH2@6o|@k5%<0i{|ueGdZKY+4U}IvzgO zrkV2}AxukU2ZPK)`Ir&TY3)uC^!+i=8Wt9*lt9xd!+W0Yg=M-a^aI$cn=2xgWZ!!@ zhISKWX%Q_;W2=QiYt~d;QJ9NegY+c3zbq0jTUxfHx;5*L+JkLv&HDrS;;P8~s>rX^ zxx=i-LjNOmtdwEyxgGZ8UrBNAW?doGk-w@V_bU(~ZoMirpTb7pg562Q`g(*Ann&Yd z{H2;U1FS>^nlm;tU4dcb+A3w%4f{;teBsQ3p0}enMFD zuA|?9cTrHZD1!+nY{qqTfyrhQ81UGFf3wcsM0q0M| zG2un0#bd-sBaVdJm+^h@PHNt3PO@)8-eK9Vyduh9=)~LDNXGgq!;x&Xv-z^CiqR12 zjHW}r-Ojy;ng=dW3thx?TF1ujpuJE9U-DBdf%#hki`J}N*BD;YP`eq*7uH6XxoG*7@ac)<^P?`}!>7Mkc#wUVD<<*Rcnsmq<@L75x&4f$orL+t9jOX~a z1Sz_WJt9b2i4g+cG`sgOYPeSMg<%e5!JTsStFS!7t@}iYg8mFUbYysPzmP5RI-fLH zE5CgE85kq6%25ZI7^3N3llQ)NFt6+PeR8x*VZlRE5@=Uo*`_z&IN{}ccK delta 2273 zcmZuyeN0nV6u-CaD{U!I+CCm_fwm4sg5`5&WpT4rn2*UK^J5`K))E!P=mabY_{YS7 ze>A9p9kZy*lA7#~Ein^Avf#(E66fHL#cXlex(yRGnl*k*NHzj~b?4r`Hwp73_nvcq z=iJ}D_q==0OVrQR4dwg#^Z~*o-4inB{*aMn-5Wkx#0&&OLD^s8dlIS)Y6c5ATu31kQv~Hs#m39vI;KH4c`ATDjbt+Nt8(_ z)vPvZ(bBLXbZTt&ne4LespDjGyV)OOz(zy7v)51iCY(q3rQ{$9MUv0=^HP<8pM zM765RSCUX@*S`H9gbqYnyCR_wgmhJ<+mXTs3MkV#VXk>vm<4Ba2VjL@ z&hlH*o(u%_;1eX+hN!#%H9`xbM+Gx&hEu{aQIq;H*^XyP-q8^v_kU-&!uWm`&X!j_ zY>58!z8t+R_urXr+T1V^kC_5Qo*1v>YGPwXfTYB?j2`lLj=K)NGP#=i-iYClbg#eE zglUooh0{2c58s#BX#9y*?!Qmv+Qfn4Hk?6lxj2=hLN^Ab>{ofvps9Tr)c0oT0!Pe1dmNEAZZ`!Yp5@yK8snJLS2wbrHb)}*wJP}4{jv1$3k7^48su3u&^6i zfGvhRWiXTJ_NvI|i11f|-ePQ(*y8i4R16BuZlM`RCJ35cLJwM#&}v?t#b(B_O=E5~ zqvy^26av{zGzr7mhiC$FbKKZhVP6UF=A46@)PPy0meA;Ki=U$FOzt|`2h=L+vPsee z8f!TnfGVp*xowfan%r~XOG(sZO611y+RHP;GE-ef#@ z4pzLFBov~x9On?0KcAFI-vh5C(LJmm?UQOWc{}!ox_0i}zr8iGlU34t7!~siz;%}?f0>V ziP8oairkLVXyN(zYh`FsNm>$Sib{+zM3`3tw~8d=QM9-~ut4&DfhPAUp!#o9|9SXt zbGe;gFN%s|abptCgu|{pkP9r1I1U*R`@u0$?~Na3+$9jt(}@ectb{X>nb&a*t%Y9o zT{J_dr$o4pUOlvUTrlSGcv+!Y7^}X>=^S*RSYY1crnkW1b>oXi+i?%kg)_p+jR`}i zx5P1xUIR9D-|9W+;NE|fxvU^96nx{}lHm~@Y+GU%W2_jVB$RK$YFq^zSyE=|!S})B ZLk%2s6@9GLLBSHcan_MWpF^+9{V$PZ@%{h+ diff --git a/TEMU/mips_sc/build/jal.o b/TEMU/mips_sc/build/jal.o new file mode 100644 index 0000000000000000000000000000000000000000..5d76d167f940b95362331bf2663d26d8e66791e8 GIT binary patch literal 1612 zcmb7DO^8xa6h2R9Mjd?hMks_JN6;~7csOHkP?Ca}Y6ut6s$D5s362g=1B;+-i#HU} zENT&f18zoWkpOCvu` zBciV!BA-EY$pu`XMeRFfD0T`CL)!xbbz_6*?MsfE zlt~1}%UM?!R+Oyj+N-?S$a3Czfv$zpXyI*|E_;@g8oD=gkH1y523A6 z(9y4BWrY4jF9@t-S&II3MgK62`mSTOYI^p4epdf5Y@j~XKmHH>`wCXQ|5h!8UbFfS zwC>6^So4a0kI;|jxpkEvDf##{o0(-ek#xm}TJOnE_*s2so5DbyyJJ7M2kN@Nxt9+Zdmz&7RCHZ9hC+nSbJ*s+tV`Tn0 z7qK}Jq)`yi=7db6Gw@?dd>(#!(p;ki;*nD4dmSrvzQ27Xop z@5i^W8;qwM8pZ^7^Kda3E literal 0 HcmV?d00001 diff --git a/TEMU/mips_sc/src/Makefile.testcase b/TEMU/mips_sc/src/Makefile.testcase index 441ddd5..55ef898 100644 --- a/TEMU/mips_sc/src/Makefile.testcase +++ b/TEMU/mips_sc/src/Makefile.testcase @@ -1,3 +1,2 @@ # change the tesctcase name USER_PROGRAM := bltzal - diff --git a/TEMU/mips_sc/src/include/inst_def.h b/TEMU/mips_sc/src/include/inst_def.h index 87b3a7d..c166c3a 100644 --- a/TEMU/mips_sc/src/include/inst_def.h +++ b/TEMU/mips_sc/src/include/inst_def.h @@ -618,3 +618,12 @@ li t0, v0; \ mthi t0; \ mfhi s0 + + + + + + + + + diff --git a/TEMU/mips_sc/src/include/inst_test.h b/TEMU/mips_sc/src/include/inst_test.h index f274297..127054d 100644 --- a/TEMU/mips_sc/src/include/inst_test.h +++ b/TEMU/mips_sc/src/include/inst_test.h @@ -288,4 +288,6 @@ nop; \ addiu s5, s5, 8; \ bne s4, s5, inst_error; \ - nop \ No newline at end of file + nop + + diff --git a/TEMU/mips_sc/src/inst/bgezal.S b/TEMU/mips_sc/src/inst/bgezal.S new file mode 100644 index 0000000..5674729 --- /dev/null +++ b/TEMU/mips_sc/src/inst/bgezal.S @@ -0,0 +1,217 @@ +#include "asm/regdef.h" +#include "asm/asm.h" +#include "asm/context.h" +#include "inst_test.h" +#include "trap.h" + .set noreorder + .set noat + .global main + .text + +main: + ###test inst + TEST_BGEZAL(0x9ec9db66, 0x029aaf84, 0xb5a8cca0, 0x00000000, 0x00000000, 0xbfc0041c, 0xbfc003dc, 0xbfc00414) + TEST_BGEZAL(0x48f555d0, 0x288dbddc, 0xabcf87b8, 0x288dbddc, 0xabcf87b8, 0xbfc004a0, 0xbfc00498, 0xbfc004d0) + TEST_BGEZAL(0xe47b2aa0, 0xc6bf36e0, 0x1eb3e3a0, 0x00000000, 0x00000000, 0xbfc00594, 0xbfc00554, 0xbfc0058c) + TEST_BGEZAL(0x5955ebd6, 0xa44467a3, 0xdbe004f7, 0xa44467a3, 0xdbe004f7, 0xbfc00618, 0xbfc00610, 0xbfc00648) + TEST_BGEZAL(0x3baa4ab0, 0x7998f600, 0xf047d898, 0x7998f600, 0xf047d898, 0xbfc006d4, 0xbfc006cc, 0xbfc00704) + TEST_BGEZAL(0xbff7aa00, 0x82bf9c2c, 0x68d44fa0, 0x00000000, 0x00000000, 0xbfc007c8, 0xbfc00788, 0xbfc007c0) + TEST_BGEZAL(0xf72cd104, 0x72df5726, 0xdfbd8610, 0x00000000, 0x00000000, 0xbfc00884, 0xbfc00844, 0xbfc0087c) + TEST_BGEZAL(0xd8b3ba00, 0x3c5ba4aa, 0x7af32580, 0x00000000, 0x00000000, 0xbfc00940, 0xbfc00900, 0xbfc00938) + TEST_BGEZAL(0x7ade7232, 0xcc24a355, 0x38bd0e50, 0xcc24a355, 0x38bd0e50, 0xbfc009c4, 0xbfc009bc, 0xbfc009f4) + TEST_BGEZAL(0xdecd38ca, 0x448e3e59, 0xf188c7f8, 0x00000000, 0x00000000, 0xbfc00ab8, 0xbfc00a78, 0xbfc00ab0) + TEST_BGEZAL(0x78311f7a, 0xe5b25fb8, 0x7ca7863d, 0xe5b25fb8, 0x7ca7863d, 0xbfc00b3c, 0xbfc00b34, 0xbfc00b6c) + TEST_BGEZAL(0xd72704a0, 0x104f8b6e, 0x2c9eed51, 0x00000000, 0x00000000, 0xbfc00c30, 0xbfc00bf0, 0xbfc00c28) + TEST_BGEZAL(0xd431c144, 0xd85ed6a8, 0x1d5bc2a6, 0x00000000, 0x00000000, 0xbfc00cec, 0xbfc00cac, 0xbfc00ce4) + TEST_BGEZAL(0x91562900, 0x7783a600, 0xdd8f904a, 0x00000000, 0x00000000, 0xbfc00da8, 0xbfc00d68, 0xbfc00da0) + TEST_BGEZAL(0x0ce6fe4c, 0x2e4b13e8, 0x51ce2d10, 0x2e4b13e8, 0x51ce2d10, 0xbfc00e2c, 0xbfc00e24, 0xbfc00e5c) + TEST_BGEZAL(0x26f4c05b, 0x968b3a48, 0x6a70b840, 0x968b3a48, 0x6a70b840, 0xbfc00ee8, 0xbfc00ee0, 0xbfc00f18) + TEST_BGEZAL(0xc76b6cdb, 0xd3f8c2d8, 0xdfffa3a8, 0x00000000, 0x00000000, 0xbfc00fdc, 0xbfc00f9c, 0xbfc00fd4) + TEST_BGEZAL(0x9660824c, 0x68878e40, 0x94471180, 0x00000000, 0x00000000, 0xbfc01098, 0xbfc01058, 0xbfc01090) + TEST_BGEZAL(0x6c99e116, 0xf7b47398, 0xb75b3f50, 0xf7b47398, 0xb75b3f50, 0xbfc0111c, 0xbfc01114, 0xbfc0114c) + TEST_BGEZAL(0xad1ca3a4, 0x86a25bbc, 0xff4d116e, 0x00000000, 0x00000000, 0xbfc01210, 0xbfc011d0, 0xbfc01208) + TEST_BGEZAL(0xbd1deccb, 0x55c6980e, 0x2f318e50, 0x00000000, 0x00000000, 0xbfc012cc, 0xbfc0128c, 0xbfc012c4) + TEST_BGEZAL(0x355b4ad4, 0x67f280e6, 0x075245b0, 0x67f280e6, 0x075245b0, 0xbfc01350, 0xbfc01348, 0xbfc01380) + TEST_BGEZAL(0x3df92cb0, 0x66396481, 0x9cf8f555, 0x66396481, 0x9cf8f555, 0xbfc0140c, 0xbfc01404, 0xbfc0143c) + TEST_BGEZAL(0xe9998d40, 0x9d726900, 0xdff44568, 0x00000000, 0x00000000, 0xbfc01500, 0xbfc014c0, 0xbfc014f8) + TEST_BGEZAL(0xac74d8e0, 0x209b13ea, 0x511abe08, 0x00000000, 0x00000000, 0xbfc015bc, 0xbfc0157c, 0xbfc015b4) + TEST_BGEZAL(0xbf9424d8, 0x49cacf20, 0x42693dc3, 0x00000000, 0x00000000, 0xbfc01678, 0xbfc01638, 0xbfc01670) + TEST_BGEZAL(0x48e3f22e, 0xa523c75c, 0x85bce4c0, 0xa523c75c, 0x85bce4c0, 0xbfc016fc, 0xbfc016f4, 0xbfc0172c) + TEST_BGEZAL(0x8ec77978, 0xb7b716b0, 0x88cb0974, 0x00000000, 0x00000000, 0xbfc017f0, 0xbfc017b0, 0xbfc017e8) + TEST_BGEZAL(0xc15efd20, 0x0ef69dd0, 0xfa8ce8f4, 0x00000000, 0x00000000, 0xbfc018ac, 0xbfc0186c, 0xbfc018a4) + TEST_BGEZAL(0x9c29f658, 0xee20a3d8, 0x2cb20ac8, 0x00000000, 0x00000000, 0xbfc01968, 0xbfc01928, 0xbfc01960) + TEST_BGEZAL(0x1c624d80, 0x838d0cd4, 0xc0a5f39a, 0x838d0cd4, 0xc0a5f39a, 0xbfc019ec, 0xbfc019e4, 0xbfc01a1c) + TEST_BGEZAL(0xfafd1778, 0x2277d010, 0xa31858e4, 0x00000000, 0x00000000, 0xbfc01ae0, 0xbfc01aa0, 0xbfc01ad8) + TEST_BGEZAL(0xb129bb70, 0x4e4cf3c3, 0x65cb3d2a, 0x00000000, 0x00000000, 0xbfc01b9c, 0xbfc01b5c, 0xbfc01b94) + TEST_BGEZAL(0x7ab4682c, 0x3b800bd9, 0xdc102e20, 0x3b800bd9, 0xdc102e20, 0xbfc01c20, 0xbfc01c18, 0xbfc01c50) + TEST_BGEZAL(0xf0c23ef0, 0x3d762dfa, 0xbf9fbd50, 0x00000000, 0x00000000, 0xbfc01d14, 0xbfc01cd4, 0xbfc01d0c) + TEST_BGEZAL(0x3e78666e, 0x5116d1f8, 0xcdabd338, 0x5116d1f8, 0xcdabd338, 0xbfc01d98, 0xbfc01d90, 0xbfc01dc8) + TEST_BGEZAL(0x10331dba, 0x172c9ad7, 0x67ac49e0, 0x172c9ad7, 0x67ac49e0, 0xbfc01e54, 0xbfc01e4c, 0xbfc01e84) + TEST_BGEZAL(0x9af65ad8, 0xc9182114, 0x3db85058, 0x00000000, 0x00000000, 0xbfc01f48, 0xbfc01f08, 0xbfc01f40) + TEST_BGEZAL(0x8613d8e4, 0xd1796a5e, 0x3a497608, 0x00000000, 0x00000000, 0xbfc02004, 0xbfc01fc4, 0xbfc01ffc) + TEST_BGEZAL(0x0361585c, 0xef10cd54, 0x12416476, 0xef10cd54, 0x12416476, 0xbfc02088, 0xbfc02080, 0xbfc020b8) + TEST_BGEZAL(0x774d2398, 0x463c2acc, 0xa046b6ca, 0x463c2acc, 0xa046b6ca, 0xbfc02144, 0xbfc0213c, 0xbfc02174) + TEST_BGEZAL(0xaaa490d0, 0xe3096291, 0x92d167d4, 0x00000000, 0x00000000, 0xbfc02238, 0xbfc021f8, 0xbfc02230) + TEST_BGEZAL(0x530cee60, 0xe2865f30, 0x10c8cf40, 0xe2865f30, 0x10c8cf40, 0xbfc022bc, 0xbfc022b4, 0xbfc022ec) + TEST_BGEZAL(0x103d4d72, 0x801ced08, 0x12db7c88, 0x801ced08, 0x12db7c88, 0xbfc02378, 0xbfc02370, 0xbfc023a8) + TEST_BGEZAL(0x75b35bb0, 0xb5f0b262, 0x61e02650, 0xb5f0b262, 0x61e02650, 0xbfc02434, 0xbfc0242c, 0xbfc02464) + TEST_BGEZAL(0x558ac57d, 0x9b874393, 0x95482680, 0x9b874393, 0x95482680, 0xbfc024f0, 0xbfc024e8, 0xbfc02520) + TEST_BGEZAL(0xdf4f0f00, 0xddbb3172, 0x573c55da, 0x00000000, 0x00000000, 0xbfc025e4, 0xbfc025a4, 0xbfc025dc) + TEST_BGEZAL(0xd05951cb, 0x9adfc9b8, 0x8aa54cc9, 0x00000000, 0x00000000, 0xbfc026a0, 0xbfc02660, 0xbfc02698) + TEST_BGEZAL(0xb5128d6f, 0x1bfa1c20, 0x47ffc5a0, 0x00000000, 0x00000000, 0xbfc0275c, 0xbfc0271c, 0xbfc02754) + TEST_BGEZAL(0xdfd53a06, 0x63100e90, 0xd0f1231c, 0x00000000, 0x00000000, 0xbfc02818, 0xbfc027d8, 0xbfc02810) + TEST_BGEZAL(0x74ba0840, 0x209b93c8, 0x74521540, 0x209b93c8, 0x74521540, 0xbfc0289c, 0xbfc02894, 0xbfc028cc) + TEST_BGEZAL(0xe265cd50, 0x4098744a, 0x31b34048, 0x00000000, 0x00000000, 0xbfc02990, 0xbfc02950, 0xbfc02988) + TEST_BGEZAL(0x6885271e, 0xc1dcfe80, 0x88a4cd80, 0xc1dcfe80, 0x88a4cd80, 0xbfc02a14, 0xbfc02a0c, 0xbfc02a44) + TEST_BGEZAL(0xefc36830, 0x54d12648, 0xe41af3f0, 0x00000000, 0x00000000, 0xbfc02b08, 0xbfc02ac8, 0xbfc02b00) + TEST_BGEZAL(0xad25a725, 0xe86ffef3, 0x6920e15c, 0x00000000, 0x00000000, 0xbfc02bc4, 0xbfc02b84, 0xbfc02bbc) + TEST_BGEZAL(0x771729a0, 0x52213f70, 0x1bbc4d28, 0x52213f70, 0x1bbc4d28, 0xbfc02c48, 0xbfc02c40, 0xbfc02c78) + TEST_BGEZAL(0x051c0bc2, 0xc53e0410, 0x7c0d79e3, 0xc53e0410, 0x7c0d79e3, 0xbfc02d04, 0xbfc02cfc, 0xbfc02d34) + TEST_BGEZAL(0xad4ff0d4, 0x4309ff12, 0x3abf1fbc, 0x00000000, 0x00000000, 0xbfc02df8, 0xbfc02db8, 0xbfc02df0) + TEST_BGEZAL(0xe4dbf4b8, 0x1503ba59, 0x9628c7e0, 0x00000000, 0x00000000, 0xbfc02eb4, 0xbfc02e74, 0xbfc02eac) + TEST_BGEZAL(0xe65eaffc, 0x40ba9d66, 0x5fdbaae0, 0x00000000, 0x00000000, 0xbfc02f70, 0xbfc02f30, 0xbfc02f68) + TEST_BGEZAL(0xbeb0f688, 0x52917aa4, 0xee0a26b0, 0x00000000, 0x00000000, 0xbfc0302c, 0xbfc02fec, 0xbfc03024) + TEST_BGEZAL(0xefdfd000, 0x7880b230, 0x1f93e14a, 0x00000000, 0x00000000, 0xbfc030e8, 0xbfc030a8, 0xbfc030e0) + TEST_BGEZAL(0xe0a736fb, 0x45c93fa8, 0xf646a898, 0x00000000, 0x00000000, 0xbfc031a4, 0xbfc03164, 0xbfc0319c) + TEST_BGEZAL(0x6360c99b, 0xf368cb16, 0x8c03a3f8, 0xf368cb16, 0x8c03a3f8, 0xbfc03228, 0xbfc03220, 0xbfc03258) + TEST_BGEZAL(0x930cf074, 0x52d63224, 0xc7aabdc1, 0x00000000, 0x00000000, 0xbfc0331c, 0xbfc032dc, 0xbfc03314) + TEST_BGEZAL(0xb03418d0, 0x096d96a0, 0xaec62677, 0x00000000, 0x00000000, 0xbfc033d8, 0xbfc03398, 0xbfc033d0) + TEST_BGEZAL(0x79d1e580, 0x20bf711c, 0x1a2e2914, 0x20bf711c, 0x1a2e2914, 0xbfc0345c, 0xbfc03454, 0xbfc0348c) + TEST_BGEZAL(0x81e06df9, 0x212e0cec, 0x9ea07a8c, 0x00000000, 0x00000000, 0xbfc03550, 0xbfc03510, 0xbfc03548) + TEST_BGEZAL(0x6a064cef, 0x18955140, 0x86b2e1f0, 0x18955140, 0x86b2e1f0, 0xbfc035d4, 0xbfc035cc, 0xbfc03604) + TEST_BGEZAL(0x204d8af0, 0xffc3f740, 0xbe11f92f, 0xffc3f740, 0xbe11f92f, 0xbfc03690, 0xbfc03688, 0xbfc036c0) + TEST_BGEZAL(0x2d24d026, 0x760258c8, 0x771f9bc2, 0x760258c8, 0x771f9bc2, 0xbfc0374c, 0xbfc03744, 0xbfc0377c) + TEST_BGEZAL(0xdd6a4690, 0x85197cce, 0xf8c6da8e, 0x00000000, 0x00000000, 0xbfc03840, 0xbfc03800, 0xbfc03838) + TEST_BGEZAL(0xe3a7803c, 0x29fdc410, 0x2c377344, 0x00000000, 0x00000000, 0xbfc038fc, 0xbfc038bc, 0xbfc038f4) + TEST_BGEZAL(0xb1d59e1c, 0xff2889a0, 0x47311a00, 0x00000000, 0x00000000, 0xbfc039b8, 0xbfc03978, 0xbfc039b0) + TEST_BGEZAL(0xba405044, 0x70ba29d0, 0x665a0285, 0x00000000, 0x00000000, 0xbfc03a74, 0xbfc03a34, 0xbfc03a6c) + TEST_BGEZAL(0x966c21d0, 0x95320960, 0x472b06c6, 0x00000000, 0x00000000, 0xbfc03b30, 0xbfc03af0, 0xbfc03b28) + TEST_BGEZAL(0x2640fab0, 0xd2c9245e, 0x261888e8, 0xd2c9245e, 0x261888e8, 0xbfc03bb4, 0xbfc03bac, 0xbfc03be4) + TEST_BGEZAL(0x8919d5ac, 0x8a0b4f16, 0x981f1b40, 0x00000000, 0x00000000, 0xbfc03ca8, 0xbfc03c68, 0xbfc03ca0) + TEST_BGEZAL(0xb4f05260, 0xea8b953c, 0xc7804f58, 0x00000000, 0x00000000, 0xbfc03d64, 0xbfc03d24, 0xbfc03d5c) + TEST_BGEZAL(0x05cbb32d, 0x10e22c70, 0xe7772208, 0x10e22c70, 0xe7772208, 0xbfc03de8, 0xbfc03de0, 0xbfc03e18) + TEST_BGEZAL(0xda6de980, 0xe0d533d6, 0xd48d1074, 0x00000000, 0x00000000, 0xbfc03edc, 0xbfc03e9c, 0xbfc03ed4) + TEST_BGEZAL(0x30043918, 0x6415db60, 0x73aaeff8, 0x6415db60, 0x73aaeff8, 0xbfc03f60, 0xbfc03f58, 0xbfc03f90) + TEST_BGEZAL(0x3f598570, 0xb5adc358, 0x22f12300, 0xb5adc358, 0x22f12300, 0xbfc0401c, 0xbfc04014, 0xbfc0404c) + TEST_BGEZAL(0xd94a1fc4, 0xff41f972, 0xad185750, 0x00000000, 0x00000000, 0xbfc04110, 0xbfc040d0, 0xbfc04108) + TEST_BGEZAL(0x03070850, 0x60342c78, 0x8ae75d1c, 0x60342c78, 0x8ae75d1c, 0xbfc04194, 0xbfc0418c, 0xbfc041c4) + TEST_BGEZAL(0x9d6c5b50, 0x62d50b2c, 0xbf7bbbe0, 0x00000000, 0x00000000, 0xbfc04288, 0xbfc04248, 0xbfc04280) + TEST_BGEZAL(0xefc3fb6d, 0x41adc580, 0x44811cea, 0x00000000, 0x00000000, 0xbfc04344, 0xbfc04304, 0xbfc0433c) + TEST_BGEZAL(0x4461ad06, 0x8d1ebf10, 0x6cbe3a80, 0x8d1ebf10, 0x6cbe3a80, 0xbfc043c8, 0xbfc043c0, 0xbfc043f8) + TEST_BGEZAL(0x3eea0f88, 0x16af2f88, 0x70e0f0c8, 0x16af2f88, 0x70e0f0c8, 0xbfc04484, 0xbfc0447c, 0xbfc044b4) + TEST_BGEZAL(0x00539980, 0x7419e600, 0x857ede78, 0x7419e600, 0x857ede78, 0xbfc04540, 0xbfc04538, 0xbfc04570) + TEST_BGEZAL(0x12755708, 0x7f8d4c60, 0x35baf096, 0x7f8d4c60, 0x35baf096, 0xbfc045fc, 0xbfc045f4, 0xbfc0462c) + TEST_BGEZAL(0xa8566262, 0xa0dc0850, 0x935b76fc, 0x00000000, 0x00000000, 0xbfc046f0, 0xbfc046b0, 0xbfc046e8) + TEST_BGEZAL(0x4312482c, 0x3babfa88, 0x8c744b40, 0x3babfa88, 0x8c744b40, 0xbfc04774, 0xbfc0476c, 0xbfc047a4) + TEST_BGEZAL(0x7258b698, 0x37025294, 0x2442dc78, 0x37025294, 0x2442dc78, 0xbfc04830, 0xbfc04828, 0xbfc04860) + TEST_BGEZAL(0xc8112dce, 0xa7edf5bc, 0xf05ebc8a, 0x00000000, 0x00000000, 0xbfc04924, 0xbfc048e4, 0xbfc0491c) + TEST_BGEZAL(0x76825d9a, 0x1a5e8a78, 0x8b96ed40, 0x1a5e8a78, 0x8b96ed40, 0xbfc049a8, 0xbfc049a0, 0xbfc049d8) + TEST_BGEZAL(0xd5acf404, 0x3786e87b, 0x33e1ad01, 0x00000000, 0x00000000, 0xbfc04a9c, 0xbfc04a5c, 0xbfc04a94) + TEST_BGEZAL(0x2d684380, 0x353a658f, 0x3a78a716, 0x353a658f, 0x3a78a716, 0xbfc04b20, 0xbfc04b18, 0xbfc04b50) + TEST_BGEZAL(0x6d24026c, 0x4c07aa70, 0x262d7a68, 0x4c07aa70, 0x262d7a68, 0xbfc04bdc, 0xbfc04bd4, 0xbfc04c0c) + TEST_BGEZAL(0xe781e987, 0xbb172b3f, 0x9506bf76, 0x00000000, 0x00000000, 0xbfc04cd0, 0xbfc04c90, 0xbfc04cc8) + TEST_BGEZAL(0x849e87e0, 0x95eae311, 0x2a431460, 0x00000000, 0x00000000, 0xbfc04d8c, 0xbfc04d4c, 0xbfc04d84) + TEST_BGEZAL(0xb77b8830, 0xb6cd8f00, 0x599c33ac, 0x00000000, 0x00000000, 0xbfc04e48, 0xbfc04e08, 0xbfc04e40) + TEST_BGEZAL(0x38b32afe, 0xcb06ea2a, 0x12b1bd66, 0xcb06ea2a, 0x12b1bd66, 0xbfc04ecc, 0xbfc04ec4, 0xbfc04efc) + TEST_BGEZAL(0x364547e8, 0x9d0b2668, 0xe1c59c78, 0x9d0b2668, 0xe1c59c78, 0xbfc04f88, 0xbfc04f80, 0xbfc04fb8) + TEST_BGEZAL(0x9488b99c, 0x10cbe1b8, 0x407da648, 0x00000000, 0x00000000, 0xbfc0507c, 0xbfc0503c, 0xbfc05074) + TEST_BGEZAL(0x45557d80, 0x2c5ffe58, 0x41c23300, 0x2c5ffe58, 0x41c23300, 0xbfc05100, 0xbfc050f8, 0xbfc05130) + TEST_BGEZAL(0x4162a47e, 0x4859ca40, 0x62511bd0, 0x4859ca40, 0x62511bd0, 0xbfc051bc, 0xbfc051b4, 0xbfc051ec) + TEST_BGEZAL(0xd40f9260, 0xbdc20ca8, 0x6ad8501b, 0x00000000, 0x00000000, 0xbfc052b0, 0xbfc05270, 0xbfc052a8) + TEST_BGEZAL(0x21e007b0, 0x2f6840b0, 0xd69ebe4f, 0x2f6840b0, 0xd69ebe4f, 0xbfc05334, 0xbfc0532c, 0xbfc05364) + TEST_BGEZAL(0xe3f7d594, 0x7c80bd20, 0xea950588, 0x00000000, 0x00000000, 0xbfc05428, 0xbfc053e8, 0xbfc05420) + TEST_BGEZAL(0x626f9ad8, 0x0f794fbe, 0xa51e32c5, 0x0f794fbe, 0xa51e32c5, 0xbfc054ac, 0xbfc054a4, 0xbfc054dc) + TEST_BGEZAL(0x4f579ee1, 0x84707f22, 0x2986d290, 0x84707f22, 0x2986d290, 0xbfc05568, 0xbfc05560, 0xbfc05598) + TEST_BGEZAL(0x76bf3bd0, 0x7ef6f91e, 0x459f7678, 0x7ef6f91e, 0x459f7678, 0xbfc05624, 0xbfc0561c, 0xbfc05654) + TEST_BGEZAL(0x7fefcdfc, 0xfbba8330, 0x67cc1de8, 0xfbba8330, 0x67cc1de8, 0xbfc056e0, 0xbfc056d8, 0xbfc05710) + TEST_BGEZAL(0xc7f97c10, 0x122c9730, 0x9d2d92cb, 0x00000000, 0x00000000, 0xbfc057d4, 0xbfc05794, 0xbfc057cc) + TEST_BGEZAL(0x98067158, 0x84d71d28, 0x4fe8de78, 0x00000000, 0x00000000, 0xbfc05890, 0xbfc05850, 0xbfc05888) + TEST_BGEZAL(0xccfee4dc, 0x522cff60, 0xacbe58f0, 0x00000000, 0x00000000, 0xbfc0594c, 0xbfc0590c, 0xbfc05944) + TEST_BGEZAL(0x8d4b877a, 0x387f5a58, 0x8ed62e39, 0x00000000, 0x00000000, 0xbfc05a08, 0xbfc059c8, 0xbfc05a00) + TEST_BGEZAL(0xf347705c, 0x72a1d604, 0xeea7aa9e, 0x00000000, 0x00000000, 0xbfc05ac4, 0xbfc05a84, 0xbfc05abc) + TEST_BGEZAL(0x3be3db68, 0x9bdefb1c, 0x5996b860, 0x9bdefb1c, 0x5996b860, 0xbfc05b48, 0xbfc05b40, 0xbfc05b78) + TEST_BGEZAL(0x6e8023a0, 0x7e166bf8, 0x26911a30, 0x7e166bf8, 0x26911a30, 0xbfc05c04, 0xbfc05bfc, 0xbfc05c34) + TEST_BGEZAL(0x3d5084b0, 0x81204f2e, 0x18c7f0aa, 0x81204f2e, 0x18c7f0aa, 0xbfc05cc0, 0xbfc05cb8, 0xbfc05cf0) + TEST_BGEZAL(0x861739d0, 0x62bf92bd, 0xb90f5834, 0x00000000, 0x00000000, 0xbfc05db4, 0xbfc05d74, 0xbfc05dac) + TEST_BGEZAL(0x26df39ca, 0x767dafc8, 0xcf001cc0, 0x767dafc8, 0xcf001cc0, 0xbfc05e38, 0xbfc05e30, 0xbfc05e68) + TEST_BGEZAL(0xa06b4e88, 0xbed08880, 0x0677a59c, 0x00000000, 0x00000000, 0xbfc05f2c, 0xbfc05eec, 0xbfc05f24) + TEST_BGEZAL(0x232859ce, 0x22a64fd2, 0x133f25e0, 0x22a64fd2, 0x133f25e0, 0xbfc05fb0, 0xbfc05fa8, 0xbfc05fe0) + TEST_BGEZAL(0x2b1b825c, 0x173bf5dc, 0x90d36ca0, 0x173bf5dc, 0x90d36ca0, 0xbfc0606c, 0xbfc06064, 0xbfc0609c) + TEST_BGEZAL(0x0b6a9780, 0xc1daa4c0, 0x43d23580, 0xc1daa4c0, 0x43d23580, 0xbfc06128, 0xbfc06120, 0xbfc06158) + TEST_BGEZAL(0x8f7e8f8c, 0x3426946d, 0x9913f9c6, 0x00000000, 0x00000000, 0xbfc0621c, 0xbfc061dc, 0xbfc06214) + TEST_BGEZAL(0x1b2f92a4, 0xaa5510c0, 0x477026b8, 0xaa5510c0, 0x477026b8, 0xbfc062a0, 0xbfc06298, 0xbfc062d0) + TEST_BGEZAL(0x406f92c2, 0x7df268b0, 0xc8f7a25d, 0x7df268b0, 0xc8f7a25d, 0xbfc0635c, 0xbfc06354, 0xbfc0638c) + TEST_BGEZAL(0x5c221ab6, 0x455936a0, 0xd1133fb0, 0x455936a0, 0xd1133fb0, 0xbfc06418, 0xbfc06410, 0xbfc06448) + TEST_BGEZAL(0x2527510a, 0x19a60c80, 0x9659b2f4, 0x19a60c80, 0x9659b2f4, 0xbfc064d4, 0xbfc064cc, 0xbfc06504) + TEST_BGEZAL(0xa55ca800, 0xbc5f15c0, 0x36c63a7a, 0x00000000, 0x00000000, 0xbfc065c8, 0xbfc06588, 0xbfc065c0) + TEST_BGEZAL(0xabe38b6a, 0xe6824b52, 0xd28b8008, 0x00000000, 0x00000000, 0xbfc06684, 0xbfc06644, 0xbfc0667c) + TEST_BGEZAL(0x0bfe49f4, 0x276596c8, 0x3cc61e70, 0x276596c8, 0x3cc61e70, 0xbfc06708, 0xbfc06700, 0xbfc06738) + TEST_BGEZAL(0xa46fdf48, 0x83a774f8, 0x5faba638, 0x00000000, 0x00000000, 0xbfc067fc, 0xbfc067bc, 0xbfc067f4) + TEST_BGEZAL(0x457d808b, 0xc70ffa10, 0xcbbce566, 0xc70ffa10, 0xcbbce566, 0xbfc06880, 0xbfc06878, 0xbfc068b0) + TEST_BGEZAL(0x7d1e89e8, 0x6d04c2e4, 0x904db13e, 0x6d04c2e4, 0x904db13e, 0xbfc0693c, 0xbfc06934, 0xbfc0696c) + TEST_BGEZAL(0x2354ab07, 0x4e4e7f30, 0x3867d3c3, 0x4e4e7f30, 0x3867d3c3, 0xbfc069f8, 0xbfc069f0, 0xbfc06a28) + TEST_BGEZAL(0x2afabf2c, 0xc62f9716, 0x8a69e0bc, 0xc62f9716, 0x8a69e0bc, 0xbfc06ab4, 0xbfc06aac, 0xbfc06ae4) + TEST_BGEZAL(0xa27823c4, 0x623a5c87, 0x77ff0050, 0x00000000, 0x00000000, 0xbfc06ba8, 0xbfc06b68, 0xbfc06ba0) + TEST_BGEZAL(0xbbbbd5d8, 0x27c8b198, 0xab3cc6d1, 0x00000000, 0x00000000, 0xbfc06c64, 0xbfc06c24, 0xbfc06c5c) + TEST_BGEZAL(0x1a540090, 0x2b2c0a24, 0x9eac2008, 0x2b2c0a24, 0x9eac2008, 0xbfc06ce8, 0xbfc06ce0, 0xbfc06d18) + TEST_BGEZAL(0xa690d784, 0x8e453070, 0xf6616562, 0x00000000, 0x00000000, 0xbfc06ddc, 0xbfc06d9c, 0xbfc06dd4) + TEST_BGEZAL(0x4aa82470, 0x82eff34c, 0x0910120a, 0x82eff34c, 0x0910120a, 0xbfc06e60, 0xbfc06e58, 0xbfc06e90) + TEST_BGEZAL(0xc18e7458, 0xb2d0e63c, 0x5fe9d059, 0x00000000, 0x00000000, 0xbfc06f54, 0xbfc06f14, 0xbfc06f4c) + TEST_BGEZAL(0x9e2e9ffb, 0x9d13028c, 0x9bb45b6c, 0x00000000, 0x00000000, 0xbfc07010, 0xbfc06fd0, 0xbfc07008) + TEST_BGEZAL(0x7d54ec72, 0xd4b18300, 0xdd3fb534, 0xd4b18300, 0xdd3fb534, 0xbfc07094, 0xbfc0708c, 0xbfc070c4) + TEST_BGEZAL(0x36c1e088, 0xb9692b00, 0x7567c79a, 0xb9692b00, 0x7567c79a, 0xbfc07150, 0xbfc07148, 0xbfc07180) + TEST_BGEZAL(0x56dca3ac, 0xf53a147e, 0x2da7eb28, 0xf53a147e, 0x2da7eb28, 0xbfc0720c, 0xbfc07204, 0xbfc0723c) + TEST_BGEZAL(0xd4d840c0, 0xf2e7cde0, 0x223d3fac, 0x00000000, 0x00000000, 0xbfc07300, 0xbfc072c0, 0xbfc072f8) + TEST_BGEZAL(0x3ff98fd8, 0xe3458bd0, 0x48f6bd96, 0xe3458bd0, 0x48f6bd96, 0xbfc07384, 0xbfc0737c, 0xbfc073b4) + TEST_BGEZAL(0x3685e90c, 0x1ac65cc5, 0x5ab89bcc, 0x1ac65cc5, 0x5ab89bcc, 0xbfc07440, 0xbfc07438, 0xbfc07470) + TEST_BGEZAL(0xad66e0cb, 0x35dd1bc0, 0xaecdd494, 0x00000000, 0x00000000, 0xbfc07534, 0xbfc074f4, 0xbfc0752c) + TEST_BGEZAL(0xf4bef364, 0xde7dc0ec, 0x756a9dd0, 0x00000000, 0x00000000, 0xbfc075f0, 0xbfc075b0, 0xbfc075e8) + TEST_BGEZAL(0x44b3eecd, 0x6c8ae900, 0x72e814e0, 0x6c8ae900, 0x72e814e0, 0xbfc07674, 0xbfc0766c, 0xbfc076a4) + TEST_BGEZAL(0x6c2f25b0, 0xf02c7460, 0x16b7d970, 0xf02c7460, 0x16b7d970, 0xbfc07730, 0xbfc07728, 0xbfc07760) + TEST_BGEZAL(0x30c2b258, 0xd3deef47, 0x56f462cc, 0xd3deef47, 0x56f462cc, 0xbfc077ec, 0xbfc077e4, 0xbfc0781c) + TEST_BGEZAL(0xe1006a80, 0x3c9fae02, 0x01a8c97c, 0x00000000, 0x00000000, 0xbfc078e0, 0xbfc078a0, 0xbfc078d8) + TEST_BGEZAL(0x5e29043e, 0xf7c217f4, 0x56d1b348, 0xf7c217f4, 0x56d1b348, 0xbfc07964, 0xbfc0795c, 0xbfc07994) + TEST_BGEZAL(0xa54b10c2, 0xd26a7aa0, 0x242b7eee, 0x00000000, 0x00000000, 0xbfc07a58, 0xbfc07a18, 0xbfc07a50) + TEST_BGEZAL(0xafccf104, 0xd77e0478, 0xfe37a7a0, 0x00000000, 0x00000000, 0xbfc07b14, 0xbfc07ad4, 0xbfc07b0c) + TEST_BGEZAL(0x17ad1610, 0x0a367600, 0x555b57c6, 0x0a367600, 0x555b57c6, 0xbfc07b98, 0xbfc07b90, 0xbfc07bc8) + TEST_BGEZAL(0x44a54bd0, 0xd5be015c, 0x174ab26b, 0xd5be015c, 0x174ab26b, 0xbfc07c54, 0xbfc07c4c, 0xbfc07c84) + TEST_BGEZAL(0x389ca1b0, 0x94954be2, 0xb1aac7cc, 0x94954be2, 0xb1aac7cc, 0xbfc07d10, 0xbfc07d08, 0xbfc07d40) + TEST_BGEZAL(0x3e366f4c, 0xed709a88, 0x545cfa94, 0xed709a88, 0x545cfa94, 0xbfc07dcc, 0xbfc07dc4, 0xbfc07dfc) + TEST_BGEZAL(0xca45c980, 0xa9710cc0, 0xfd13f1cb, 0x00000000, 0x00000000, 0xbfc07ec0, 0xbfc07e80, 0xbfc07eb8) + TEST_BGEZAL(0x83ebbf0a, 0xb939ca28, 0xf1d0c517, 0x00000000, 0x00000000, 0xbfc07f7c, 0xbfc07f3c, 0xbfc07f74) + TEST_BGEZAL(0xeacc2eb9, 0x21f90620, 0x782c2ff0, 0x00000000, 0x00000000, 0xbfc08038, 0xbfc07ff8, 0xbfc08030) + TEST_BGEZAL(0xc24d9a50, 0x96e65bb0, 0x79dca77c, 0x00000000, 0x00000000, 0xbfc080f4, 0xbfc080b4, 0xbfc080ec) + TEST_BGEZAL(0x77fae458, 0x11387ac8, 0x28d00080, 0x11387ac8, 0x28d00080, 0xbfc08178, 0xbfc08170, 0xbfc081a8) + TEST_BGEZAL(0x48bff3a4, 0x68f9f840, 0x65980b18, 0x68f9f840, 0x65980b18, 0xbfc08234, 0xbfc0822c, 0xbfc08264) + TEST_BGEZAL(0x6044dfa0, 0x3478fb80, 0x1daecc10, 0x3478fb80, 0x1daecc10, 0xbfc082f0, 0xbfc082e8, 0xbfc08320) + TEST_BGEZAL(0x77d217b0, 0xed14e430, 0x7d2668a0, 0xed14e430, 0x7d2668a0, 0xbfc083ac, 0xbfc083a4, 0xbfc083dc) + TEST_BGEZAL(0x69a58eb6, 0x3d61d900, 0x27e886a3, 0x3d61d900, 0x27e886a3, 0xbfc08468, 0xbfc08460, 0xbfc08498) + TEST_BGEZAL(0x1a22f920, 0x6448d8e0, 0x6961f174, 0x6448d8e0, 0x6961f174, 0xbfc08524, 0xbfc0851c, 0xbfc08554) + TEST_BGEZAL(0x96e424cd, 0xdae21256, 0xb9c0ea84, 0x00000000, 0x00000000, 0xbfc08618, 0xbfc085d8, 0xbfc08610) + TEST_BGEZAL(0x72144dee, 0xf1f2eceb, 0x84cdc5c0, 0xf1f2eceb, 0x84cdc5c0, 0xbfc0869c, 0xbfc08694, 0xbfc086cc) + TEST_BGEZAL(0xbdc51fde, 0x64ff3a3c, 0xcf8014a7, 0x00000000, 0x00000000, 0xbfc08790, 0xbfc08750, 0xbfc08788) + TEST_BGEZAL(0x5ba64bf2, 0x238ab968, 0xe3235e00, 0x238ab968, 0xe3235e00, 0xbfc08814, 0xbfc0880c, 0xbfc08844) + TEST_BGEZAL(0xd7e5a6ce, 0xd2de3c44, 0x477539a5, 0x00000000, 0x00000000, 0xbfc08908, 0xbfc088c8, 0xbfc08900) + TEST_BGEZAL(0x4faa5480, 0x4e661200, 0x74883efc, 0x4e661200, 0x74883efc, 0xbfc0898c, 0xbfc08984, 0xbfc089bc) + TEST_BGEZAL(0x44bae210, 0xc34a99a0, 0x1e0830ef, 0xc34a99a0, 0x1e0830ef, 0xbfc08a48, 0xbfc08a40, 0xbfc08a78) + TEST_BGEZAL(0x61e7c0fd, 0xb8026130, 0xd3fb617c, 0xb8026130, 0xd3fb617c, 0xbfc08b04, 0xbfc08afc, 0xbfc08b34) + TEST_BGEZAL(0x180e3fdc, 0x90216418, 0x5b65ccfc, 0x90216418, 0x5b65ccfc, 0xbfc08bc0, 0xbfc08bb8, 0xbfc08bf0) + TEST_BGEZAL(0xf51d4eae, 0xc221096a, 0xec0fec6c, 0x00000000, 0x00000000, 0xbfc08cb4, 0xbfc08c74, 0xbfc08cac) + TEST_BGEZAL(0xdebfa252, 0x76e76d08, 0x4216c340, 0x00000000, 0x00000000, 0xbfc08d70, 0xbfc08d30, 0xbfc08d68) + TEST_BGEZAL(0xc80f06a0, 0x96de4250, 0x7dd7b2d0, 0x00000000, 0x00000000, 0xbfc08e2c, 0xbfc08dec, 0xbfc08e24) + TEST_BGEZAL(0xda25c957, 0x869e74b0, 0x9f657c90, 0x00000000, 0x00000000, 0xbfc08ee8, 0xbfc08ea8, 0xbfc08ee0) + TEST_BGEZAL(0xe2c16e00, 0x753518e8, 0xc36e7a80, 0x00000000, 0x00000000, 0xbfc08fa4, 0xbfc08f64, 0xbfc08f9c) + TEST_BGEZAL(0x8493ac53, 0xeda6f700, 0x023bb300, 0x00000000, 0x00000000, 0xbfc09060, 0xbfc09020, 0xbfc09058) + TEST_BGEZAL(0xce17d056, 0x73e8ac0c, 0x52136032, 0x00000000, 0x00000000, 0xbfc0911c, 0xbfc090dc, 0xbfc09114) + TEST_BGEZAL(0x1732bc45, 0xd513fa00, 0xed7448b8, 0xd513fa00, 0xed7448b8, 0xbfc091a0, 0xbfc09198, 0xbfc091d0) + TEST_BGEZAL(0x179839ac, 0x066aa5c6, 0x0296d70d, 0x066aa5c6, 0x0296d70d, 0xbfc0925c, 0xbfc09254, 0xbfc0928c) + TEST_BGEZAL(0x221b14f9, 0xcb26ec57, 0x1633f6be, 0xcb26ec57, 0x1633f6be, 0xbfc09318, 0xbfc09310, 0xbfc09348) + TEST_BGEZAL(0x68f6e51f, 0x629967a0, 0xa5936df4, 0x629967a0, 0xa5936df4, 0xbfc093d4, 0xbfc093cc, 0xbfc09404) + TEST_BGEZAL(0xe83781e4, 0xa0123e98, 0xa18dbf90, 0x00000000, 0x00000000, 0xbfc094c8, 0xbfc09488, 0xbfc094c0) + TEST_BGEZAL(0xf624bdb0, 0xaaa3de30, 0x7e6ddd50, 0x00000000, 0x00000000, 0xbfc09584, 0xbfc09544, 0xbfc0957c) + TEST_BGEZAL(0x82f5a6f0, 0xb188d600, 0x206fa380, 0x00000000, 0x00000000, 0xbfc09640, 0xbfc09600, 0xbfc09638) + TEST_BGEZAL(0x00000000, 0x36a36650, 0x44fa7d50, 0x36a36650, 0x44fa7d50, 0xbfc096c4, 0xbfc096bc, 0xbfc096f0) + HIT_GOOD_TRAP + +inst_error: + HIT_BAD_TRAP \ No newline at end of file diff --git a/TEMU/mips_sc/src/inst/bltzal.S b/TEMU/mips_sc/src/inst/bltzal.S index 9a6df1d..6e953ab 100644 --- a/TEMU/mips_sc/src/inst/bltzal.S +++ b/TEMU/mips_sc/src/inst/bltzal.S @@ -1,5 +1,6 @@ #include "asm/regdef.h" #include "asm/asm.h" +#include "asm/context.h" #include "inst_test.h" #include "trap.h" @@ -11,7 +12,206 @@ main: ###test inst TEST_BLTZAL(0xc564aaee, 0xf1026890, 0x39dd5800, 0xf1026890, 0x39dd5800, 0xbfc003e4, 0xbfc003dc, 0xbfc0040c) - + TEST_BLTZAL(0x655e408b, 0x6c41162c, 0x8d5cd86f, 0x00000000, 0x00000000, 0xbfc004ec, 0xbfc004b4, 0xbfc004e4) + TEST_BLTZAL(0xd941dbc8, 0xe6b2af00, 0x4c80b4b6, 0xe6b2af00, 0x4c80b4b6, 0xbfc00594, 0xbfc0058c, 0xbfc005bc) + TEST_BLTZAL(0x935cf5a0, 0x4c9765c4, 0xe2f2ebac, 0x4c9765c4, 0xe2f2ebac, 0xbfc0066c, 0xbfc00664, 0xbfc00694) + TEST_BLTZAL(0x18c1552a, 0x35163822, 0x34ffade0, 0x00000000, 0x00000000, 0xbfc00774, 0xbfc0073c, 0xbfc0076c) + TEST_BLTZAL(0xa80025a1, 0xe079deb3, 0x774bba9e, 0xe079deb3, 0x774bba9e, 0xbfc0081c, 0xbfc00814, 0xbfc00844) + TEST_BLTZAL(0xc58a6f20, 0x50bc904c, 0x42914b48, 0x50bc904c, 0x42914b48, 0xbfc008f4, 0xbfc008ec, 0xbfc0091c) + TEST_BLTZAL(0xc3ed9400, 0x98ba8940, 0x7276c784, 0x98ba8940, 0x7276c784, 0xbfc009cc, 0xbfc009c4, 0xbfc009f4) + TEST_BLTZAL(0x8ff9842b, 0x978af84a, 0xd770ef60, 0x978af84a, 0xd770ef60, 0xbfc00aa4, 0xbfc00a9c, 0xbfc00acc) + TEST_BLTZAL(0x663da924, 0xf95f2930, 0x4654a65c, 0x00000000, 0x00000000, 0xbfc00bac, 0xbfc00b74, 0xbfc00ba4) + TEST_BLTZAL(0xd97064ee, 0xb95ffbe8, 0x619a80f4, 0xb95ffbe8, 0x619a80f4, 0xbfc00c54, 0xbfc00c4c, 0xbfc00c7c) + TEST_BLTZAL(0xdb553f88, 0xee356324, 0x32568200, 0xee356324, 0x32568200, 0xbfc00d2c, 0xbfc00d24, 0xbfc00d54) + TEST_BLTZAL(0x54474420, 0xf6749e10, 0x0f558b00, 0x00000000, 0x00000000, 0xbfc00e34, 0xbfc00dfc, 0xbfc00e2c) + TEST_BLTZAL(0xf3595c58, 0x3610309b, 0xb61e33d0, 0x3610309b, 0xb61e33d0, 0xbfc00edc, 0xbfc00ed4, 0xbfc00f04) + TEST_BLTZAL(0xd8dc4820, 0x557637b4, 0x910e3aa0, 0x557637b4, 0x910e3aa0, 0xbfc00fb4, 0xbfc00fac, 0xbfc00fdc) + TEST_BLTZAL(0xaa8a4c98, 0x27e9c330, 0xae081f3e, 0x27e9c330, 0xae081f3e, 0xbfc0108c, 0xbfc01084, 0xbfc010b4) + TEST_BLTZAL(0xd9c4ed40, 0x635ed0a2, 0xdec2e92c, 0x635ed0a2, 0xdec2e92c, 0xbfc01164, 0xbfc0115c, 0xbfc0118c) + TEST_BLTZAL(0x68397fdc, 0xe165e880, 0x45d1fd2c, 0x00000000, 0x00000000, 0xbfc0126c, 0xbfc01234, 0xbfc01264) + TEST_BLTZAL(0x05172a80, 0x741763bc, 0x3ec46470, 0x00000000, 0x00000000, 0xbfc01344, 0xbfc0130c, 0xbfc0133c) + TEST_BLTZAL(0xae593468, 0xf3dacee9, 0x7606d4f0, 0xf3dacee9, 0x7606d4f0, 0xbfc013ec, 0xbfc013e4, 0xbfc01414) + TEST_BLTZAL(0x91d6b8c2, 0x0551f160, 0x4f006da0, 0x0551f160, 0x4f006da0, 0xbfc014c4, 0xbfc014bc, 0xbfc014ec) + TEST_BLTZAL(0xa06b6b90, 0x97ee8758, 0x17d554a0, 0x97ee8758, 0x17d554a0, 0xbfc0159c, 0xbfc01594, 0xbfc015c4) + TEST_BLTZAL(0x7ba59500, 0x5ec946e0, 0x077f958c, 0x00000000, 0x00000000, 0xbfc016a4, 0xbfc0166c, 0xbfc0169c) + TEST_BLTZAL(0xf952c748, 0x3de2fb80, 0xb3da8ec0, 0x3de2fb80, 0xb3da8ec0, 0xbfc0174c, 0xbfc01744, 0xbfc01774) + TEST_BLTZAL(0xd77c3bd3, 0x7e35d110, 0xc636c3b0, 0x7e35d110, 0xc636c3b0, 0xbfc01824, 0xbfc0181c, 0xbfc0184c) + TEST_BLTZAL(0x26dda6d0, 0x237160b4, 0x4daf87f0, 0x00000000, 0x00000000, 0xbfc0192c, 0xbfc018f4, 0xbfc01924) + TEST_BLTZAL(0xd6cca5b0, 0x86f4172c, 0x9d0769e0, 0x86f4172c, 0x9d0769e0, 0xbfc019d4, 0xbfc019cc, 0xbfc019fc) + TEST_BLTZAL(0x28bc23bd, 0x6b42fd00, 0xdd50cbc0, 0x00000000, 0x00000000, 0xbfc01adc, 0xbfc01aa4, 0xbfc01ad4) + TEST_BLTZAL(0xc7851378, 0x9640f3c6, 0xd36f4cb6, 0x9640f3c6, 0xd36f4cb6, 0xbfc01b84, 0xbfc01b7c, 0xbfc01bac) + TEST_BLTZAL(0x4ae00500, 0xcb9870fa, 0x804c8950, 0x00000000, 0x00000000, 0xbfc01c8c, 0xbfc01c54, 0xbfc01c84) + TEST_BLTZAL(0x3534715c, 0xd3300420, 0xa04818a0, 0x00000000, 0x00000000, 0xbfc01d64, 0xbfc01d2c, 0xbfc01d5c) + TEST_BLTZAL(0x07646087, 0x3de9494e, 0xc0a643e6, 0x00000000, 0x00000000, 0xbfc01e3c, 0xbfc01e04, 0xbfc01e34) + TEST_BLTZAL(0x5e14d5ee, 0x08a57680, 0xa6d5d220, 0x00000000, 0x00000000, 0xbfc01f14, 0xbfc01edc, 0xbfc01f0c) + TEST_BLTZAL(0x8306d605, 0xbef43ef0, 0x19bd16ff, 0xbef43ef0, 0x19bd16ff, 0xbfc01fbc, 0xbfc01fb4, 0xbfc01fe4) + TEST_BLTZAL(0x8af84d10, 0xe5f0dae8, 0x1c097320, 0xe5f0dae8, 0x1c097320, 0xbfc02094, 0xbfc0208c, 0xbfc020bc) + TEST_BLTZAL(0xc6e68f76, 0x06c375f8, 0x0c0e1ec5, 0x06c375f8, 0x0c0e1ec5, 0xbfc0216c, 0xbfc02164, 0xbfc02194) + TEST_BLTZAL(0x502badc0, 0x2918933c, 0x370f69c0, 0x00000000, 0x00000000, 0xbfc02274, 0xbfc0223c, 0xbfc0226c) + TEST_BLTZAL(0xe6da4e94, 0xbff11c9d, 0x40ecfeb1, 0xbff11c9d, 0x40ecfeb1, 0xbfc0231c, 0xbfc02314, 0xbfc02344) + TEST_BLTZAL(0x5c91b880, 0x7829cec0, 0xa2999300, 0x00000000, 0x00000000, 0xbfc02424, 0xbfc023ec, 0xbfc0241c) + TEST_BLTZAL(0x82ea2849, 0x7a767238, 0x4e9660c0, 0x7a767238, 0x4e9660c0, 0xbfc024cc, 0xbfc024c4, 0xbfc024f4) + TEST_BLTZAL(0x94870718, 0x5de24514, 0x5d0421b6, 0x5de24514, 0x5d0421b6, 0xbfc025a4, 0xbfc0259c, 0xbfc025cc) + TEST_BLTZAL(0xe224cb20, 0x18f1fa80, 0x150e5c3a, 0x18f1fa80, 0x150e5c3a, 0xbfc0267c, 0xbfc02674, 0xbfc026a4) + TEST_BLTZAL(0x3a14e59e, 0x4ea5a8bc, 0xb5ed7980, 0x00000000, 0x00000000, 0xbfc02784, 0xbfc0274c, 0xbfc0277c) + TEST_BLTZAL(0xdcbd66d6, 0x8673350c, 0xfb5f83b1, 0x8673350c, 0xfb5f83b1, 0xbfc0282c, 0xbfc02824, 0xbfc02854) + TEST_BLTZAL(0x5c84b110, 0x960a74d8, 0xe239d950, 0x00000000, 0x00000000, 0xbfc02934, 0xbfc028fc, 0xbfc0292c) + TEST_BLTZAL(0xfc08307a, 0x25f6aa30, 0x43d5c894, 0x25f6aa30, 0x43d5c894, 0xbfc029dc, 0xbfc029d4, 0xbfc02a04) + TEST_BLTZAL(0x30aee220, 0x8338ae38, 0xf28dd8d0, 0x00000000, 0x00000000, 0xbfc02ae4, 0xbfc02aac, 0xbfc02adc) + TEST_BLTZAL(0x85bd5b3a, 0xa4f7aacf, 0xa39c66c0, 0xa4f7aacf, 0xa39c66c0, 0xbfc02b8c, 0xbfc02b84, 0xbfc02bb4) + TEST_BLTZAL(0xa171fee0, 0x3feda210, 0x77117a8c, 0x3feda210, 0x77117a8c, 0xbfc02c64, 0xbfc02c5c, 0xbfc02c8c) + TEST_BLTZAL(0x79637610, 0xee52c578, 0x24890ec0, 0x00000000, 0x00000000, 0xbfc02d6c, 0xbfc02d34, 0xbfc02d64) + TEST_BLTZAL(0xbfea29c4, 0x846b8bea, 0x0727fb08, 0x846b8bea, 0x0727fb08, 0xbfc02e14, 0xbfc02e0c, 0xbfc02e3c) + TEST_BLTZAL(0x6c103040, 0xb19f9e78, 0xd53b62e4, 0x00000000, 0x00000000, 0xbfc02f1c, 0xbfc02ee4, 0xbfc02f14) + TEST_BLTZAL(0x4fa26e80, 0xc18b61f1, 0xb8d22ab0, 0x00000000, 0x00000000, 0xbfc02ff4, 0xbfc02fbc, 0xbfc02fec) + TEST_BLTZAL(0x08e14a7e, 0xf327c65e, 0xd36cfac0, 0x00000000, 0x00000000, 0xbfc030cc, 0xbfc03094, 0xbfc030c4) + TEST_BLTZAL(0x95644160, 0x08d5b74a, 0xff5d10e4, 0x08d5b74a, 0xff5d10e4, 0xbfc03174, 0xbfc0316c, 0xbfc0319c) + TEST_BLTZAL(0xe11349c8, 0x38d51fd0, 0x47bcd2f0, 0x38d51fd0, 0x47bcd2f0, 0xbfc0324c, 0xbfc03244, 0xbfc03274) + TEST_BLTZAL(0xab05d540, 0x170d0e20, 0x53a1d234, 0x170d0e20, 0x53a1d234, 0xbfc03324, 0xbfc0331c, 0xbfc0334c) + TEST_BLTZAL(0x00827dc8, 0x77030fa0, 0xe0766080, 0x00000000, 0x00000000, 0xbfc0342c, 0xbfc033f4, 0xbfc03424) + TEST_BLTZAL(0x4fb795e8, 0xff1f696e, 0xc65c117e, 0x00000000, 0x00000000, 0xbfc03504, 0xbfc034cc, 0xbfc034fc) + TEST_BLTZAL(0xe26c3dc8, 0x04c5ea92, 0xd4a9b540, 0x04c5ea92, 0xd4a9b540, 0xbfc035ac, 0xbfc035a4, 0xbfc035d4) + TEST_BLTZAL(0x8ffd2b44, 0x656788a8, 0x9f96adc8, 0x656788a8, 0x9f96adc8, 0xbfc03684, 0xbfc0367c, 0xbfc036ac) + TEST_BLTZAL(0xe3481772, 0x9f2a10ee, 0xdd0e6718, 0x9f2a10ee, 0xdd0e6718, 0xbfc0375c, 0xbfc03754, 0xbfc03784) + TEST_BLTZAL(0x7f7db200, 0xd1f8e093, 0x7db9f89c, 0x00000000, 0x00000000, 0xbfc03864, 0xbfc0382c, 0xbfc0385c) + TEST_BLTZAL(0x8e33fd2a, 0x114b1cf8, 0xf1369ae4, 0x114b1cf8, 0xf1369ae4, 0xbfc0390c, 0xbfc03904, 0xbfc03934) + TEST_BLTZAL(0x083ba18a, 0xe036d934, 0x57d80e38, 0x00000000, 0x00000000, 0xbfc03a14, 0xbfc039dc, 0xbfc03a0c) + TEST_BLTZAL(0x138e07f8, 0xb3b0b3c9, 0x5a21b780, 0x00000000, 0x00000000, 0xbfc03aec, 0xbfc03ab4, 0xbfc03ae4) + TEST_BLTZAL(0x244684db, 0x9b439a00, 0x9a8bf098, 0x00000000, 0x00000000, 0xbfc03bc4, 0xbfc03b8c, 0xbfc03bbc) + TEST_BLTZAL(0xa4f9cfdd, 0x364d45c0, 0x41bbe00c, 0x364d45c0, 0x41bbe00c, 0xbfc03c6c, 0xbfc03c64, 0xbfc03c94) + TEST_BLTZAL(0xa31a8b88, 0x80dffe44, 0x2bfa1dd8, 0x80dffe44, 0x2bfa1dd8, 0xbfc03d44, 0xbfc03d3c, 0xbfc03d6c) + TEST_BLTZAL(0x23b524bc, 0xe8347c34, 0x870d1ff4, 0x00000000, 0x00000000, 0xbfc03e4c, 0xbfc03e14, 0xbfc03e44) + TEST_BLTZAL(0xff76fa3d, 0x64c2d25c, 0x3d4fc9c0, 0x64c2d25c, 0x3d4fc9c0, 0xbfc03ef4, 0xbfc03eec, 0xbfc03f1c) + TEST_BLTZAL(0x71e48460, 0xaeb07f00, 0x869f7090, 0x00000000, 0x00000000, 0xbfc03ffc, 0xbfc03fc4, 0xbfc03ff4) + TEST_BLTZAL(0xa249a008, 0x69391de0, 0x7b0199e0, 0x69391de0, 0x7b0199e0, 0xbfc040a4, 0xbfc0409c, 0xbfc040cc) + TEST_BLTZAL(0x12265bf2, 0x68d3270c, 0x6567a780, 0x00000000, 0x00000000, 0xbfc041ac, 0xbfc04174, 0xbfc041a4) + TEST_BLTZAL(0xebc8e65c, 0xae782cc0, 0xcaac1b2c, 0xae782cc0, 0xcaac1b2c, 0xbfc04254, 0xbfc0424c, 0xbfc0427c) + TEST_BLTZAL(0xaaca9c46, 0x86936b00, 0xf3df3180, 0x86936b00, 0xf3df3180, 0xbfc0432c, 0xbfc04324, 0xbfc04354) + TEST_BLTZAL(0x0590d170, 0x260a16a4, 0x2529fc78, 0x00000000, 0x00000000, 0xbfc04434, 0xbfc043fc, 0xbfc0442c) + TEST_BLTZAL(0x2e8b5724, 0xf1306ec4, 0x8e7ae168, 0x00000000, 0x00000000, 0xbfc0450c, 0xbfc044d4, 0xbfc04504) + TEST_BLTZAL(0x1de8443d, 0x1d807f90, 0xc7435a42, 0x00000000, 0x00000000, 0xbfc045e4, 0xbfc045ac, 0xbfc045dc) + TEST_BLTZAL(0xbb81451a, 0x03eb1ab0, 0xb7f4cb55, 0x03eb1ab0, 0xb7f4cb55, 0xbfc0468c, 0xbfc04684, 0xbfc046b4) + TEST_BLTZAL(0xdf5984b1, 0x905956a4, 0x146a6860, 0x905956a4, 0x146a6860, 0xbfc04764, 0xbfc0475c, 0xbfc0478c) + TEST_BLTZAL(0x59146e08, 0x22b11500, 0xfc9d8e9c, 0x00000000, 0x00000000, 0xbfc0486c, 0xbfc04834, 0xbfc04864) + TEST_BLTZAL(0xb2e7acf8, 0xc31eb966, 0x2990605c, 0xc31eb966, 0x2990605c, 0xbfc04914, 0xbfc0490c, 0xbfc0493c) + TEST_BLTZAL(0x4ce3d900, 0x0c50da00, 0xd2352291, 0x00000000, 0x00000000, 0xbfc04a1c, 0xbfc049e4, 0xbfc04a14) + TEST_BLTZAL(0x1b42e17a, 0xc11a1dd4, 0xe80a7020, 0x00000000, 0x00000000, 0xbfc04af4, 0xbfc04abc, 0xbfc04aec) + TEST_BLTZAL(0xa8ea5e19, 0x5101aab0, 0x9d6deeae, 0x5101aab0, 0x9d6deeae, 0xbfc04b9c, 0xbfc04b94, 0xbfc04bc4) + TEST_BLTZAL(0xd7b3d020, 0xf99aa7f0, 0xc18af5b2, 0xf99aa7f0, 0xc18af5b2, 0xbfc04c74, 0xbfc04c6c, 0xbfc04c9c) + TEST_BLTZAL(0x734b6230, 0x6068878c, 0x09fe7964, 0x00000000, 0x00000000, 0xbfc04d7c, 0xbfc04d44, 0xbfc04d74) + TEST_BLTZAL(0x6cd76d80, 0x90d7d0ce, 0xda41d700, 0x00000000, 0x00000000, 0xbfc04e54, 0xbfc04e1c, 0xbfc04e4c) + TEST_BLTZAL(0x68a71f22, 0x62210357, 0xc83f4770, 0x00000000, 0x00000000, 0xbfc04f2c, 0xbfc04ef4, 0xbfc04f24) + TEST_BLTZAL(0xc5586160, 0xefc1c3f4, 0xce234d00, 0xefc1c3f4, 0xce234d00, 0xbfc04fd4, 0xbfc04fcc, 0xbfc04ffc) + TEST_BLTZAL(0x6b831b04, 0x27cfaf60, 0x584df110, 0x00000000, 0x00000000, 0xbfc050dc, 0xbfc050a4, 0xbfc050d4) + TEST_BLTZAL(0x4140cd6e, 0x946f9584, 0x192ecb2a, 0x00000000, 0x00000000, 0xbfc051b4, 0xbfc0517c, 0xbfc051ac) + TEST_BLTZAL(0x5761276c, 0xb7c925c0, 0x655060ba, 0x00000000, 0x00000000, 0xbfc0528c, 0xbfc05254, 0xbfc05284) + TEST_BLTZAL(0x595c9842, 0x3d38d68e, 0x3e17fa50, 0x00000000, 0x00000000, 0xbfc05364, 0xbfc0532c, 0xbfc0535c) + TEST_BLTZAL(0x4ab5152d, 0x649e1726, 0xf3523180, 0x00000000, 0x00000000, 0xbfc0543c, 0xbfc05404, 0xbfc05434) + TEST_BLTZAL(0x92e90da4, 0x34e6f946, 0x82cb1092, 0x34e6f946, 0x82cb1092, 0xbfc054e4, 0xbfc054dc, 0xbfc0550c) + TEST_BLTZAL(0xbba9590a, 0x48f10aa2, 0x0a4be0a8, 0x48f10aa2, 0x0a4be0a8, 0xbfc055bc, 0xbfc055b4, 0xbfc055e4) + TEST_BLTZAL(0x99f0dc78, 0xcca74d4a, 0x9fb73588, 0xcca74d4a, 0x9fb73588, 0xbfc05694, 0xbfc0568c, 0xbfc056bc) + TEST_BLTZAL(0xb08a88a0, 0xd718c9e6, 0x9a1aaa50, 0xd718c9e6, 0x9a1aaa50, 0xbfc0576c, 0xbfc05764, 0xbfc05794) + TEST_BLTZAL(0x5f2c6063, 0x48162660, 0x1af590b0, 0x00000000, 0x00000000, 0xbfc05874, 0xbfc0583c, 0xbfc0586c) + TEST_BLTZAL(0x40113b20, 0x49cdaad7, 0x225d54dc, 0x00000000, 0x00000000, 0xbfc0594c, 0xbfc05914, 0xbfc05944) + TEST_BLTZAL(0x5e7f637c, 0x331c8554, 0x60cb46c0, 0x00000000, 0x00000000, 0xbfc05a24, 0xbfc059ec, 0xbfc05a1c) + TEST_BLTZAL(0x028a5cdc, 0xca727700, 0xd1acb3d8, 0x00000000, 0x00000000, 0xbfc05afc, 0xbfc05ac4, 0xbfc05af4) + TEST_BLTZAL(0xf4158168, 0x5b36fb58, 0xdac1338c, 0x5b36fb58, 0xdac1338c, 0xbfc05ba4, 0xbfc05b9c, 0xbfc05bcc) + TEST_BLTZAL(0xaede9100, 0x9aefd3e0, 0xeb172cbe, 0x9aefd3e0, 0xeb172cbe, 0xbfc05c7c, 0xbfc05c74, 0xbfc05ca4) + TEST_BLTZAL(0x7d933080, 0xa7436d60, 0x2f1814c4, 0x00000000, 0x00000000, 0xbfc05d84, 0xbfc05d4c, 0xbfc05d7c) + TEST_BLTZAL(0x1ca8c258, 0x50c557cc, 0xa722bd38, 0x00000000, 0x00000000, 0xbfc05e5c, 0xbfc05e24, 0xbfc05e54) + TEST_BLTZAL(0x466b58c0, 0x832cfa20, 0x8175f686, 0x00000000, 0x00000000, 0xbfc05f34, 0xbfc05efc, 0xbfc05f2c) + TEST_BLTZAL(0x22b2b3b4, 0xe9cb6c40, 0x53edd6b4, 0x00000000, 0x00000000, 0xbfc0600c, 0xbfc05fd4, 0xbfc06004) + TEST_BLTZAL(0x5b78e940, 0x8dcec176, 0xb8726e32, 0x00000000, 0x00000000, 0xbfc060e4, 0xbfc060ac, 0xbfc060dc) + TEST_BLTZAL(0x2f5f8070, 0x58205fc0, 0xe57e23ad, 0x00000000, 0x00000000, 0xbfc061bc, 0xbfc06184, 0xbfc061b4) + TEST_BLTZAL(0xda7308f4, 0x9b86c9b8, 0x913775a0, 0x9b86c9b8, 0x913775a0, 0xbfc06264, 0xbfc0625c, 0xbfc0628c) + TEST_BLTZAL(0xe9c9da6a, 0xc2339e20, 0xa4268ba0, 0xc2339e20, 0xa4268ba0, 0xbfc0633c, 0xbfc06334, 0xbfc06364) + TEST_BLTZAL(0xab39c2d2, 0xa3d5a878, 0x1727c53b, 0xa3d5a878, 0x1727c53b, 0xbfc06414, 0xbfc0640c, 0xbfc0643c) + TEST_BLTZAL(0xbe97cbea, 0xd1834712, 0x81b9b444, 0xd1834712, 0x81b9b444, 0xbfc064ec, 0xbfc064e4, 0xbfc06514) + TEST_BLTZAL(0xccbb6fe8, 0x58edca80, 0xe32f1c00, 0x58edca80, 0xe32f1c00, 0xbfc065c4, 0xbfc065bc, 0xbfc065ec) + TEST_BLTZAL(0xca8292c0, 0x05b81cc8, 0x5dc2535b, 0x05b81cc8, 0x5dc2535b, 0xbfc0669c, 0xbfc06694, 0xbfc066c4) + TEST_BLTZAL(0x87216bb1, 0x5745c9ac, 0x559f39ac, 0x5745c9ac, 0x559f39ac, 0xbfc06774, 0xbfc0676c, 0xbfc0679c) + TEST_BLTZAL(0xc4660448, 0xbd2e3330, 0x48eeaa30, 0xbd2e3330, 0x48eeaa30, 0xbfc0684c, 0xbfc06844, 0xbfc06874) + TEST_BLTZAL(0x443ff57c, 0x6c6efe6f, 0x878908f7, 0x00000000, 0x00000000, 0xbfc06954, 0xbfc0691c, 0xbfc0694c) + TEST_BLTZAL(0x7d6ee738, 0xe0611dfc, 0x9f031122, 0x00000000, 0x00000000, 0xbfc06a2c, 0xbfc069f4, 0xbfc06a24) + TEST_BLTZAL(0xd3d10d4d, 0x7d247ed0, 0xf5722c22, 0x7d247ed0, 0xf5722c22, 0xbfc06ad4, 0xbfc06acc, 0xbfc06afc) + TEST_BLTZAL(0x1f949120, 0x820b11fe, 0xdc05404a, 0x00000000, 0x00000000, 0xbfc06bdc, 0xbfc06ba4, 0xbfc06bd4) + TEST_BLTZAL(0xc68f0040, 0x77c6a186, 0x9f2c9ee6, 0x77c6a186, 0x9f2c9ee6, 0xbfc06c84, 0xbfc06c7c, 0xbfc06cac) + TEST_BLTZAL(0xc7312578, 0x3be03aec, 0xc0fee200, 0x3be03aec, 0xc0fee200, 0xbfc06d5c, 0xbfc06d54, 0xbfc06d84) + TEST_BLTZAL(0x309ac032, 0xaadf3100, 0x497ec7b0, 0x00000000, 0x00000000, 0xbfc06e64, 0xbfc06e2c, 0xbfc06e5c) + TEST_BLTZAL(0x5cb29501, 0xd6019ed2, 0x4808d27a, 0x00000000, 0x00000000, 0xbfc06f3c, 0xbfc06f04, 0xbfc06f34) + TEST_BLTZAL(0x24c24528, 0x23081e7c, 0x23a423a5, 0x00000000, 0x00000000, 0xbfc07014, 0xbfc06fdc, 0xbfc0700c) + TEST_BLTZAL(0x2092e460, 0xea8c1a16, 0x7fb0dc44, 0x00000000, 0x00000000, 0xbfc070ec, 0xbfc070b4, 0xbfc070e4) + TEST_BLTZAL(0xf724628b, 0x24cd6a00, 0x06d5bbd0, 0x24cd6a00, 0x06d5bbd0, 0xbfc07194, 0xbfc0718c, 0xbfc071bc) + TEST_BLTZAL(0x33bf4222, 0xb8acf8f9, 0xdafc8a00, 0x00000000, 0x00000000, 0xbfc0729c, 0xbfc07264, 0xbfc07294) + TEST_BLTZAL(0x9e234e33, 0x7172c748, 0x61ac8e1e, 0x7172c748, 0x61ac8e1e, 0xbfc07344, 0xbfc0733c, 0xbfc0736c) + TEST_BLTZAL(0xf9756dbc, 0x021822c8, 0xa5ed4b94, 0x021822c8, 0xa5ed4b94, 0xbfc0741c, 0xbfc07414, 0xbfc07444) + TEST_BLTZAL(0x60880d60, 0xd8442aaf, 0xaac6de74, 0x00000000, 0x00000000, 0xbfc07524, 0xbfc074ec, 0xbfc0751c) + TEST_BLTZAL(0x62fc9300, 0x36454702, 0xd297fe88, 0x00000000, 0x00000000, 0xbfc075fc, 0xbfc075c4, 0xbfc075f4) + TEST_BLTZAL(0x12cdb320, 0x13bf17a2, 0x6a1085c6, 0x00000000, 0x00000000, 0xbfc076d4, 0xbfc0769c, 0xbfc076cc) + TEST_BLTZAL(0x33699318, 0xeb2f7fcc, 0xdcfbd7b6, 0x00000000, 0x00000000, 0xbfc077ac, 0xbfc07774, 0xbfc077a4) + TEST_BLTZAL(0x1beacb00, 0xe333f8e8, 0xd492d830, 0x00000000, 0x00000000, 0xbfc07884, 0xbfc0784c, 0xbfc0787c) + TEST_BLTZAL(0xf3abd08a, 0xc25e31c0, 0xf178fcc7, 0xc25e31c0, 0xf178fcc7, 0xbfc0792c, 0xbfc07924, 0xbfc07954) + TEST_BLTZAL(0xbbb85c80, 0x747014c0, 0xa2f6fdf0, 0x747014c0, 0xa2f6fdf0, 0xbfc07a04, 0xbfc079fc, 0xbfc07a2c) + TEST_BLTZAL(0x3a43003a, 0xeeed819a, 0xb2fad2e0, 0x00000000, 0x00000000, 0xbfc07b0c, 0xbfc07ad4, 0xbfc07b04) + TEST_BLTZAL(0x5037f2c4, 0xdc5b45a0, 0x2ec3ec30, 0x00000000, 0x00000000, 0xbfc07be4, 0xbfc07bac, 0xbfc07bdc) + TEST_BLTZAL(0xa8456f6e, 0xaff24380, 0x319e3974, 0xaff24380, 0x319e3974, 0xbfc07c8c, 0xbfc07c84, 0xbfc07cb4) + TEST_BLTZAL(0x414853a0, 0x637439d2, 0x6693d9c0, 0x00000000, 0x00000000, 0xbfc07d94, 0xbfc07d5c, 0xbfc07d8c) + TEST_BLTZAL(0xe7456b0a, 0x51b4161c, 0xfeaa18a0, 0x51b4161c, 0xfeaa18a0, 0xbfc07e3c, 0xbfc07e34, 0xbfc07e64) + TEST_BLTZAL(0xbb74e538, 0x6ed74130, 0x984f6072, 0x6ed74130, 0x984f6072, 0xbfc07f14, 0xbfc07f0c, 0xbfc07f3c) + TEST_BLTZAL(0x22d313b0, 0xa42543e0, 0x4e7cf014, 0x00000000, 0x00000000, 0xbfc0801c, 0xbfc07fe4, 0xbfc08014) + TEST_BLTZAL(0x5879a989, 0x80ef8300, 0x3576293c, 0x00000000, 0x00000000, 0xbfc080f4, 0xbfc080bc, 0xbfc080ec) + TEST_BLTZAL(0x6dd7a283, 0x67f82b20, 0x3eeddf3c, 0x00000000, 0x00000000, 0xbfc081cc, 0xbfc08194, 0xbfc081c4) + TEST_BLTZAL(0x8c3e6232, 0x6b6cd290, 0x360783ea, 0x6b6cd290, 0x360783ea, 0xbfc08274, 0xbfc0826c, 0xbfc0829c) + TEST_BLTZAL(0x9935c478, 0xcaaa3fe0, 0xbfbf76f0, 0xcaaa3fe0, 0xbfbf76f0, 0xbfc0834c, 0xbfc08344, 0xbfc08374) + TEST_BLTZAL(0xaa40e800, 0xd0658f18, 0x27947ea4, 0xd0658f18, 0x27947ea4, 0xbfc08424, 0xbfc0841c, 0xbfc0844c) + TEST_BLTZAL(0x95c54b0e, 0x2c0a79d8, 0xba269468, 0x2c0a79d8, 0xba269468, 0xbfc084fc, 0xbfc084f4, 0xbfc08524) + TEST_BLTZAL(0x0c643508, 0xb70c9fb0, 0xfc6798bc, 0x00000000, 0x00000000, 0xbfc08604, 0xbfc085cc, 0xbfc085fc) + TEST_BLTZAL(0x7c26fe64, 0x1bde5440, 0x0a02d616, 0x00000000, 0x00000000, 0xbfc086dc, 0xbfc086a4, 0xbfc086d4) + TEST_BLTZAL(0xfd4d12cc, 0x375d3388, 0x931732c2, 0x375d3388, 0x931732c2, 0xbfc08784, 0xbfc0877c, 0xbfc087ac) + TEST_BLTZAL(0x3bb516cb, 0x9a02b930, 0xbca4f17c, 0x00000000, 0x00000000, 0xbfc0888c, 0xbfc08854, 0xbfc08884) + TEST_BLTZAL(0x72a53c58, 0xdc2567e4, 0x70280859, 0x00000000, 0x00000000, 0xbfc08964, 0xbfc0892c, 0xbfc0895c) + TEST_BLTZAL(0x99119a2e, 0x184ac668, 0x2127b7d2, 0x184ac668, 0x2127b7d2, 0xbfc08a0c, 0xbfc08a04, 0xbfc08a34) + TEST_BLTZAL(0xb446190a, 0x7d1f992e, 0x3cde2960, 0x7d1f992e, 0x3cde2960, 0xbfc08ae4, 0xbfc08adc, 0xbfc08b0c) + TEST_BLTZAL(0x1b8f96bc, 0xf3cdf593, 0x97e1fbbc, 0x00000000, 0x00000000, 0xbfc08bec, 0xbfc08bb4, 0xbfc08be4) + TEST_BLTZAL(0x789194bf, 0xdcdbab7f, 0x1e341cf8, 0x00000000, 0x00000000, 0xbfc08cc4, 0xbfc08c8c, 0xbfc08cbc) + TEST_BLTZAL(0x23698dbe, 0x9962e5f0, 0xad6ccba6, 0x00000000, 0x00000000, 0xbfc08d9c, 0xbfc08d64, 0xbfc08d94) + TEST_BLTZAL(0x7b96aa28, 0xb96f903d, 0x85e76370, 0x00000000, 0x00000000, 0xbfc08e74, 0xbfc08e3c, 0xbfc08e6c) + TEST_BLTZAL(0x6a9ae400, 0x5257a372, 0x865c7e28, 0x00000000, 0x00000000, 0xbfc08f4c, 0xbfc08f14, 0xbfc08f44) + TEST_BLTZAL(0x63c50b28, 0x061e6658, 0x322ff830, 0x00000000, 0x00000000, 0xbfc09024, 0xbfc08fec, 0xbfc0901c) + TEST_BLTZAL(0x46e906bc, 0xc06953e0, 0xa92e9bbc, 0x00000000, 0x00000000, 0xbfc090fc, 0xbfc090c4, 0xbfc090f4) + TEST_BLTZAL(0x3bc5a0e0, 0x563a0440, 0x0817b7a8, 0x00000000, 0x00000000, 0xbfc091d4, 0xbfc0919c, 0xbfc091cc) + TEST_BLTZAL(0x92c167f8, 0xe27e6888, 0x4e28c838, 0xe27e6888, 0x4e28c838, 0xbfc0927c, 0xbfc09274, 0xbfc092a4) + TEST_BLTZAL(0x3ee483a8, 0x3e09bb40, 0x65b17d20, 0x00000000, 0x00000000, 0xbfc09384, 0xbfc0934c, 0xbfc0937c) + TEST_BLTZAL(0x4eaa5a80, 0x6edf66a4, 0x27264b04, 0x00000000, 0x00000000, 0xbfc0945c, 0xbfc09424, 0xbfc09454) + TEST_BLTZAL(0xc25a94c0, 0x16648180, 0xceff49a0, 0x16648180, 0xceff49a0, 0xbfc09504, 0xbfc094fc, 0xbfc0952c) + TEST_BLTZAL(0x178e29a0, 0xa5b5a538, 0xc4440224, 0x00000000, 0x00000000, 0xbfc0960c, 0xbfc095d4, 0xbfc09604) + TEST_BLTZAL(0x1946faac, 0xaa83b7aa, 0xc9244bf5, 0x00000000, 0x00000000, 0xbfc096e4, 0xbfc096ac, 0xbfc096dc) + TEST_BLTZAL(0x8a6e27ba, 0x5479233d, 0x0fe51340, 0x5479233d, 0x0fe51340, 0xbfc0978c, 0xbfc09784, 0xbfc097b4) + TEST_BLTZAL(0xc1a17acc, 0x4081010d, 0xff791604, 0x4081010d, 0xff791604, 0xbfc09864, 0xbfc0985c, 0xbfc0988c) + TEST_BLTZAL(0x45e5dec0, 0xd701b72c, 0x5888fdd8, 0x00000000, 0x00000000, 0xbfc0996c, 0xbfc09934, 0xbfc09964) + TEST_BLTZAL(0x93326582, 0xf1f955c0, 0xcf5e55ca, 0xf1f955c0, 0xcf5e55ca, 0xbfc09a14, 0xbfc09a0c, 0xbfc09a3c) + TEST_BLTZAL(0x00928868, 0x090455b2, 0xf5c1b600, 0x00000000, 0x00000000, 0xbfc09b1c, 0xbfc09ae4, 0xbfc09b14) + TEST_BLTZAL(0xf7ccd1e8, 0x16332a88, 0x54968884, 0x16332a88, 0x54968884, 0xbfc09bc4, 0xbfc09bbc, 0xbfc09bec) + TEST_BLTZAL(0x6ec43ef0, 0xfb8f16d5, 0x09b69d60, 0x00000000, 0x00000000, 0xbfc09ccc, 0xbfc09c94, 0xbfc09cc4) + TEST_BLTZAL(0x16c9ba2e, 0x37daacd7, 0x7986d400, 0x00000000, 0x00000000, 0xbfc09da4, 0xbfc09d6c, 0xbfc09d9c) + TEST_BLTZAL(0xbae401b8, 0xe096eec2, 0x15e2e1e0, 0xe096eec2, 0x15e2e1e0, 0xbfc09e4c, 0xbfc09e44, 0xbfc09e74) + TEST_BLTZAL(0x7a4a5eb6, 0x1fd9b7a8, 0x7f6b7a00, 0x00000000, 0x00000000, 0xbfc09f54, 0xbfc09f1c, 0xbfc09f4c) + TEST_BLTZAL(0x59b20d00, 0xdc65605e, 0xddb8579c, 0x00000000, 0x00000000, 0xbfc0a02c, 0xbfc09ff4, 0xbfc0a024) + TEST_BLTZAL(0x48e7307c, 0xde52b38f, 0x14848570, 0x00000000, 0x00000000, 0xbfc0a104, 0xbfc0a0cc, 0xbfc0a0fc) + TEST_BLTZAL(0x2fe03e13, 0xb9eb186e, 0x403ccc04, 0x00000000, 0x00000000, 0xbfc0a1dc, 0xbfc0a1a4, 0xbfc0a1d4) + TEST_BLTZAL(0x50d83460, 0x5b458d34, 0xb3e5b6c0, 0x00000000, 0x00000000, 0xbfc0a2b4, 0xbfc0a27c, 0xbfc0a2ac) + TEST_BLTZAL(0x42e7c900, 0xe3efdfde, 0x0d3d9b80, 0x00000000, 0x00000000, 0xbfc0a38c, 0xbfc0a354, 0xbfc0a384) + TEST_BLTZAL(0xf8841282, 0x729968e0, 0x16e13cca, 0x729968e0, 0x16e13cca, 0xbfc0a434, 0xbfc0a42c, 0xbfc0a45c) + TEST_BLTZAL(0xa98425f8, 0xbc443ce7, 0xae756fac, 0xbc443ce7, 0xae756fac, 0xbfc0a50c, 0xbfc0a504, 0xbfc0a534) + TEST_BLTZAL(0x6c1f0d47, 0x1afdcbfa, 0x9ccde33e, 0x00000000, 0x00000000, 0xbfc0a614, 0xbfc0a5dc, 0xbfc0a60c) + TEST_BLTZAL(0xfcf950ca, 0x8954be60, 0x82ec2818, 0x8954be60, 0x82ec2818, 0xbfc0a6bc, 0xbfc0a6b4, 0xbfc0a6e4) + TEST_BLTZAL(0x74f524b2, 0xb1151634, 0xa1cb0328, 0x00000000, 0x00000000, 0xbfc0a7c4, 0xbfc0a78c, 0xbfc0a7bc) + TEST_BLTZAL(0xc9bca1a8, 0x2a1a3688, 0xea2bb980, 0x2a1a3688, 0xea2bb980, 0xbfc0a86c, 0xbfc0a864, 0xbfc0a894) + TEST_BLTZAL(0x4f8b1162, 0x560409a8, 0x5df83060, 0x00000000, 0x00000000, 0xbfc0a974, 0xbfc0a93c, 0xbfc0a96c) + TEST_BLTZAL(0x00dba000, 0xff655f88, 0xe7eb1ef4, 0x00000000, 0x00000000, 0xbfc0aa4c, 0xbfc0aa14, 0xbfc0aa44) + TEST_BLTZAL(0xa199561e, 0x92b64a0c, 0xe8669a08, 0x92b64a0c, 0xe8669a08, 0xbfc0aaf4, 0xbfc0aaec, 0xbfc0ab1c) + TEST_BLTZAL(0x73ee8202, 0xd7a56490, 0x79518f38, 0x00000000, 0x00000000, 0xbfc0abfc, 0xbfc0abc4, 0xbfc0abf4) + TEST_BLTZAL(0x00000000, 0xafbac4d4, 0xf06fb6ae, 0x00000000, 0x00000000, 0xbfc0acd0, 0xbfc0ac9c, 0xbfc0acc8) HIT_GOOD_TRAP inst_error: diff --git a/TEMU/mips_sc/src/inst/jal.S b/TEMU/mips_sc/src/inst/jal.S index 4bfe359..10ff081 100644 --- a/TEMU/mips_sc/src/inst/jal.S +++ b/TEMU/mips_sc/src/inst/jal.S @@ -10,207 +10,12 @@ main: ###test inst - TEST_JAL(0x8c3e1efa, 0xeb2bd57c, 0x8c3e1efa, 0xeb2bd57c) - TEST_JAL(0xfbc1f5d0, 0xb91bc0b0, 0xfbc1f5d0, 0xb91bc0b0) - TEST_JAL(0xc1062356, 0xf8d60c60, 0xc1062356, 0xf8d60c60) - TEST_JAL(0x0b2dc8e4, 0xff276d2f, 0x0b2dc8e4, 0xff276d2f) - TEST_JAL(0x312fabd9, 0x970387ac, 0x312fabd9, 0x970387ac) - TEST_JAL(0x46360a68, 0xf903f5cd, 0x46360a68, 0xf903f5cd) - TEST_JAL(0x41cc660a, 0x52295cdc, 0x41cc660a, 0x52295cdc) - TEST_JAL(0x48fa3a04, 0x69636126, 0x48fa3a04, 0x69636126) - TEST_JAL(0xbcd7cfec, 0x779a95ec, 0xbcd7cfec, 0x779a95ec) - TEST_JAL(0x5fc96388, 0xc43c4580, 0x5fc96388, 0xc43c4580) - TEST_JAL(0x2e6acb2a, 0x3ff930e4, 0x2e6acb2a, 0x3ff930e4) - TEST_JAL(0x570165c0, 0x984bd878, 0x570165c0, 0x984bd878) - TEST_JAL(0x881d5800, 0x034e6000, 0x881d5800, 0x034e6000) - TEST_JAL(0x8a5b3be0, 0xb94b6376, 0x8a5b3be0, 0xb94b6376) - TEST_JAL(0x8b75ce52, 0x13b7a780, 0x8b75ce52, 0x13b7a780) - TEST_JAL(0xfcdb1218, 0xff483538, 0xfcdb1218, 0xff483538) - TEST_JAL(0x2e450deb, 0x10609f0c, 0x2e450deb, 0x10609f0c) - TEST_JAL(0x3e80cb3e, 0xc960e400, 0x3e80cb3e, 0xc960e400) - TEST_JAL(0x44af9ce0, 0x800d3820, 0x44af9ce0, 0x800d3820) - TEST_JAL(0x08eec534, 0xb7735884, 0x08eec534, 0xb7735884) - TEST_JAL(0xbc5a66a4, 0xdf144ab4, 0xbc5a66a4, 0xdf144ab4) - TEST_JAL(0x2aeb3f3c, 0xedcc9ce0, 0x2aeb3f3c, 0xedcc9ce0) - TEST_JAL(0xcd784df5, 0xaff92f84, 0xcd784df5, 0xaff92f84) - TEST_JAL(0xa34d260a, 0xb77c87f4, 0xa34d260a, 0xb77c87f4) - TEST_JAL(0xa0d86880, 0xafeba4e4, 0xa0d86880, 0xafeba4e4) - TEST_JAL(0xf7b8f37b, 0x911de040, 0xf7b8f37b, 0x911de040) - TEST_JAL(0x7c777a50, 0xe14e1a90, 0x7c777a50, 0xe14e1a90) - TEST_JAL(0x7b1a2aa1, 0x944c4918, 0x7b1a2aa1, 0x944c4918) - TEST_JAL(0x7e86eb59, 0xb5428b4c, 0x7e86eb59, 0xb5428b4c) - TEST_JAL(0x7bf88eb0, 0x7730b200, 0x7bf88eb0, 0x7730b200) - TEST_JAL(0x68e11960, 0x8bb65900, 0x68e11960, 0x8bb65900) - TEST_JAL(0x761df4e4, 0xa9cb4b94, 0x761df4e4, 0xa9cb4b94) - TEST_JAL(0xcf09190a, 0x38d5a080, 0xcf09190a, 0x38d5a080) - TEST_JAL(0xe30a8d18, 0xc20c3730, 0xe30a8d18, 0xc20c3730) - TEST_JAL(0xed6878d8, 0xf351a7d0, 0xed6878d8, 0xf351a7d0) - TEST_JAL(0x2ce27912, 0xe112dcc0, 0x2ce27912, 0xe112dcc0) - TEST_JAL(0xcf2e7144, 0x35530400, 0xcf2e7144, 0x35530400) - TEST_JAL(0xc7819b20, 0x8274cb2e, 0xc7819b20, 0x8274cb2e) - TEST_JAL(0xc1799706, 0x493e5d5c, 0xc1799706, 0x493e5d5c) - TEST_JAL(0xd28b3668, 0xdb280f90, 0xd28b3668, 0xdb280f90) - TEST_JAL(0x026aa762, 0x3e6cae50, 0x026aa762, 0x3e6cae50) - TEST_JAL(0x66ef8290, 0x649f12da, 0x66ef8290, 0x649f12da) - TEST_JAL(0x62b6f780, 0x3a972800, 0x62b6f780, 0x3a972800) - TEST_JAL(0xc820cfb0, 0xfb4f92d6, 0xc820cfb0, 0xfb4f92d6) - TEST_JAL(0xafb11450, 0x6f3f4ac4, 0xafb11450, 0x6f3f4ac4) - TEST_JAL(0x4f07fcf0, 0xc90ed8f2, 0x4f07fcf0, 0xc90ed8f2) - TEST_JAL(0x348bcc32, 0xaf14d88e, 0x348bcc32, 0xaf14d88e) - TEST_JAL(0xac0d1b82, 0x859c9fa0, 0xac0d1b82, 0x859c9fa0) - TEST_JAL(0x2967a800, 0x9be679e0, 0x2967a800, 0x9be679e0) - TEST_JAL(0x54682b9b, 0xf6afc130, 0x54682b9b, 0xf6afc130) - TEST_JAL(0x546c98f0, 0x54bb0a06, 0x546c98f0, 0x54bb0a06) - TEST_JAL(0x0b51bf3f, 0x31c22efc, 0x0b51bf3f, 0x31c22efc) - TEST_JAL(0x04ad485a, 0x39e85f59, 0x04ad485a, 0x39e85f59) - TEST_JAL(0xe7e576d8, 0x68ca0df9, 0xe7e576d8, 0x68ca0df9) - TEST_JAL(0xba12c92e, 0x85577d42, 0xba12c92e, 0x85577d42) - TEST_JAL(0x403b4458, 0x6becb754, 0x403b4458, 0x6becb754) - TEST_JAL(0x8f6d6b48, 0xe1563e28, 0x8f6d6b48, 0xe1563e28) - TEST_JAL(0xb41d3203, 0x96329e80, 0xb41d3203, 0x96329e80) - TEST_JAL(0xe6aec8cb, 0x27ef5f5b, 0xe6aec8cb, 0x27ef5f5b) - TEST_JAL(0x5eedde10, 0x94eb3844, 0x5eedde10, 0x94eb3844) - TEST_JAL(0x83b08836, 0x22173eb0, 0x83b08836, 0x22173eb0) - TEST_JAL(0x59931aae, 0xdbc9d400, 0x59931aae, 0xdbc9d400) - TEST_JAL(0x062253c0, 0x2628a368, 0x062253c0, 0x2628a368) - TEST_JAL(0xb4f84c50, 0xd5d39399, 0xb4f84c50, 0xd5d39399) - TEST_JAL(0xeb44ae38, 0xdc7d3918, 0xeb44ae38, 0xdc7d3918) - TEST_JAL(0x53335424, 0x9eeec7c0, 0x53335424, 0x9eeec7c0) - TEST_JAL(0x0cb4fb1c, 0x5d38a700, 0x0cb4fb1c, 0x5d38a700) - TEST_JAL(0x94074b4a, 0x19de1db2, 0x94074b4a, 0x19de1db2) - TEST_JAL(0x47bfb4b8, 0x48c0ff80, 0x47bfb4b8, 0x48c0ff80) - TEST_JAL(0x6f543ca0, 0xa3afbfd0, 0x6f543ca0, 0xa3afbfd0) - TEST_JAL(0xe415ada8, 0xbf884e60, 0xe415ada8, 0xbf884e60) - TEST_JAL(0x0b96ab22, 0xc0d6f7c4, 0x0b96ab22, 0xc0d6f7c4) - TEST_JAL(0x5ae28f04, 0xf13ea9c0, 0x5ae28f04, 0xf13ea9c0) - TEST_JAL(0x2a34a7e4, 0xcbaf7220, 0x2a34a7e4, 0xcbaf7220) - TEST_JAL(0xd0ad4298, 0xf331669e, 0xd0ad4298, 0xf331669e) - TEST_JAL(0xa0666600, 0xd2dbb338, 0xa0666600, 0xd2dbb338) - TEST_JAL(0x78bc78cc, 0x5b6016a0, 0x78bc78cc, 0x5b6016a0) - TEST_JAL(0xe7050f78, 0x39d5936c, 0xe7050f78, 0x39d5936c) - TEST_JAL(0x19918b5e, 0x4434cce4, 0x19918b5e, 0x4434cce4) - TEST_JAL(0xfb6658fc, 0x55f6c180, 0xfb6658fc, 0x55f6c180) - TEST_JAL(0x5c597442, 0x97069b95, 0x5c597442, 0x97069b95) - TEST_JAL(0x40641bb4, 0xa4a7e410, 0x40641bb4, 0xa4a7e410) - TEST_JAL(0xa6f177e0, 0x6297679f, 0xa6f177e0, 0x6297679f) - TEST_JAL(0xde4805a8, 0x831d0fe8, 0xde4805a8, 0x831d0fe8) - TEST_JAL(0xd71129d0, 0x07e1fff8, 0xd71129d0, 0x07e1fff8) - TEST_JAL(0xa57eea80, 0xead68300, 0xa57eea80, 0xead68300) - TEST_JAL(0x8c126738, 0x729c97f4, 0x8c126738, 0x729c97f4) - TEST_JAL(0xc2f019bc, 0xa123d420, 0xc2f019bc, 0xa123d420) - TEST_JAL(0x4e60cfa6, 0xdf8b1860, 0x4e60cfa6, 0xdf8b1860) - TEST_JAL(0x88977aa0, 0xdd38d0b8, 0x88977aa0, 0xdd38d0b8) - TEST_JAL(0x8273a980, 0x15daba38, 0x8273a980, 0x15daba38) - TEST_JAL(0x2e33290a, 0xd9346c82, 0x2e33290a, 0xd9346c82) - TEST_JAL(0x864e94e4, 0xa20d82e4, 0x864e94e4, 0xa20d82e4) - TEST_JAL(0x63677728, 0x3d83ac49, 0x63677728, 0x3d83ac49) - TEST_JAL(0xdc9e95bc, 0x80890af0, 0xdc9e95bc, 0x80890af0) - TEST_JAL(0x62987b70, 0xb80855ae, 0x62987b70, 0xb80855ae) - TEST_JAL(0xb5b70534, 0x6507ff98, 0xb5b70534, 0x6507ff98) - TEST_JAL(0xac72d980, 0xbf989ac0, 0xac72d980, 0xbf989ac0) - TEST_JAL(0xf330e230, 0x3e8946c8, 0xf330e230, 0x3e8946c8) - TEST_JAL(0xa6d166cc, 0xe1821d9f, 0xa6d166cc, 0xe1821d9f) - TEST_JAL(0xf2a1547c, 0x27507968, 0xf2a1547c, 0x27507968) - TEST_JAL(0x22da9500, 0x3567c320, 0x22da9500, 0x3567c320) - TEST_JAL(0x456843ac, 0x9078aa46, 0x456843ac, 0x9078aa46) - TEST_JAL(0xf6374800, 0xfec9001c, 0xf6374800, 0xfec9001c) - TEST_JAL(0x7772970e, 0x5c93caaf, 0x7772970e, 0x5c93caaf) - TEST_JAL(0xd0deecae, 0x9242f92c, 0xd0deecae, 0x9242f92c) - TEST_JAL(0xf706e3d4, 0xd80065c8, 0xf706e3d4, 0xd80065c8) - TEST_JAL(0x88ed1d00, 0x01132628, 0x88ed1d00, 0x01132628) - TEST_JAL(0xf1d6dafe, 0xf8edf824, 0xf1d6dafe, 0xf8edf824) - TEST_JAL(0x65394174, 0xcc264320, 0x65394174, 0xcc264320) - TEST_JAL(0x7f95e490, 0xb64262d0, 0x7f95e490, 0xb64262d0) - TEST_JAL(0xe67c8576, 0x14fc505c, 0xe67c8576, 0x14fc505c) - TEST_JAL(0x54be7440, 0xf66c762e, 0x54be7440, 0xf66c762e) + TEST_JAL(0xd7a7e4e6, 0xcab5f8fb, 0xd7a7e4e6, 0xcab5f8fb) TEST_JAL(0x626c7a3b, 0xc2279a00, 0x626c7a3b, 0xc2279a00) - TEST_JAL(0xd058ca5d, 0x87033d19, 0xd058ca5d, 0x87033d19) - TEST_JAL(0x4883255a, 0x527231d0, 0x4883255a, 0x527231d0) - TEST_JAL(0x482d4ba0, 0x166fe362, 0x482d4ba0, 0x166fe362) - TEST_JAL(0xaa8af5cc, 0x12fdc654, 0xaa8af5cc, 0x12fdc654) - TEST_JAL(0x60c6b2c4, 0x6d83e80a, 0x60c6b2c4, 0x6d83e80a) - TEST_JAL(0x86479cb8, 0xb78fb7a2, 0x86479cb8, 0xb78fb7a2) - TEST_JAL(0xab6b767c, 0x3de37136, 0xab6b767c, 0x3de37136) - TEST_JAL(0x4f2c6700, 0x5c6e1608, 0x4f2c6700, 0x5c6e1608) - TEST_JAL(0x837221e0, 0xf67a1882, 0x837221e0, 0xf67a1882) - TEST_JAL(0xe8609af3, 0xe8c6d23c, 0xe8609af3, 0xe8c6d23c) - TEST_JAL(0x397df8e2, 0xdad4d9c8, 0x397df8e2, 0xdad4d9c8) - TEST_JAL(0x50a379d0, 0xcebec140, 0x50a379d0, 0xcebec140) - TEST_JAL(0x3af9c4d7, 0x9d770cfe, 0x3af9c4d7, 0x9d770cfe) - TEST_JAL(0xe0814d80, 0x535d93c0, 0xe0814d80, 0x535d93c0) - TEST_JAL(0x9886e010, 0x300135f0, 0x9886e010, 0x300135f0) - TEST_JAL(0x70f4bbd4, 0x0afa6080, 0x70f4bbd4, 0x0afa6080) - TEST_JAL(0x957d3bd2, 0x5726030a, 0x957d3bd2, 0x5726030a) - TEST_JAL(0xff441412, 0x93520ef6, 0xff441412, 0x93520ef6) - TEST_JAL(0xa3ad1eca, 0x0f86f200, 0xa3ad1eca, 0x0f86f200) - TEST_JAL(0xe6bd89c0, 0x01dc0560, 0xe6bd89c0, 0x01dc0560) - TEST_JAL(0xf5e4174e, 0xbefbf528, 0xf5e4174e, 0xbefbf528) - TEST_JAL(0x0e2e04b8, 0x5e3ad5e9, 0x0e2e04b8, 0x5e3ad5e9) - TEST_JAL(0xf0132abc, 0x6a99fa90, 0xf0132abc, 0x6a99fa90) - TEST_JAL(0xd29b6d80, 0x5fde970c, 0xd29b6d80, 0x5fde970c) - TEST_JAL(0xb35fc4b0, 0x0b665f50, 0xb35fc4b0, 0x0b665f50) - TEST_JAL(0x8f73c708, 0x60315db8, 0x8f73c708, 0x60315db8) - TEST_JAL(0x148c1328, 0xb37f2bf5, 0x148c1328, 0xb37f2bf5) - TEST_JAL(0x7731562a, 0xb0d0928d, 0x7731562a, 0xb0d0928d) - TEST_JAL(0xe8469a78, 0xf1e42cc0, 0xe8469a78, 0xf1e42cc0) - TEST_JAL(0x872f83e8, 0x9e525fe0, 0x872f83e8, 0x9e525fe0) - TEST_JAL(0xca114c7f, 0xd1613e14, 0xca114c7f, 0xd1613e14) - TEST_JAL(0x1f771c40, 0x5c131564, 0x1f771c40, 0x5c131564) - TEST_JAL(0x59892de4, 0x7c4d6241, 0x59892de4, 0x7c4d6241) - TEST_JAL(0x762014e5, 0xb748edf0, 0x762014e5, 0xb748edf0) - TEST_JAL(0x0630a598, 0xbf4fc5a0, 0x0630a598, 0xbf4fc5a0) - TEST_JAL(0x3d40efc0, 0xedfb0588, 0x3d40efc0, 0xedfb0588) - TEST_JAL(0xf36d1638, 0x04b484c0, 0xf36d1638, 0x04b484c0) - TEST_JAL(0xaf105dfc, 0xa7ba2b5c, 0xaf105dfc, 0xa7ba2b5c) - TEST_JAL(0x443323f8, 0xf9a5cf25, 0x443323f8, 0xf9a5cf25) - TEST_JAL(0x958083cc, 0x7abfbd64, 0x958083cc, 0x7abfbd64) - TEST_JAL(0x0849c579, 0x494076ef, 0x0849c579, 0x494076ef) - TEST_JAL(0x0c3d52a0, 0x095f1c90, 0x0c3d52a0, 0x095f1c90) - TEST_JAL(0x7869bfbc, 0x5b92ccac, 0x7869bfbc, 0x5b92ccac) - TEST_JAL(0xc45cefa4, 0x7006ab64, 0xc45cefa4, 0x7006ab64) - TEST_JAL(0x9641dae6, 0x21bd0b07, 0x9641dae6, 0x21bd0b07) - TEST_JAL(0x4cd7ccef, 0xb838965b, 0x4cd7ccef, 0xb838965b) - TEST_JAL(0xd924322a, 0x9ebbb9dc, 0xd924322a, 0x9ebbb9dc) - TEST_JAL(0xb9080a70, 0xcffad758, 0xb9080a70, 0xcffad758) - TEST_JAL(0x53a61c51, 0xd9776eae, 0x53a61c51, 0xd9776eae) - TEST_JAL(0xa2afbf34, 0x47562800, 0xa2afbf34, 0x47562800) - TEST_JAL(0x5edf2815, 0x09654c40, 0x5edf2815, 0x09654c40) - TEST_JAL(0x18fd52d0, 0x83ff1689, 0x18fd52d0, 0x83ff1689) - TEST_JAL(0xc18668c2, 0xec028728, 0xc18668c2, 0xec028728) - TEST_JAL(0x6cc55230, 0x9a0af75e, 0x6cc55230, 0x9a0af75e) - TEST_JAL(0xf5aaab48, 0xd1b0328c, 0xf5aaab48, 0xd1b0328c) - TEST_JAL(0xf3ff4eac, 0xce6aecb3, 0xf3ff4eac, 0xce6aecb3) - TEST_JAL(0x0947cf11, 0x2c07ace8, 0x0947cf11, 0x2c07ace8) - TEST_JAL(0x5eaec54e, 0x94d512f8, 0x5eaec54e, 0x94d512f8) - TEST_JAL(0xa07b8e00, 0xddfdbc60, 0xa07b8e00, 0xddfdbc60) - TEST_JAL(0xcf09fb60, 0x23296371, 0xcf09fb60, 0x23296371) - TEST_JAL(0x00cda400, 0x526632b0, 0x00cda400, 0x526632b0) - TEST_JAL(0xf2367e0c, 0xdb2154f8, 0xf2367e0c, 0xdb2154f8) - TEST_JAL(0x5b73db50, 0x23c55346, 0x5b73db50, 0x23c55346) - TEST_JAL(0x99393820, 0xb3472a6c, 0x99393820, 0xb3472a6c) - TEST_JAL(0xca33c824, 0x38659bc8, 0xca33c824, 0x38659bc8) - TEST_JAL(0x3d8d2c44, 0xe6595cd2, 0x3d8d2c44, 0xe6595cd2) - TEST_JAL(0x9db739aa, 0x940d49fe, 0x9db739aa, 0x940d49fe) - TEST_JAL(0x34af7570, 0x30a1f5c4, 0x34af7570, 0x30a1f5c4) - TEST_JAL(0x2d1b57d7, 0x559e4a76, 0x2d1b57d7, 0x559e4a76) - TEST_JAL(0xa424d030, 0x4a029754, 0xa424d030, 0x4a029754) - TEST_JAL(0xf5f1fea0, 0x17c22c9e, 0xf5f1fea0, 0x17c22c9e) - TEST_JAL(0xc2e26918, 0x555e1066, 0xc2e26918, 0x555e1066) - TEST_JAL(0x2eb1d6d6, 0x0f003580, 0x2eb1d6d6, 0x0f003580) - TEST_JAL(0x32eae280, 0x174f4580, 0x32eae280, 0x174f4580) - TEST_JAL(0x824c9710, 0xb2d3fe09, 0x824c9710, 0xb2d3fe09) - TEST_JAL(0xf41338d8, 0x7d527120, 0xf41338d8, 0x7d527120) - TEST_JAL(0x2ff13590, 0xe51179de, 0x2ff13590, 0xe51179de) - TEST_JAL(0x6310dd60, 0x9423fac0, 0x6310dd60, 0x9423fac0) - TEST_JAL(0x9f434002, 0x775d06fc, 0x9f434002, 0x775d06fc) - TEST_JAL(0x49b9c1c0, 0xa4a1f200, 0x49b9c1c0, 0xa4a1f200) - TEST_JAL(0x52eafe00, 0xfaddf60c, 0x52eafe00, 0xfaddf60c) - TEST_JAL(0xd280ee0a, 0x2176f4c0, 0xd280ee0a, 0x2176f4c0) - TEST_JAL(0xe9bc5a90, 0xab0421a6, 0xe9bc5a90, 0xab0421a6) - TEST_JAL(0x8335d66d, 0xdaf2c222, 0x8335d66d, 0xdaf2c222) + TEST_JAL(0x18e08d00, 0x6fa3619e, 0x18e08d00, 0x6fa3619e) HIT_GOOD_TRAP inst_error: - HIT_BAD_TRAP \ No newline at end of file + HIT_BAD_TRAP diff --git "a/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" "b/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" index 7562c57..662e3d2 100644 --- "a/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" +++ "b/TEMU/mips_sc/src/\346\265\213\350\257\225\346\214\207\344\273\244.md" @@ -36,7 +36,7 @@ | bltz | | | | bgezal | | | | bltzal | | | -| j | | 1 | +| j | | | | jal | for | 1 | | jr | for | 1 | | jalr | | | -- Gitee From de64fc19d6f165afb2d0d0fcae2652e88baa3d27 Mon Sep 17 00:00:00 2001 From: hua-yi-123 <1342881632@qq.com> Date: Wed, 3 Nov 2021 15:01:50 +0800 Subject: [PATCH 3/3] temp --- .../ip/2019.2/9888347cfb290ede/stats.txt | 2 + .../MiniMIPS32.cache/wt/gui_handlers.wdf | 21 +- .../wt/java_command_handlers.wdf | 7 +- .../MiniMIPS32.cache/wt/project.wpc | 2 +- .../MiniMIPS32.cache/wt/synthesis.wdf | 39 - .../MiniMIPS32.cache/wt/webtalk_pa.xml | 30 +- .../sim_scripts/inst_rom/activehdl/README.txt | 2 +- .../sim_scripts/inst_rom/activehdl/compile.do | 6 +- .../inst_rom/activehdl/file_info.txt | 6 +- .../inst_rom/activehdl/inst_rom.sh | 4 +- .../sim_scripts/inst_rom/ies/README.txt | 2 +- .../sim_scripts/inst_rom/ies/file_info.txt | 6 +- .../sim_scripts/inst_rom/ies/inst_rom.sh | 2 +- .../sim_scripts/inst_rom/ies/run.f | 6 +- .../sim_scripts/inst_rom/modelsim/README.txt | 2 +- .../sim_scripts/inst_rom/modelsim/compile.do | 6 +- .../inst_rom/modelsim/file_info.txt | 6 +- .../sim_scripts/inst_rom/modelsim/inst_rom.sh | 4 +- .../sim_scripts/inst_rom/questa/README.txt | 2 +- .../sim_scripts/inst_rom/questa/compile.do | 6 +- .../sim_scripts/inst_rom/questa/file_info.txt | 6 +- .../sim_scripts/inst_rom/questa/inst_rom.sh | 4 +- .../sim_scripts/inst_rom/riviera/README.txt | 2 +- .../sim_scripts/inst_rom/riviera/compile.do | 6 +- .../inst_rom/riviera/file_info.txt | 6 +- .../sim_scripts/inst_rom/riviera/inst_rom.sh | 4 +- .../sim_scripts/inst_rom/vcs/README.txt | 2 +- .../sim_scripts/inst_rom/vcs/file_info.txt | 6 +- .../sim_scripts/inst_rom/vcs/inst_rom.sh | 8 +- .../sim_scripts/inst_rom/xcelium/README.txt | 2 +- .../inst_rom/xcelium/file_info.txt | 6 +- .../sim_scripts/inst_rom/xcelium/inst_rom.sh | 2 +- .../sim_scripts/inst_rom/xcelium/run.f | 6 +- .../sim_scripts/inst_rom/xsim/README.txt | 2 +- .../sim_scripts/inst_rom/xsim/inst_rom.sh | 6 +- .../MiniMIPS32.runs/.jobs/vrs_config_15.xml | 9 + .../.Vivado_Synthesis.queue.rst | 0 .../inst_rom_synth_1/.vivado.begin.rst | 5 - .../inst_rom_synth_1/.vivado.end.rst | 0 .../inst_rom_synth_1/ISEWrap.js | 270 ---- .../inst_rom_synth_1/ISEWrap.sh | 67 - .../__synthesis_is_complete__ | 0 .../inst_rom_synth_1/dont_touch.xdc | 16 - .../inst_rom_synth_1/gen_run.xml | 45 - .../MiniMIPS32.runs/inst_rom_synth_1/htr.txt | 9 - .../inst_rom_synth_1/inst_rom.dcp | Bin 39220 -> 0 bytes .../inst_rom_synth_1/inst_rom.tcl | 170 --- .../inst_rom_synth_1/inst_rom.vds | 456 ------ .../inst_rom_utilization_synth.pb | Bin 289 -> 0 bytes .../inst_rom_utilization_synth.rpt | 174 --- .../inst_rom_synth_1/project.wdf | 34 - .../inst_rom_synth_1/rundef.js | 36 - .../inst_rom_synth_1/runme.bat | 10 - .../inst_rom_synth_1/runme.log | 455 ------ .../MiniMIPS32.runs/inst_rom_synth_1/runme.sh | 43 - .../inst_rom_synth_1/vivado.jou | 12 - .../inst_rom_synth_1/vivado.pb | Bin 55181 -> 0 bytes .../behav/xsim/MiniMIPS32_SYS_tb_behav.wdb | Bin 214102 -> 215979 bytes .../sim_1/behav/xsim/compile.bat | 2 +- .../sim_1/behav/xsim/compile.log | 10 - .../sim_1/behav/xsim/elaborate.bat | 2 +- .../sim_1/behav/xsim/elaborate.log | 50 - .../sim_1/behav/xsim/simulate.bat | 2 +- .../sim_1/behav/xsim/webtalk.jou | 4 +- .../sim_1/behav/xsim/webtalk.log | 6 +- .../sim_1/behav/xsim/webtalk_23084.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_23084.backup.log | 13 + .../sim_1/behav/xsim/webtalk_26756.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_26756.backup.log | 13 + .../MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb | Bin 7383 -> 7710 bytes .../obj/xsim_0.win64.obj | Bin 956334 -> 959299 bytes .../MiniMIPS32_SYS_tb_behav/obj/xsim_1.c | 177 +-- .../obj/xsim_1.win64.obj | Bin 29943 -> 30504 bytes .../webtalk/usage_statistics_ext_xsim.wdm | 38 - .../webtalk/xsim_webtalk.tcl | 32 - .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg | Bin 183744 -> 184336 bytes .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem | Bin 62346 -> 62776 bytes .../MiniMIPS32_SYS_tb_behav/xsim.reloc | Bin 52162 -> 52671 bytes .../xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.rlx | 2 +- .../MiniMIPS32_SYS_tb_behav/xsim.rtti | Bin 547 -> 547 bytes .../MiniMIPS32_SYS_tb_behav/xsim.xdbg | Bin 144096 -> 146400 bytes .../MiniMIPS32_SYS_tb_behav/xsimSettings.ini | 2 +- .../MiniMIPS32_SYS_tb_behav/xsimk.exe | Bin 908644 -> 912071 bytes .../MiniMIPS32_SYS_tb_behav/xsimkernel.log | 10 +- .../xil_defaultlib/@mini@m@i@p@s32.sdb | Bin 15627 -> 16030 bytes .../xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb | Bin 2322 -> 2343 bytes .../xsim/xsim.dir/xil_defaultlib/alu.sdb | Bin 1678 -> 1636 bytes .../xsim/xsim.dir/xil_defaultlib/clkdiv.sdb | Bin 1229 -> 1250 bytes .../xil_defaultlib/clkdiv_clk_wiz.sdb | Bin 4913 -> 4934 bytes .../xsim/xsim.dir/xil_defaultlib/data_ram.sdb | Bin 6908 -> 6929 bytes .../xsim/xsim.dir/xil_defaultlib/dcu.sdb | Bin 30429 -> 30335 bytes .../xsim.dir/xil_defaultlib/exemem_reg.sdb | Bin 3104 -> 3062 bytes .../xsim/xsim.dir/xil_defaultlib/hilo.sdb | Bin 1536 -> 1494 bytes .../xsim.dir/xil_defaultlib/idexe_reg.sdb | Bin 3724 -> 3682 bytes .../xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb | Bin 1518 -> 1476 bytes .../xsim/xsim.dir/xil_defaultlib/inst_rom.sdb | Bin 6628 -> 6649 bytes .../xsim/xsim.dir/xil_defaultlib/mcu.sdb | Bin 8011 -> 7969 bytes .../xsim.dir/xil_defaultlib/memwb_reg.sdb | Bin 2647 -> 2890 bytes .../xsim/xsim.dir/xil_defaultlib/mux2.sdb | Bin 959 -> 917 bytes .../xsim/xsim.dir/xil_defaultlib/mux3.sdb | Bin 1380 -> 1338 bytes .../xsim/xsim.dir/xil_defaultlib/mux4.sdb | Bin 1479 -> 1437 bytes .../xsim/xsim.dir/xil_defaultlib/mux5.sdb | Bin 1614 -> 1572 bytes .../xsim/xsim.dir/xil_defaultlib/pc_reg.sdb | Bin 1523 -> 1481 bytes .../xsim/xsim.dir/xil_defaultlib/register.sdb | Bin 4869 -> 4827 bytes .../xsim/xsim.dir/xil_defaultlib/scu.sdb | Bin 1066 -> 1024 bytes .../xil_defaultlib/xil_defaultlib.rlx | 4 +- .../MiniMIPS32.sim/sim_1/behav/xsim/xvlog.log | 30 + .../MiniMIPS32.sim/sim_1/behav/xsim/xvlog.pb | Bin 1822 -> 6973 bytes .../sources_1/ip/inst_rom/inst_rom.dcp | Bin 39220 -> 0 bytes .../sources_1/ip/inst_rom/inst_rom.xci | 2 +- .../sources_1/ip/inst_rom/inst_rom.xml | 132 +- .../ip/inst_rom/inst_rom_sim_netlist.v | 1148 --------------- .../ip/inst_rom/inst_rom_sim_netlist.vhdl | 1272 ----------------- .../sources_1/ip/inst_rom/inst_rom_stub.v | 30 - .../sources_1/ip/inst_rom/inst_rom_stub.vhdl | 40 - CPU_core/MiniMIPS32/MiniMIPS32.xpr | 74 +- 116 files changed, 425 insertions(+), 4734 deletions(-) create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.cache/ip/2019.2/9888347cfb290ede/stats.txt create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/.jobs/vrs_config_15.xml delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.Vivado_Synthesis.queue.rst delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.begin.rst delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.end.rst delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.js delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.sh delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/__synthesis_is_complete__ delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/gen_run.xml delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/htr.txt delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.tcl delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.pb delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.rpt delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/project.wdf delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/rundef.js delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.bat delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.log delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.sh delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.jou delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.pb delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.jou create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.log create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.jou create mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.log delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/usage_statistics_ext_xsim.wdm delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.dcp delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v delete mode 100644 CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/ip/2019.2/9888347cfb290ede/stats.txt b/CPU_core/MiniMIPS32/MiniMIPS32.cache/ip/2019.2/9888347cfb290ede/stats.txt new file mode 100644 index 0000000..1033655 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/ip/2019.2/9888347cfb290ede/stats.txt @@ -0,0 +1,2 @@ +NumberHits:1 +Timestamp: Tue Nov 02 15:15:42 UTC 2021 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/gui_handlers.wdf b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/gui_handlers.wdf index 54a64be..fb6e071 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/gui_handlers.wdf +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/gui_handlers.wdf @@ -1,20 +1,21 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6d65737361676573:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3139:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3534:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6861636763636f6566696c657769646765745f62726f777365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3333:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3330:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3538:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6861636763636f6566696c657769646765745f62726f777365:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3338:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:3238:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3935:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3936:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d706c656f757470757470726f647563746469616c6f675f67656e65726174655f6f75747075745f70726f64756374735f696d6d6564696174656c79:33:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:3130:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:34:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3138:00:00 -eof:2041229701 +eof:1703587262 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/java_command_handlers.wdf b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/java_command_handlers.wdf index 1b1480e..0a8804e 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/java_command_handlers.wdf +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/java_command_handlers.wdf @@ -1,6 +1,7 @@ version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265637573746f6d697a65636f7265:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265637573746f6d697a65636f7265:36:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3333:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3337:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:31:00:00 -eof:864219479 +eof:4060979273 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/project.wpc b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/project.wpc index 7ee13b0..18cda2d 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/project.wpc +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/project.wpc @@ -1,3 +1,3 @@ version:1 -6d6f64655f636f756e7465727c4755494d6f6465:50 +6d6f64655f636f756e7465727c4755494d6f6465:51 eof: diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/synthesis.wdf b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/synthesis.wdf index d7700f6..e69de29 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/synthesis.wdf +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/synthesis.wdf @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863376b333235746666673930302d32:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:696e73745f726f6d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:6f75745f6f665f636f6e74657874:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a303873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313135372e3234324d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3835352e3532334d42:00:00 -eof:2444511432 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/webtalk_pa.xml b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/webtalk_pa.xml index cba3e9f..f1f366a 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/webtalk_pa.xml +++ b/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +

@@ -17,25 +17,27 @@ This means code written to parse this file will need to be revisited each subseq - + + - + - + - - - - - - + + + + + + + - - + + @@ -43,9 +45,9 @@ This means code written to parse this file will need to be revisited each subseq - + - +
diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/compile.do b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/compile.do index 5676c20..fd60353 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/compile.do +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/compile.do @@ -10,11 +10,11 @@ vmap blk_mem_gen_v8_4_4 activehdl/blk_mem_gen_v8_4_4 vmap xil_defaultlib activehdl/xil_defaultlib vlog -work xpm -sv2k12 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ vcom -work xpm -93 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ vlog -work blk_mem_gen_v8_4_4 -v2k5 \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/inst_rom.sh index 58c5a8c..076fee9 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/activehdl/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -96,7 +96,7 @@ map_setup_file() if [[ ($1 != "") ]]; then lib_map_path="$1" else - lib_map_path="G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/activehdl" + lib_map_path="F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/activehdl" fi if [[ ($lib_map_path != "") ]]; then src_file="$lib_map_path/$file" diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/README.txt index b58153b..5b215a7 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/inst_rom.sh index acc885d..f6908ad 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/run.f b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/run.f index ced7e8d..26309e5 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/run.f +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/ies/run.f @@ -1,9 +1,9 @@ -makelib ies_lib/xpm -sv \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ -endlib -makelib ies_lib/xpm \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ -endlib -makelib ies_lib/blk_mem_gen_v8_4_4 \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/compile.do b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/compile.do index 52bb69b..359f091 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/compile.do +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/compile.do @@ -10,11 +10,11 @@ vmap blk_mem_gen_v8_4_4 modelsim_lib/msim/blk_mem_gen_v8_4_4 vmap xil_defaultlib modelsim_lib/msim/xil_defaultlib vlog -work xpm -64 -incr -sv \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ vcom -work xpm -64 -93 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ vlog -work blk_mem_gen_v8_4_4 -64 -incr \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/inst_rom.sh index 9bf547b..66e7b5a 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/modelsim/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -98,7 +98,7 @@ copy_setup_file() if [[ ($1 != "") ]]; then lib_map_path="$1" else - lib_map_path="G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/modelsim" + lib_map_path="F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/modelsim" fi if [[ ($lib_map_path != "") ]]; then src_file="$lib_map_path/$file" diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/compile.do b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/compile.do index 0804405..f80f561 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/compile.do +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/compile.do @@ -10,11 +10,11 @@ vmap blk_mem_gen_v8_4_4 questa_lib/msim/blk_mem_gen_v8_4_4 vmap xil_defaultlib questa_lib/msim/xil_defaultlib vlog -work xpm -64 -sv \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ vcom -work xpm -64 -93 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ vlog -work blk_mem_gen_v8_4_4 -64 \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/inst_rom.sh index 10f54f7..40ff08e 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/questa/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -105,7 +105,7 @@ copy_setup_file() if [[ ($1 != "") ]]; then lib_map_path="$1" else - lib_map_path="G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/questa" + lib_map_path="F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/questa" fi if [[ ($lib_map_path != "") ]]; then src_file="$lib_map_path/$file" diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/compile.do b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/compile.do index 8902359..64e3966 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/compile.do +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/compile.do @@ -10,11 +10,11 @@ vmap blk_mem_gen_v8_4_4 riviera/blk_mem_gen_v8_4_4 vmap xil_defaultlib riviera/xil_defaultlib vlog -work xpm -sv2k12 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ vcom -work xpm -93 \ -"F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ +"E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ vlog -work blk_mem_gen_v8_4_4 -v2k5 \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/inst_rom.sh index c4a09ce..64189d9 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/riviera/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -96,7 +96,7 @@ map_setup_file() if [[ ($1 != "") ]]; then lib_map_path="$1" else - lib_map_path="G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/riviera" + lib_map_path="F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/compile_simlib/riviera" fi if [[ ($lib_map_path != "") ]]; then src_file="$lib_map_path/$file" diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/inst_rom.sh index 5446459..14da028 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/vcs/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -71,12 +71,12 @@ compile() { # Compile design files vlogan -work xpm $vlogan_opts -sverilog \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ 2>&1 | tee -a vlogan.log vhdlan -work xpm $vhdlan_opts \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ 2>&1 | tee -a vhdlan.log vlogan -work blk_mem_gen_v8_4_4 $vlogan_opts +v2k \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/README.txt index b58153b..5b215a7 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/file_info.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/file_info.txt index 1d40a9a..428eee3 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/file_info.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/file_info.txt @@ -1,6 +1,6 @@ -xpm_cdc.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, -xpm_memory.sv,systemverilog,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, -xpm_VCOMP.vhd,vhdl,xpm,F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, +xpm_cdc.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv, +xpm_memory.sv,systemverilog,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv, +xpm_VCOMP.vhd,vhdl,xpm,E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd, blk_mem_gen_v8_4.v,verilog,blk_mem_gen_v8_4_4,../../../ipstatic/simulation/blk_mem_gen_v8_4.v, inst_rom.v,verilog,xil_defaultlib,../../../../MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v, glbl.v,Verilog,xil_defaultlib,glbl.v diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/inst_rom.sh index 902d7cd..72b1d48 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/run.f b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/run.f index c88b553..addd3aa 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/run.f +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xcelium/run.f @@ -1,9 +1,9 @@ -makelib xcelium_lib/xpm -sv \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_cdc/hdl/xpm_cdc.sv" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_memory/hdl/xpm_memory.sv" \ -endlib -makelib xcelium_lib/xpm \ - "F:/vivado/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ + "E:/xlinx/Vivado/2019.2/data/ip/xpm/xpm_VCOMP.vhd" \ -endlib -makelib xcelium_lib/blk_mem_gen_v8_4_4 \ "../../../ipstatic/simulation/blk_mem_gen_v8_4.v" \ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/README.txt b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/README.txt index 06805b0..312b6d1 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/README.txt +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/README.txt @@ -4,7 +4,7 @@ # README.txt: Please read the sections below to understand the steps required to # run the exported script and information about the source files. # -# Generated by export_simulation on Sat Oct 30 21:48:40 +0800 2021 +# Generated by export_simulation on Tue Nov 02 23:18:58 +0800 2021 # ################################################################################ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/inst_rom.sh b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/inst_rom.sh index 2034a73..babb88d 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/inst_rom.sh +++ b/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/sim_scripts/inst_rom/xsim/inst_rom.sh @@ -9,7 +9,7 @@ # directory, add the library logical mappings in the simulator setup file, create default # 'do/prj' file, execute compilation, elaboration and simulation steps. # -# Generated by Vivado on Sat Oct 30 21:48:40 +0800 2021 +# Generated by Vivado on Tue Nov 02 23:18:58 +0800 2021 # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # # Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. @@ -22,7 +22,7 @@ #********************************************************************************************************* # Command line options -xv_boost_lib_path=F:/vivado/Vivado/2019.2/tps/boost_1_64_0 +xv_boost_lib_path=E:/xlinx/Vivado/2019.2/tps/boost_1_64_0 xvlog_opts="--relax" @@ -92,7 +92,7 @@ setup() copy_setup_file() { file="xsim.ini" - lib_map_path="F:/vivado/Vivado/2019.2/data/xsim" + lib_map_path="E:/xlinx/Vivado/2019.2/data/xsim" if [[ ($1 != "") ]]; then lib_map_path="$1" fi diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/.jobs/vrs_config_15.xml b/CPU_core/MiniMIPS32/MiniMIPS32.runs/.jobs/vrs_config_15.xml new file mode 100644 index 0000000..d796a91 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.runs/.jobs/vrs_config_15.xml @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.Vivado_Synthesis.queue.rst b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.Vivado_Synthesis.queue.rst deleted file mode 100644 index e69de29..0000000 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.begin.rst b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.begin.rst deleted file mode 100644 index 99e9d9c..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.begin.rst +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.end.rst b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/.vivado.end.rst deleted file mode 100644 index e69de29..0000000 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.js b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.js deleted file mode 100644 index 97a2ecb..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.js +++ /dev/null @@ -1,270 +0,0 @@ -// -// Vivado(TM) -// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 -// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. -// - -// GLOBAL VARIABLES -var ISEShell = new ActiveXObject( "WScript.Shell" ); -var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); -var ISERunDir = ""; -var ISELogFile = "runme.log"; -var ISELogFileStr = null; -var ISELogEcho = true; -var ISEOldVersionWSH = false; - - - -// BOOTSTRAP -ISEInit(); - - - -// -// ISE FUNCTIONS -// -function ISEInit() { - - // 1. RUN DIR setup - var ISEScrFP = WScript.ScriptFullName; - var ISEScrN = WScript.ScriptName; - ISERunDir = - ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); - - // 2. LOG file setup - ISELogFileStr = ISEOpenFile( ISELogFile ); - - // 3. LOG echo? - var ISEScriptArgs = WScript.Arguments; - for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; - ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); - ISELogFileStr = ISEOpenFile( ISELogFile ); - - } else { // WSH 5.6 - - // LAUNCH! - ISEShell.CurrentDirectory = ISERunDir; - - // Redirect STDERR to STDOUT - ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; - var ISEProcess = ISEShell.Exec( ISECmdLine ); - - // BEGIN file creation - var wbemFlagReturnImmediately = 0x10; - var wbemFlagForwardOnly = 0x20; - var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); - var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); - var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); - var NOC = 0; - var NOLP = 0; - var TPM = 0; - - var cpuInfos = new Enumerator(processor); - for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { - var cpuInfo = cpuInfos.item(); - NOC += cpuInfo.NumberOfCores; - NOLP += cpuInfo.NumberOfLogicalProcessors; - } - var csInfos = new Enumerator(computerSystem); - for(;!csInfos.atEnd(); csInfos.moveNext()) { - var csInfo = csInfos.item(); - TPM += csInfo.TotalPhysicalMemory; - } - - var ISEHOSTCORE = NOLP - var ISEMEMTOTAL = TPM - - var ISENetwork = WScript.CreateObject( "WScript.Network" ); - var ISEHost = ISENetwork.ComputerName; - var ISEUser = ISENetwork.UserName; - var ISEPid = ISEProcess.ProcessID; - var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( " " ); - ISEBeginFile.WriteLine( "" ); - ISEBeginFile.Close(); - - var ISEOutStr = ISEProcess.StdOut; - var ISEErrStr = ISEProcess.StdErr; - - // WAIT for ISEStep to finish - while ( ISEProcess.Status == 0 ) { - - // dump stdout then stderr - feels a little arbitrary - while ( !ISEOutStr.AtEndOfStream ) { - ISEStdOut( ISEOutStr.ReadLine() ); - } - - WScript.Sleep( 100 ); - } - - ISEExitCode = ISEProcess.ExitCode; - } - - ISELogFileStr.Close(); - - // END/ERROR file creation - if ( ISEExitCode != 0 ) { - ISETouchFile( ISEStep, "error" ); - - } else { - ISETouchFile( ISEStep, "end" ); - } - - return ISEExitCode; -} - - -// -// UTILITIES -// -function ISEStdOut( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdOut.WriteLine( ISELine ); - } -} - -function ISEStdErr( ISELine ) { - - ISELogFileStr.WriteLine( ISELine ); - - if ( ISELogEcho ) { - WScript.StdErr.WriteLine( ISELine ); - } -} - -function ISETouchFile( ISERoot, ISEStatus ) { - - var ISETFile = - ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); - ISETFile.Close(); -} - -function ISEOpenFile( ISEFilename ) { - - // This function has been updated to deal with a problem seen in CR #870871. - // In that case the user runs a script that runs impl_1, and then turns around - // and runs impl_1 -to_step write_bitstream. That second run takes place in - // the same directory, which means we may hit some of the same files, and in - // particular, we will open the runme.log file. Even though this script closes - // the file (now), we see cases where a subsequent attempt to open the file - // fails. Perhaps the OS is slow to release the lock, or the disk comes into - // play? In any case, we try to work around this by first waiting if the file - // is already there for an arbitrary 5 seconds. Then we use a try-catch block - // and try to open the file 10 times with a one second delay after each attempt. - // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. - // If there is an unrecognized exception when trying to open the file, we output - // an error message and write details to an exception.log file. - var ISEFullPath = ISERunDir + "/" + ISEFilename; - if (ISEFileSys.FileExists(ISEFullPath)) { - // File is already there. This could be a problem. Wait in case it is still in use. - WScript.Sleep(5000); - } - var i; - for (i = 0; i < 10; ++i) { - try { - return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); - } catch (exception) { - var error_code = exception.number & 0xFFFF; // The other bits are a facility code. - if (error_code == 52) { // 52 is bad file name or number. - // Wait a second and try again. - WScript.Sleep(1000); - continue; - } else { - WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - var exceptionFilePath = ISERunDir + "/exception.log"; - if (!ISEFileSys.FileExists(exceptionFilePath)) { - WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); - var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); - exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); - exceptionFile.WriteLine("\tException name: " + exception.name); - exceptionFile.WriteLine("\tException error code: " + error_code); - exceptionFile.WriteLine("\tException message: " + exception.message); - exceptionFile.Close(); - } - throw exception; - } - } - } - // If we reached this point, we failed to open the file after 10 attempts. - // We need to error out. - WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); - WScript.Quit(1); -} diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.sh b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.sh deleted file mode 100644 index f679f2e..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/ISEWrap.sh +++ /dev/null @@ -1,67 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# ISEWrap.sh: Vivado Runs Script for UNIX -# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. -# - -HD_LOG=$1 -shift - -# CHECK for a STOP FILE -if [ -f .stop.rst ] -then -echo "" >> $HD_LOG -echo "*** Halting run - EA reset detected ***" >> $HD_LOG -echo "" >> $HD_LOG -exit 1 -fi - -ISE_STEP=$1 -shift - -# WRITE STEP HEADER to LOG -echo "" >> $HD_LOG -echo "*** Running $ISE_STEP" >> $HD_LOG -echo " with args $@" >> $HD_LOG -echo "" >> $HD_LOG - -# LAUNCH! -$ISE_STEP "$@" >> $HD_LOG 2>&1 & - -# BEGIN file creation -ISE_PID=$! -if [ X != X$HOSTNAME ] -then -ISE_HOST=$HOSTNAME #bash -else -ISE_HOST=$HOST #csh -fi -ISE_USER=$USER - -ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) -ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) - -ISE_BEGINFILE=.$ISE_STEP.begin.rst -/bin/touch $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo " " >> $ISE_BEGINFILE -echo "" >> $ISE_BEGINFILE - -# WAIT for ISEStep to finish -wait $ISE_PID - -# END/ERROR file creation -RETVAL=$? -if [ $RETVAL -eq 0 ] -then - /bin/touch .$ISE_STEP.end.rst -else - /bin/touch .$ISE_STEP.error.rst -fi - -exit $RETVAL - diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/__synthesis_is_complete__ b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/__synthesis_is_complete__ deleted file mode 100644 index e69de29..0000000 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc deleted file mode 100644 index ba0bb78..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc +++ /dev/null @@ -1,16 +0,0 @@ -# This file is automatically generated. -# It contains project source information necessary for synthesis and implementation. - -# IP: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci -# IP: The module: 'inst_rom' is the root of the design. Do not add the DONT_TOUCH constraint. - -# XDC: g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc -# XDC: The top module name and the constraint reference have the same name: 'inst_rom'. Do not add the DONT_TOUCH constraint. -set_property DONT_TOUCH TRUE [get_cells U0 -quiet] -quiet - -# IP: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci -# IP: The module: 'inst_rom' is the root of the design. Do not add the DONT_TOUCH constraint. - -# XDC: g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc -# XDC: The top module name and the constraint reference have the same name: 'inst_rom'. Do not add the DONT_TOUCH constraint. -#dup# set_property DONT_TOUCH TRUE [get_cells U0 -quiet] -quiet diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/gen_run.xml b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/gen_run.xml deleted file mode 100644 index 4f74933..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/gen_run.xml +++ /dev/null @@ -1,45 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/htr.txt b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/htr.txt deleted file mode 100644 index 7a50776..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/htr.txt +++ /dev/null @@ -1,9 +0,0 @@ -REM -REM Vivado(TM) -REM htr.txt: a Vivado-generated description of how-to-repeat the -REM the basic steps of a run. Note that runme.bat/sh needs -REM to be invoked for Vivado to track run status. -REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -REM - -vivado -log inst_rom.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp deleted file mode 100644 index 866d8bdb36b4b31015071e00604771a81a2feea3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 39220 zcmc$_WmH^Ex9>}Eg1ZF>PH=Y#1b26Lch}(7xVr^+cL`2#cXxLh&uyOfyl3xy?%3y! z{po(Gn)08s*6QxD#;>cZ<)t7XF~GpUV8OQcF{V#YyF{l2Su0`bDNIdQq$sgNp{4t zEsVB?V7M0nOqtSyq~{O4M5K%4L=+;6`1;ATa{hIne*X?B>cPeYBh|mV487XG0kb0j zhj=KK8UGAJRVOrm-CM?qncHT+4kjShmqC!woybQ2>t=q$U4ZezIQcDG$lZuFME(n= z*(;d@F;Z0Y(zlI$XAQ}1NYgZv!@{K6P0j#C=rr<{U-yTU7)(xaXAUDdRK+5+3@@)S zIn&fJCKM5YGX@2AYT2i$?%{e#zF1+w6*P18onYN%yLFiXSCiL{X!ty~SHcSh#+I84 zq?8&LcPFooB#6sH>IJ{o?U{oIYjo}aYgTl278{l3k+eIH{hwc_HbN@d2PI~-6{}}| z85RER6s`7XE{Vzs9k9l~H>28ZBa2%xvn!q&-I&(Y3?-o)5+-N!0nhqLYh_=G9!$GJ*iwqdKs zh=E=#2Z{Yd0jlT^juK;SDA*Z2)tHHYo9&sOp9@o$zhJzL4Djv(1DYl&O_4e$k-V6H zb>UUawrk~FZ1w)8S^I+Jb$ejr;^0(8_75D__UQIv@|z*g1u*#Sy=_Bt^m?$}b!}D8 z_oZVs1~}Qi>6oL}eEYVcD;V+SZQ%yo-KU_0i%&%l&Q$&r{*;M8e^W9s?)uh3z~GcK z_B6Vr>G#KnfB0Ggt$#-CcotjPwoRA*e4Zazdc*7Xz-9lJ$Y=GB#c7ImRcu1Pz)dev ze5RFK)R$i`L&ZwU3BWah4T`Oq0H6TF`P(C%nq8AJF--sFgj!6iT#TPLI{DM8E&ImG z=AYGL>#pYFm%~av@Mroil}pcpW4txXNwr(o+bS9<|IzC9b7!DUv+suN`MUTk`=&P! z>uvM;i>1JAm#)8t#59a>Q-FD}mpwSts#1>ZYnh`OjI0aw(#XCV>u zC!zI5>c4awjdOpoq$CAt@3t1jE&3SvTB~aHs|G!xC zCi^aJv~Yc06s*;u6V8P;6pHWHRa(CA>sG0g5Kp3Mx~BrrKBH+B7Y1n_dM+#L+05qr zwuGIY<(Ou5a~tQO5m#U5)%v=?`LhnUZ$8hmW)j4bZYpPECH=<3Gbb5b=6(Ay;uEncQF~FQhybv=1yOAt}iuHjyZ56S}rNkBa$V_kTrX?}lv z6y+{<8t20EO{Uyt4FD6;XbFGn=CrTuVxYtl_3YwS8lhytl5-alpgWuS^4^t~!SVJm zbk|u~7OHODVBV;e$KS43X~(vGqEmg`9HMcIAP}U;wiZ*f zE{`u`eCqKyCG7cNeCR=<#(x(2(1A%?UvU|#Gv^wztqe%!s<_`6u$7Ys+H^BK^uhS> z#nrrld1YkPWTg;Wce|-CBKTteq}%S&T2uBELT(_axU^x>NEe{UB?_tQ3IR68e0qEn z{p8})b7@nM*4-(vU{T}kg)82!C1qpV?o(+*r+l5GwRin(qc^bG0+K(XY4b6Xo%H>B6>|Pkat}u%4?h z1&-iZjy9jvaGWi{?^{qI%r_}@a|HP~T^YPfX>lXlOnca>0Vn50l+MC4@HC z(C(i4kN4@0BTDoxU+f|c1e)Y%Ldq5|1(dJ13tm?)-bLP?<`O0z4u#ebyPPwOibEvlkvR|gNj3Un$F`sEcShbGC;O84l-Y=*-e^J7@ zfRSr60#-Z-FLgEx{#_S1a&fZYOIs|1b$50C;lp-XpY|<1<0Xj#cho?wdjmEwY5ogv zW9+1ievQGj+STW|YBA&K#n;F7&;7pog6`^x;i?aHcY3^U0q~0;3^TxAZ|c|csPdfh zsSC^(yQZm>Mck`2RXiiQsn+tbLL*S4K#zjEHMbN(fL1>LMjwfh(!zS8wF zb+L%s9e+4Ut)0W$BD_AW*4Z?~TJ`zObLcF2tn_PD2%_M0)uQ9-mv^aZWFlK~71rmB zFN8BLbB=PTo18v1NrwsjeQX&5;2X(67L=}R1p zI_sVQgA9-X=%_ecY3u2p*pFP_(RVMg?{)3y@t)hkYDKKmI0k*x9v>rL-`hFV+17fy| z)S5Sj=GPb0yY`1Vm-FA0i5|XtX+>o`b(+Jej^hE7?cQu<)@8AtyiX1JWXwkYpk7}j^C+`U!i9$b3mGae!HQy{llvWHaLNGOb* zj@vArb)M!wSXNY=)^5Qr4B0PZ$=FOyZd#t4j=HyQB4VjF7Hm|6l(8R`jK}1afz@rR z`=z@0zp;TqcQ){6ipb5_Y4ucq&3yB$?6SgNanUO2w%n;$PyW)mPG?~wR&6D>qWEGT zB;j*wux^)4SI?ZuORKvwvsyWg&40IH&#rB=(J-%x3;!~&4<}RzqtD_}&f}ZP*T`>s zxBWxs2zYnU2_hI6&156o*3^R_tS}Y~uMgS7oU+U!3sa zJgv;~RUi+VOa$IRdpD2+w%to)$gf`3e8H|U?=~BYO2#Mq=_2!Hj>~tZGUq*S>^UyZ z+jGz7TpL+adDpEQ(!0`sK0v+AvTOop^lLW}bD+Pf2EB`|r^8HUdn;O#GI6kxD*4>#y82Qw44koFEw#I2?{VtG>99N~06RNI(*N$pylFL?)HO}G zD5*s+g|5)uijA=ZEWfDhI_bQ`RLUF)EIx3Z^7B580NXq{ucSx{X)3$BdwQ3+v`gF$ zq?Y?Mf&2o0?CW53SLIT#RBvltywNzmAqLzoLN*MLbTP2(o2M1%s-odztSP46T0Po- zk(;oRTI)zF&v?ykeoFN|x~bIG2uw9_`^(y%&3m6%WhpUeILkql`P;Y_7XeVjtUIr# zIWt~qFcy^prE~@?VOiYWY?3^s+yW%cR)Hl{S;Vgq|=OE zM_T{D4*d1=yUy8ZXLGw(Uh^fF=03yCsNH$-kdtq#RhgaPrgtJm01}8YS+oA&^keK= z$eiBiG#pk{j6C$&GwP@$w=q`Wl#d%8sXMxr4zKK(Rx%`ZHO}o(7w-I#i5~l0{XB4T=#rid ze%R1XUAMKXxrB>8*tveuo4ZW8!kMw#DPd^dIVgQIwk<+F7@9inJd&#Q+SId- z3eC{;V$#ka0@|f}v%u`@+U{qA=O>4j>PI~HdS*Y|FQANtYwgH5A=oco9P@O-`~lJy zw=GHR#&CyiCW^HCGGx>hWi)0G)Zi~`t57GLW^i4-w7qY4$dLVve`_;N|0)~H!KO2X zDFgYaG;8N+Xkt47%<%ndGp{thn#{YZUcMaV!RK>45~wAgvcV$rMrdw12fZ53^u^Lp zCA z51&=w$oOeb>k}j7{kZ#DiBjntxKnNw#WB*><{5*qOts2bm4X_gL8{%zfmr)?MbS^& zXXWaLWS8}mNmorhh^A`rziqxmz{W%WmWk$5lfqRRM9rBBXnrE+=`$dH{o;wC5z=>j zOCJ*(Z8h$NA>}W=YY3Gat3TRdm{d;HBeTru&FC15upk5z;jUYF&KBKd<~^Vt4(o#t zC@8&CoSXt})U1yW4FA?ogpX<7&MR}A$b(%=G~Uf%(%Mj)=XTSsV@ML5Lu?J#H9+E! zoknhJtC?vzX~4Kx9Mtgpv}0CQ)~4UHwmR}1asAjz%jQ{3dXR6@4eea_0`_?{UM}|b zSJ2>H+2_Up*HwI&P#S?%9L$`q^S) zxyBo)pwfbw#U7@o7qX+7es@Z?%_p-!EX*?l|{9w|gFKvJO}lGc-B}{urXn1xD3cGs zUKu*2RS2ggR~u?)ONFz!PZx`P_y~M?b1MSlEDLKXn^UAG3*L1EcAHKtR{Sg0hoeuv z?}nE>S-UV-SN?5$S(OVD&)0lFkNb|yrPcH|2=T^Hxv}Ylo3+EzbI#Tf)jqWsU{sb> zNqQMac}x7-VM^;;y4JFnS=Z{WT}fgQUZv@xy_CP>?fk~ZJC5A>+II2U;kak}B%5PS zstdp<~(TskV?Y_!T(P{#qY)+HP1PSDj?VMxdPZvZwRz5qwG$H@9eV z?lN2f@HpUy>U#Y~o!Y4mdu?YKl%)FHrdRqBT&1{pk)dfGt4)QEN5W*H)%;!JDy4)9 zC9A}IZY6zR6MYHqH4Gmj2vfygB&i?MpLj0lA%;PARlq_e>4(ZyKoPYHL{zmU8I<5` z0*19EhvhuyHgEz{fJt8#dvRV=g|47GC>Ty!{t^$&^v`2p1E)c!CB%GrNX@0TLx3mg z+osxRtK1f{OgE8=!f|;G+LgnKlW3U(!xYSO;PeV4KMPN87yM@oml7s4HZ~TKa9)3; z1+SuTBol5{#lF8CTS%gbN51i~7byDC0l&!+aK)-uuT72Y#9~i`@O5&@&0Ed&L=mPm z1ulnL<&JjcrW?Oslexc~!=J8H`Qy9**GOt`cU_uX&qi)9{vIrJ6KSsWmCVo0p{~(h z6Um<*-k<*2IN<-yvUW}Ty|6YipV7S$QM}JLd=OE+p&;e8 zIHQ{$kT=PH3&QzbnF%62AYKh=m|pBckjr8e_*$$pLAuGWr+7m}#@>>)_IZz3{0I!^;cpI1YJuNh0y5(Ol-_FTZeX|t=@ zVqV?$h<>gKN8bl;C%uADf%Etl^A2y0K8F*IQg99BI#SD^Crb3QP(JYjv!$$ajN%do2l7Uj!13cjj8xo+@PNW-}UPr>?PS*b= zHHLj@463>v;7McH7y(rsM7lA9sz7V~_bHuhhK>EFo&WKa)r))Zi7-J|`9Gd|827){-ztB4$D@yUJk z2EElhi}bvs&79o=z^Y`O_&CU2M7~Q%nb2)1YvZgZ(f&7uaB1ESR3r+t<~6TD^Dnj}H?CE!d3`I&<3(Z#pt7 z&EbQK`Sal$S4@TvLy@?jyr>}MH;)k;s*n;MNE6WutgC&z2aK~;(MluBpgmCPs@K3eUmKTIq64B6X#`kNWesV}xK$aE!(n2i#Yhf_ zO}Sxp2-BhkQpAdWOzy{xltf+)vvN9l7)SKe$4E0R?Zktk^<5Qa|ag1Coa^-|2T=<_GdHvB56L>*1x8uY|ZSX#J!{dI9dnXHqE0g#TVO z+vPjq7i~Bgx!&J#_E)4|)?i^6dVh!8UlD6ea!)-@WJAFq$KO{#TyHV<)MvYVCHxZN z{;^Ep(AP=##NFL%W>rX*?R<&B4M>)erJOA%==uxvezH@3LFu{=BZ2=q+DN+7)cG|* z&+JEe7CkoCA(IOi3CxcoUB4r;5o^myLnh7!c=r0|`gmS@JX6toGvX%$;l4n278l=G z!J=qn=HamyEzD5GXpp4@V zT41$d=s{S43Bltg5|Py&YBXH$JCEBNnDaVYElDU93?VuSv}R ziDP39e5k9K-eoKEaqcw46P5iFcP2*{R7b(0QVJ>~1qPFMK7&2R5v$(aI1oc`cv$R; z;FC86BR9$;;Di#9QwbS>iO~nM63;#c`z#M^Fv1#VcCl8kN6RpbFHY^xRWF*@@HD|IGwKW|E>JpBxw@lf z1ZDSv@Bh>vtK8jDGlRUx;QP;=fnrsQNyUqpfx2nXUg`|tGS%_LYT<&_(n6y(x+EF8 z`9w}((t=_S=?bA=7M?v~jA}{32BtXD)e%s0)e&@1hYTBKTNg&$bFfuqenAUVpaXhq zWPd57mMaxqr<9|!T^VHQgN3+|AokSAE?4=rb1MWAF2F(SsZ-8ujn03YZ5f>p$GfVL zWxVR;j)T^%l1&@bu9BV84|NF;#9jzzJ#uvU!@A+isDN)Vnqr)O#4z zyCxXayGc8XIjUYeYiSD+N)y!id-*~ugrrHwGaxBHTiPf~KlVdax8}Q(i+0b8S01!O zQ-SW_y-%HFF%_0%7)1*152-^IcaG#zfnoHO_&F{}99PmFHU@_jZW@_fxJ{j8mG4pg z+n~JAoe^9ded@65tbl3+Q!>h%zR<5oiaMWBFq#1z=`deb;+bId<*tRFNrnIlVS!AP zkzF`I=NIqiefOPFJAZI40XiiyJ9g1FO(KhZ*RPQa{TcwU(CLCsA>0^I5G+B<0}jfc zvbl#6wBsc`3gxf9;5~o$ldmfLVx2wh+WCdxHcRUTmp+(TmQ5LvRcpQyrqK4!0P63I zFma_Q)p{#;Mbh6*0)t9Chu;D;*?}G%>(QHiS(k3FM6ly=KWY!OyxMZA)mmjITTY)` zcH5BX>R`#p+2E1$C$-_Nx0*9ua z)zo=9I*uYY=61+qPNi~ec!}_J3C?Bs4QY8u9_M2unu+TfTmmih{*2G=;Zk)kzo z;7W2vwHy{S5^oQ26Lp3xEeg?gt0XZa44jU98da&h~G;03$ry0J&qSm znc9!g*(^OuDWlYNpV`#L=${(^nz|=XUz1aO=`iaf`PuS8jmknnjYvU_5@0}DGY62i zQ4XZ72nA{3@RCzZWYAfp5gg`VL(MSP7OB_a?7@*Q=6muP`y_vjGWa6{E%oD5eY2+b zQ+gu3*cc_GyCaTnq_&1S@lwf(^hlkb$glQv5v9>)tHIh{(H5` z5DEQvo6Uz8JmbD1GL1vn19(jR|(=|E{gg`kA+!FpAx13hiNIV?w}MDpyyE zTT5+4O1iGy@>A!mUU&aq_3FS3=ws5(nw%P)4DU4LeYPhhO=j(zMNX04byJyW93Jl2 z$qoD_eZ}_us?iI`CXAv}q$v`!Ms%%BP zQdR6qzH;sG|3GIv=P@)URNlo4TQYk-R;;UCPfOaZq5CEEo|x0t+MgeATtztq?yDUW z4fWcRO#uJsz{mR`^Q7Iv=1hu)7pOY?NF&F7q`$&HLhabnzn<_u5%lFyg*kR9k3|NM zVL;VVtHGN{#6}9S>4nUPLtRlaC6_!mfcHUcr3Y_>Weq#wWlt?U89yNSR7}WuT1vZp`vdWbn;d|Vuqe|ixpMv6v zhh&6wNn!IXXX|Kr9}9%c>NsMjLDY(0s5NV&pw%PF)UXOgM1S;L%8>xfrMsb zy9gecs4*;C*MmGv5pD{OD}LBZBG>eivM-n5?Nl2gBNl&k^iv=&W#8I+qW@2>{%?@` z|AVXlJLLYqa`k_Q+@rSx?Yw#&|KsWZ&ei`Na?@N5w1ew){LiPGfp*Z>6q^6(1dmhn z#n?5QT3-)CnUkx}U;fnG();ORmE&6#Gl_l zFv@o4*bWd#J*EL9T0%Ie;*Z_UW#*-y1m;<;n~K5XZEKXnDnS9RNL1RD;8U{|+9MgF zWWRq=;i*(buWh|h%rk9&KqT$taUd5 zm!RN9nb-`mEFMe#-naotCn|)yB`GUmKr9GOf}U2fDN5xOXV>Cczs{O&>PpEGzfS^> z&weA!PJ%?AGWqyv+0yZ1{x@S&rE@c|h)x6C(wHJB%0>YStliqCfP9N>W+UfBE>0fV zwXtm~B|F#57TkUX)3q^95tbFxL8|l!c2E^U-A9$LOt3=ZT4l18rP2?7Vb!F9T+;nN z$TG@S$yVb4x#dCLI==Fm{kUWCevmaX4YD3VxXpd1O_&B~gN>06WC5|b_}R@cMj(jH z^Q%v#+yR0}U1VCyL%f_VXh_*Xfu!48Vw^3gNV-9R=-XRA$dDic1L3!~1j&$gwRRYL z$dO1vWTd^rG|WP{k9kzi{QnDlZ~B*x>nv=1{!jS5rs94FXBh92^OZsa2r?vc zT2`r8N^lAO?kz4)Sr(77HR3l~&QZ(!n7>EZ>$!JhDJQboqbcatn9m+Cv^U4LHoZ3^ zZ*7kJ!135u#{3scF0DftT;r3+uYuB8gvPPqeYd#E&z4Q-l4B&Z_8%y|0zz>_5Q+oD zAdTa$Q-9Jj6Jo}Sr0KF#mZlunAKt z3MB3SmcZnQT{T;wYEc=h2$=qC+E>iSgQ*ag=31##H3EqwVxTJN2M7AMXpkZ3ognnml^&RRdxtHe%2-w?_1O5}tAA94S zs_4&3UEe9<+)1*G@fZf(D=ytpyL)2d-2HKu4f#(nZ~EP{ANCZ}lP5*Hnntdg2D)Ee8xwX%$#`?%vo4L;CZ4}|6r=%Agur6Y6d7rzt8RG{=Ef%Dvamd%58$@ z*2-OrD2Cx~kR=YmpjIqxL|=jKau%Z!z=W+D&U)ae@`rV;ms%n8O`ROa)*wl|k4~*n znv|{r&7~hCio*hlWW@kU#712Ria$;Oec14W3o?l25s04!gT%2#j9+}=K*%13@sdCyat8%dX-H1@eFG zHuBnq@J|sX(on->m^J7yhz)rkF8H$J*$n2ApLKR-`7I^?ER~0@3@P(Bt!TT?S61el zk<>R(4*zG*`l>-Fz|Id)>^h-@(dd0~>)uY#Ep{N9t@G;t1zq!~@&C=}O|WEazW;^M z-(gl(KN$VygV8^M82#wqj4svxFGd&N`Cp7~^)E&jHvV9A_xDqo$@PhVFfoe%vXv{QUKYggY5>OeBO`{teVoF@*#2*Ok?}ulY^wjT)rkJXPQm)$w#EV? z2-Ck0MK~cuf`eE)*QlQ>nesi=z%kb-F?HL4AB5>g^t;+SX7^VPZf8zIOJbJOlx0T{ zZ(O&rgs*0HhqKcd;y`>9YV#B^Ds2OMKV~$vLP9eA7-4Cpe2V$*?0trT(@wW-xXzh8#T^q zniweXR3YItmL6WIpEqDxsn1212a4L9h=EZ!AzFH6!BWce4oZTkc{ul%K_Qmvhkmb zzc0@OK-h2>RVp-D1R!>} zi!l|NLNppcnX&>S5{HC23pQ{?@BO*dM;YT-p9xVJ16M)e&OqT;{{ZVR(;$)QA&>}X zwnrom6=f9up&FC1sW5XO(oG!oj}zCNk$f8Fv?Q-K2h+c;LLwx#IH7QEk+rQE^RS+|O}_hQhe~YHu3y<(Wrj z*8{AL-WNC>zX7A0JNoY3tlpabk!vjabazTU30f@4UKOf6&|?fJ(VZ~4_}(BjX3wxe zzVN`%m30yCE4D|wlJ6qw)}t7XpXEC(A;ovy+gsG=6eX*E0*8&oUioI~+^Z=_UoEMsMdomDf3PD5+0$y0X}RG2MqU@*HPCPGPjFZ>xkW8s35+n|(EUVW+I zfp!{Biq8SmvUxU4R@)MxZ#J;o+;2)j(^S!f&k@Te%?+BsM7szhrP3)C2uVP$RD_-a zw9PHx9ZB3#guzwUUhw%Y_AU0?Kp_%KO-lvO&*&L^r3fhkbA1O5;QN{1 zSbYQ>zg=wPVWgrSDy}vE_{K;&Q`lk@s;DpeOUj`dsjvqip0Ytt!0mzSK;QYs<-Eh3 zukFd>bkuLs-*We`Hx)h_W`BG>n1`N%x;e8N`h$|5w5_liB2-pe)LP2!5;3ap<7}#_qhME7}OjWAkt-bA}YSH1Ke=0SDT&$XwRHb!7V!`1gE6EtE`5n8&8q z*zcB(gB-4*TsvTb^j{Cly8Xd_9+n29h@gk1`6%K)56im!0|z$$_A5fQ-M80)Y+53* zM{3S=#~l08YTwf8p~76<;-+JFul22{gh0$B@vd)_4A_bBXhQWpsKP?%ds>w8xP_3s zhPm8q0zH#`Cwut3xYdh-rltHOb1j~QjkKf`;>j|lDrqI1 zltrl^AI*T}ujaIGbRs1VU*tx7lWk;2GJcn*781;?f+eMsSQDggER-~0JdJY56c)j{ z*oRrw>_>3*pP73;Z6eol`ate#B@_EG+s=I#}GYOv|Q%qrg94V_sU?8+QB6 zR$fX5Q}mad!>Oia8Ka?3M8?WTgL9>|i{x}_fO*c2Xv;^7m%FCn!a_LGh2mxpdO8uD zIQ~u>7mIJ87RNR%Qc|d*iE?%{x|$J;hTfhTcQcAXsN1uvT`9>VfXD2O!L9}j17YA@ z(`#WNENN45GcF^Y2tBD+fK9+}9rxPRf*s%|5q94^*5IGmzM7Wm-9N^D8Lo`8k155dA1-af4#*U(?U=V2gh{o^g?q9(r0>8 zayn#f?OpCeQZB*CzDLX22V`!MO~}2rm3_K|aS0@H`12+tYv1JwE_6xZg!0W+f`@7( zcMyTj7vcQanPqe7Dmd^gEz5kkelRv@F`Ru2pk|C>O?TA%ee{6eBgH}XtU_8~QGbc6 zsdL+a0&A-;YT5Roqxn1h)fN<`H|bR9Wj|JI>}n8E^SU{LkSJj#ET_$RR_Ec2Nn9SH zd-u55?qVzG1cTn+Z@aaKMDFq7cTQ1fIOKV!H}gT8J73>Y)aLyera{LUH2OVzO|rY= z(%YXx&rjjH-@dgHJOdS-ZxA|is=qxy7hAP=mmL!(3SLGgl*RS~32@)bS)h$#<^9Q2ck6a}>EBNbn68atCA483Rr7O3 zO=VAE!8@ah$zL5;!v6|+wzYl1J=MUB$B9{VN3|Nabj~~*zGg>ZvfCZI&lDF>V;H2u zjYyqt?*62lrOJlG)W_gA8RmiiPspsl15s@3@zlcX<+Q|8{$O}HTZuUQl82Gku_?_1 zn9zx9-&EW6P$4X!#*w|i#7XmAZ%6x_q1u8GR!C1aW>imHPA%mKa=QXrLNt8zav0fo z{0cOjy0K`m#i+m9rl!|}0POrlep4qi9VT&X3K2)zC|mD(6s4l+lqJUC?dINE}g zHAHNO+lDW$z+Qh)Fz(uw**Bih&X8wq;+th&ZlJ%obqr}S)cV;VoMjaQStOxI8X`(v zKEh-Bj4K2N{;3+(ymOt9U*!2V=Wk&3Uu;*MSJkm#|Rn`Wo!i))O%zFAj&~T}R=gd_IJVc|WZB%33{;RIdkr$MyT5uY zX3`&>7lpM)x(Q@<;HQ`5(D>)v@t*GV0YxU=`o7l?*?E4X6V995~jJ;u+dk(sK7o>+0ExB2>UvywuSB+M?*7_^R>aEBVgMH4OoBC<5C zyph9m8ZtG*7Uc0}sA=4OaG*}Vu0fs5s6m}lzGjPh8Ev zIxDY=~)2!6GH3l%4qWPt$rClq{^rlKoFUg-}kT4zhR zr4OOzhfwQ7X#F8n{}A?ogobD?6tx;mOr1f;(uOSgh7lvv8vXBZXn8E*AdEEhl$cEG zH|-z9K+pULM1P}TF+`^~q7=wX5pz*%X@+f%$SJ^Z zs;;9QRvxYcQ>X9-tq|yfJSEySf=v7S@6epcjFz@#O@v2m+>Aik7>6?iR}iK zQtZnLLenkT%c=SDR;bmuJ(ta08x$%_Uw-LzXXRO1g8u0+s^VgdZ~@Lx9h8I=mq?Tz z*Sl@YN4;i~Bop!MrQ@PJJ$kNCIA6Mf7FVL#I-@97r)+^&;8OQBYlBO(sQQNUHCyqS2%Oq}<6k5b5NwFiUN7Bgv z2-fA#l_T*g=V`PW*FQ(%QGYs$A^H_H*wy)m{Nh;tX?=*ms9zU^A((&|o5|7ra|CVn zdjg+rD~k*a51Ju`uMXaWMamR$c0Spuw(zo-{yuIV*)Ck^MYWWxQ5SM?>>zY-&S7=_e zO}X#cQ(iwm9KGIXa&$XY`+6R8&%W64gYDJ-{h(VHQ-rDcY-j;F!Ck<1D4B0_jLxeu z=Wpuj!l`>ZID#vGv6~mR7S#2$S}j}V$R5hXqd)&t&Q+cowrtByq=|Rc{OWMIX#=j^ zkQBc6ZN^^S@(tyCN#m6FOH~2>{-zTO^0fDjB(20F&7k4(8}u7XCUKzMK79$)Bnr=9 zW7*$qc+as+oYgr&xWjTHE4`NHRu;WZpRB4BKX;M$pF?GD#J-r|0S7;4BP;oTq;s2EoNY z1tKlRH>ODTj*wgN+gdeE4SV-h9q<=0sdqt6V#z23szScfaDA%!CsC=+cG&XW*uSrs z;pRuFovRh)FFK8uodz13Baf4?p4IT9n<`K*$$9h1HqQnh;?ZwU1uJ-5>pEZuZzzy* zI4F)bvkUw@!cW*F%CP6uH&=f7+0p;@B0;!RR_e}^c=P=-%hSTj09)JPE&Mw1c^|$M z;SyXC$-W498{bWp@fs!4D>!)-Pin**jG-&)FNZH{v&rx+Kp8>eOAA6_*YK6_)DK_y zM@2Ah7-s23cr70UTznPQRdiex)^#+{u!#y9woyRCE;4A?M*ykul+R`_En>Bm z8nMvBgjwlt1X2uP4J?dGV~L!bqhclqGA*Mga4~71ConNBqa}P}(wI@e@+P6C_+eOA zP!I$(R+biZNW94cM2yfz$7d%f)Z#)1n&cYI;tVJW_YXqK1wX8fvPS zXHv)DUdRfL_?ER&F_#`&kj$55dw}m{iH@a*D*JR$PU+R=hKx~6>>#&F zM!LKbqvR#6kSy=%uE^&g(aY^tz?8~_p0d8e#TKXe{@lrThlHeq$1Rd9sgh$4> zD7=C-E{>vZT9_hzSg78$=<91yYBPlctbSPDy1KlmS+oPilpkc3}(4U`O1(G{6S-Rf8>n#d{E4?fYekv6r? z0SJ2vP47O@vlU?5m*T$tlo~&R)3zKm*w?gVwvsR|t#IHkk~J;~KVywcqo|t^7EK=( zMNcy)fj0rQ!j}@t9Ol>9^-clZMlGnN3ki!6D1KrbGH7WLzT=$&x`m}(Z}C56OkSDD zpgWL3IV9CoZI}IL%J^qm*!U;7@GgUPXk@5bsw^C&;~Kuqn=UnWFavUO4$$hk*iur* z%Uk=c347Tlg+=50d2tVEQaQt2D3~V5n$r4N6Vy%7`lJn0B*x+$(swjf!B@ycM>xeC z!V^-lb`b{1Wev3fx9BOHaUO9iDN=g?16%md0qedzxNxM@cW80X5vIjc(OAch@CbgD@zMWX2+r>#Wwgpe;*+G zbq4oM2~Hvs28Nn~_%=J%gPPdF;qW7|16L{!O4xlQ;Im_)J`#7Jgz+?boj5reoi0&H zYEZ{bP)9ymJS%;eA`_g(O%SaDoCJOWAt;04GlhCAAByN)!URLq{wWrdpcS;Q{*{L0 z`)~yE#n*Y%`a)l!-MCuw@L0@9iHHh%ft9YS;ne=1rHBEt0oAb>&x3Be z5i|mI#yFWrC9waC$sF&dkV+!36FXX=x> zq7E|J&sRLNFSyu3IcY)DPPih#dY%-=_EagJSAUm&%L&`FJi4{7CfZG5GRoE_gGLYoE_IG?3xv zjc>&9<;|tP5>;1<{&HU&o;W9i4C^zNtZ9_*XS2C?-y^G3aUORL`##+^JZPnB5~dhm z&OK2vygI@0|M?N z{sqsf6GvKHGmCHJi7<~rT^n}(yE@)J1&3gdd_0slVkj?E5I0a^GESzW>AUa|j&t8)s@g=?a8 zY}>YRV%ttmoV>AZ+qQFJ+qP}nwmIM2%|E-UYu|L$zFWJiR`=7>-eI9={Phs(*nBmI zpBXCL;%BvP(h|IS!{jol4~F@BA^B&ZKkpBlWqA!8gue9Bsua{ro|D~L0U4sOv{6{y5 z{V(AEU&@Jvt&t<__|){2Oq`n(om8~jBxB_3lnjIPwA?hU)Re>II3uGBJsS)(^3!QwT1 za^lZX84epJAz%W*e*JcPtO5~MJW}0qsCJzsgcY1Q8BViYPNy?2IH7+e7Y~be%h+sg zEu}r~iQ-y{PfxA6i(OxC?dHR#&=c)v$A3QAJ~X-UfVmzVeTkCjv?|kOVX2>9mozR6)|XLdW@z%k-_1`Yle2Tx2(zJ$t=?obI3jbt*uM4&MQQ!4LYff$sd+e+izg+m+8rdTjHl zsiO)jB1$vXc3%`))$>@j)nT1c zf3uM}IWuscS&1QGB}ytRpb0ZCSD=2n?qZx zRuKr-a>{tpCuQqCVDiU zP&%c%>eK7~dkhmzpbfAiuc`r;F8Y}s*cIvytz|FI<(k4r6@c-JW+_fa?X3D;F~P5# zo5WQE)|6L$uB&5G+BJX6h&WYxgP2IZM||aAp68q8t+G}Pjt{lM=4HUl4-1J2eQhT# zHB1dh>Pi1EyG{pJ`N0EU@>)Bs}_FWaL~I2 zs&}6TY#$uAGwKePzYl50U;u8=%nd2B1hI%%?B(CBqO zYbdHbyvz}Z5IvkOlk6GfjrV}e6Y!rYkk=P8AHqEyrnHm2K`3j@>YppmM5uq6SAj~G zX*Iwy{+rsvA5kq-xkI^(CW&3HRvn;3@x=q%+gy_Z`0rZh4b-IQQ< z@jbga^}K23BCh)9;>BW@dSSTbX);xB|dE42@TzqEe_j%bQt63e|rSI?i|@1 zx$s$mr-_?A3DQ8!9yp_3WJTs;DYF7>+`7c8D70RnH$v@O`1V|*zqtlLa`l@`jH!V4-Qi)Nc@W8BLi;D63%j3!T_QBbaFv&lIuqTh`f{ z4G-?ItYwVmqDE$@o()`FF@2W&*og#z+#`SgKW$uRWccv?Pm!_zLx}wkf6C3+bXZ9U z0YnI@^D{Gp8ZGShiYWq^ti}gsclEl;1Z_2I{&;T*koCWvnp-oFO^Yuq3U&C zdmsO5zt{>`kRa%J_|USNe(64W!w(Y9rYvZC{;Lz@o_NSp-$`>KD#w97DST`xskX}rU1K-7`2Il}3;tsk8aLSC2=>N|qov0|K<>>ME?}7ePmsf?h!3^N>zd>=b&yQsL zYhL=iaPQMah@BYhY#JXqgt5P40U@CbjZZlW#;4~@`KJ&koeM}xe`msJ)`S6muv8FH zhRJCt3L|pIZ$-RZV3Z6PjKMtGkaPwG*FCWm3A6EeW##C;J0B7$unppm2Vs!cdNP}} z1p0wu5*)Q1Ws5491zax0>B_N5j(tE?^30xwcS}@%+}fr2eXA*mv`HQ3?6E!;287=D zTw=8UXXGemu>}R1V9(M+2ggG>yzkJ!Yc9LJ#dg|%@L@L{#yS>(G-U^KKVG+tVDKkDqTC+1>0i0yNR|M$+o3OMi?$hL%wb z-wV|Kb`U=sZn5|^m}o*DBAzHHBfapj)aC%)bWp>YUJvs^_b6#WaLt^kv+?oQq_Vop ze-DUT35+R8tpVv>$up6Dk4}#X6zaMjns`{Fd!$^x@-_ln2wt{dW3;o3IG(wrj$)Vn zc4Ue_WoDy0qnR>YoM`IVzs^{&7{VM{C1db|0y#apGvV61YXb(dr*1*dqJ(r*DZxOiA96OA=|gRiVqC1{w7^f zyK*-Pe26Ro-|h|UUgt!(6hV?c0*4PzQXFmlCrLc6v=J3;;KwWT&xe~IOqLJj3k!rs zd|-6AgG=sx=Hym;Hzc5qb*g-WuACzd=`yu@dPQC_e^r#U26i)-&m%#=Ww4pS!1`vf zkpU7>!%^F33u!_qlmZj8M}ava2a$X*eTuwzcs-BJn-?H0j`MXOLS$}5&?y$Q4_{Rf z#EPO7hP890t&NkcfLr8dCzr4(BX;7VH{^AU1gCn_a|BN8dOQiVYF-y%l-q|LaN}nO z*1pb}qXz|rB?82o^2>jMxQN@P?C<{ws))>AieCjk6zLYB6*?V!3@Dj2{w7>%m7@!f zZHbmM8_Qh7pgsg}3TJ@9p6iC2UN`ub4Jw^Uon%*r2g&~j$eqZW;C z$@)9a8t98^()8h(E^E*d1occ)?$+NorjQf~OkL*))qR$#h~4q#%RkLwBvPO(0!w=4 z`hP@L<}K|Z?H3$#W<8n*^mfME_awRZo59+1Gj@d4o~5ML=24MPV{AiwmoPz248HxA zctY_e4l(iD#6~Z|q1+WyjK&Iw77QFnJ>TYm=$IQS{qi3lN{_U~rag4Xutv9~*>%m> zNeSE2?(Kfi6uhbQ_uD7fYSGPy(C`HQfl8qC&ux#|Z48w)w^7{?2?(U-071;QIE>6C z%|A_|xivFP7RG3i>a&P^vn=f&`^5m{p(T7sz11XjCuv}!(gGL(^~AbS9(wV zVJY%qI*Y3(iJ*Wba{7s~nY(f*W z|Ax4`JMaNaW@-%dasEt(%^&i>FcV=*-tmB>FXEeZS_PDE+}ot;w&k?e_hS`8;@Pfd2k1k(~R$V0D9+J!oJ#yPZD4e9b2F|fZ zR^NI&8)8^M-D?U_la}l_i#kctc%DUZnuE;Ve+Z`ZRj@8QPOvFNAovr)hr zL1&N^Gz%|^I+Vc(%M@M%OX!Lv59LpRU!x00vB}5#IwDCBD?oI#TAF#a01knj*!>f1 zDeP$kH_GJruPGj9v2Y`eP^pX|uty-iM+T#hB zqDE_AHdY*_h_r=0mc$bxAXGpaDj+$`J%mc|yzri&oF$SK{8aihjIN&2JYW>&+(kI( zSpgPu4q%NauB0VTrkVQxm~~1e_bjSX;MjgaB93n$B7OfWF1h19{?gUdD%Zw_;h?ql z9Bdmh1}=b%e5OPoLDDm#YCA|VLOj;=MB$}gzOCP+zP!#35BTV=ze!5T;y5s5iI47QIZhJc&kjwu01ODbO#zDHmw*1=y9mXZc znN&A!mVk}4Q;1k3kB_v;7Yjd2#rKBAv2~0T^JT<*b-4(!f${~~pD3N=e(}(XVO2&8 zT@~d~|KLOCXNTc>l~vGsKbolc4HH;)F6Ul>oYa)pSU{(-p=!VyEoSdudEA|qGNj*= zh6inp*NR^D-i^a>N!LO7J%kRt&&%JYOuiW#6Sm5l>i$+1 z)5bx0K`ej*xuRnoO^QCRfp!I)s{_rklsa9*A!Ptl0K&ADoAH&(9a=4plNpKK0? z3je25KYNMZ|NaF1CJw1Sp*~`Q0^n2RV3ad5OoPVdVV^O1lNJUQ)?2Uunu@wC$v^ap z{ONR$oDm%+Dci=EDNc=J*}VxYf4l|3g&~g@b^^)sg+ElyMEQD+(b}_kFj~s^h1;{; zc<9ZqpsA8EODyn;NA4;wiP*wDxY3Y>k);hnWs^gdbflOBKcl=2%9D%oGg~u=ACHLz zLEub1HE6vhk~bECqWBM00=&#B47a}~b<{0uQPCZA*pB4!dD5k?1jEHjcCWo$v_lf@ z>>Jh!KZ9R_GU(GCdU{<&*8ho9h-&GSNx$7oj=Nk8G7^KTbFcojkMB> z+b|Qh*e@}O-O;gq9d*PliW$N0QaShbUPsjWjks-MgCM%$=N3EKC5aG0U-b^El9#*t zX2s*ti9XC`CH_QO#|5sxTu0l~EA|Y}f{fL~^IRFvix=2)jkN}vaSrAaEYNo25NcZ_Qy@wWeauW(Yx1y;J&*!q2mHU zDM<|Bd|CmwTTv5DwZPeiZ+$6*W44i%Z3n|oF4?yw(7AjL@K;JQPy?ZWR#)t>vi3-I z7h&O6DB(1jYO7SYt)djuCm?6vS+4Ku;6kuzKMTe--uw4GEWiYfHIXtN$L&m~%^SN1 zj-lAh&w|CdiP>XvOx?!MrAjZQd#1{=UB>p{Qd}?JZR%ZRkB56$vifRgsy_o^rldtJ zUsVxfA2?l~P}bjWJ|A$BIos#hEC!o*(b{k*-PBTa^c6PQpdXw|GbuX;U5ke71G>n^ zX0|`mkaF3fU-bfEZhJ0ZcAXq_5sGwQmi7=I5Q1KBN>1XKj_5T&ouBbJ8F^k*{cn5C zxQM{71r`FDM?lFYxYSW>59V{%4D`Yr(ny|}WREZIwIL#LD-pmm4})cmK9 z)N=G-2=^i2v}Yr=%$^j%%`cNFFfInyo9(hc{zGttniF(e%6VZwV7Frh0o)*Z*Jx8LG%uhrdE{SdPyQlg+{H)M6VD0B` zZ~f{p1>76;0wq9*#>21w(sGRrC?{h;FS^bPY-l49o;f98nOiQL5wXqx;!G!xN5AM9 zi44-cO>lC#B1hoV+s)F$;UrnX+?01b*oc&GWNHwT3>_znHn>&Xr@cNSQ}$NXc7#xW z@jLU@eK=BCPC+9j5@Un1brsMF}0t^ePIMLt@dPv5v{|LH$_P7-CD=*O+VvCpA zVqYJ93{h&u!|+DtOF zeickC2|yAScrU3$t|n_TL)ZPa$QHMWRr@)7IqcC2B4orvn$5+9*JQav8Gm0Bfjrs6 z|6;jv7{tItHWgNwy8`kE!UAhK|0D5%n8AY&4Zps;xk|n3Bc`#j>j{TCDa_7K$R68AankGBhIk zm?1z1;ifjRcrgqQ?H66k*!TTU&*e-wzCd-ZYh^K}ac~%m z&+q}hJMql>c22`p#CBXcOA=#`KVa=0K1k-bB3yPTra05`&Z)On1$ual34#D_?jKHP zUDZI6=Et@HA4Yk{5}UGintlcDsV3Uc(O^~lJ)-u1j41CsTG#@3v{!g`N% z0jpY5d|g2;RZ7SyK{X>N3gvC7q>2TT`arEE72e0#Mg>Dap1^Au_NV7z@j5t zT#_$2C_P_IH(qB-GStuGPZO7kX{00A1`b?xUptvvg6>y|mE;mHJiQ2xKnnfW-Mn27 zgIo9;iXRVk+i;A~-v&?1J}qMbwZnwSLlTY^!vG@a*Ai9 zaZvFd>j<x#KSQ-5M{lPE5kP{N8naSVJIzjxkoXjEfmAu(5@wYh&@GM$@o&JtrhwHT*Qz*7Vi`6dGx&lpi>C@; zSX1%alVAo8pZu9(F$vE8vcTsJ@iU~(KkL&}4Bg(LZdngl)A*zn$*30tA$D@KY7;P1+urez9yTc<)7lx^{+evWeA-8hx!b5Mw|wwCl*fSlB8^d)=q(+mp?`&}w^HlNkEkK#efXW)u|dolx9r}a>?=|IXf&#ko6 z>IqkbKzs|}+NM4dR6&bbkxcAYxhr&+J^#Q+z=3|NH(g3r<*YttP3SRu%E6AXUw;1N zl*`p3QoyPItw^;$4n2{hcT3?+o|WPYZ;#$T6O~)FFk5^bYB3sR$ckF+!k3n$ums*s zHK>2+wtnQDZhst4=kx^8OeyN6jN#5RBqZ-f^}8fvMay%u&Q5%EJgpzwp`Xb{{zhqg zCxLTaG&S=-Y3)CwI9TT5j{Xo&+(vp_@ceS*#@({xwN zYTnzibu=qb3TO|IFp-SS9|*BBRMki)Kx5DHUxw^de&qmWc#EkBF&u6?>E!-s8i`H5 zzdI%(t7d$g^DmFbur!w;ruFq|x}ZSMAj&R_gRz=lzX0f=XRg`qp(5YO`8U{_7fhKc z%-JptIQMPesbk2~Uu%iBZ?jrIf(^^A+q{PO(=zTaGVf5s3p*C=>cn9^10YICs_l~> zpC@V^$$uEiZo}@~Z`n-uf=_a#*%Agx$rKusr7FeWbskGwJ0G%P$az&_Mx-mq#Us__ zs?8;=B75a0N)i3I5||=YEAzV@c^y$w(@r!qOQ{-1e3sypa?6+b6&4%U(fjW^K7=)Q zmp3^PX9IeKnzU|a0!t$gud1UG0T-U-mkKqq~(qyH%jk=`Y2AExv$l^ zcDWo3$xOZHz>2)7>1Qp}1nNCWN2L^>KMZlr4USVJ#z+oYA$RNn9P^0nqIzzeB2Rknf-IIpuk`W$sVok^xTg;lX_a1<6#UKd>aha%WuQU3SX8jsv zH0d)%*dRMU5h%_wxn@iF#LVmja-OTjsHAfT9H@&PQ7k39_@7V;x}JmY72UN^HMUSq z>t1{s>nO@4;N;Pm0__zyrY39F(-bb+^|{tVe>yT~dm*jzoC5B0qZ zMB60+V(j$=*YOJ%>>(jYD3YU|D*X@$cvkzBhJ)1w@tLaq{cbBEnTj)kgOlbbWh6|* z^muUet}pz)XlbKvYS}i3jen}&wUlYA@asuH(o_qBN9VJ>gpL! zknuBG9~M(}w(}G?C(1H$m{rH)8zQlE=zKR9$n2}rW7E|e3XQYCXN6m5=uX^KBv9rr zCKxy2wKWadgRYagyFA8N7thHqa%31t5=-xGcW@U)`{*juhSfQqCQkP^GL!B5R0>nX zEGd7yc$XVb>O09V4TaYbJs&vn1m+CGX+Jc!o%JbQ>T*Hsi=@H}n^h5~fT(Mbq3P0> zs#hvGnjh!E9S9^ujn^>;vzrKEKvM+=ngrk;bIydG$uY{Sb5Dmy-hp>=gh^$|B0tGf z)LWa@3Tf(*&_vphqD2J44UNars14Dt7&G8m!24jkD4}D&jg~bxh2%Q-@4$dZk5Q5~ z99!LB#2vxrUjF1qF%*B~TN1v$bGTS7_b4m^rJ)Ro>be)G#{NWk&VR(j49Pzsxf!hibyGOj=u*LdWq5X z?vSF8gHA~u!E#&RS%npk7!WsJ-4pK{Tx_Puc1}bk96qQm`>ZFxzo3;Eu%^Erl)U0U zU3wJR;9~GHrncQAV@T-X+7CXHqKZO1t6JZUK_@0rli$lBfebG>`_P-Hk7VoO9d#MKfy3;5GH(IjFb@m8?LnloWx*4|k@#{4M%1f|=Dz zI*wm0Zpfw1k^$R}R?{?Dg#(w4;0^F`169q;C|359AZ#(``I{Y^Li%H)Snvdy!sK+} z#^O&BK4qHvqC?!ur~85~sO{0ch+Fr~D{MShI!w(Ko?nLDzBz|qEs_61M!&buGdx2! zM%14fhR=0;hg-Owi;6MJ{E7?$RgX zWZ{a80=HYAXq@wl*<0M4cmhaUj(tcCnF|S4C6Me7!C{%K{cJ8iQA$}JtdbIBW5?d3 z*ql%l7RgYU`l0iyzZF$pM9)Kx6KG3`Jz29F7oANhT(LsJlg7m9Tf>10#Gf zYR~bplJowzZXtU$+M3@I%vYEu<1q#ZJZ*3F#!30QJFE@X5B(c+oEqjVhgOyue5(Z9 zX(u&d)XvJBccsp=iOLk(3l11O2?KlP{KU(_JWNGokItgHY``ztq9xU5#jQI1 zUSBLulcj$ZJ==M$6k_wh*ZI3@_1-0|eT2=DMBPK+(E@@lJ~u6|a+}WR%*~s=_YYvw zf6Q=y3tzaZnwtVzfg@lHNsT$O3X-y+iNf(86o_pmtZEVFS*4tzr5K4^gEDkmnue@+oOp9 z48`@|VtG#bWG*$WxDFZSdA1-DP$Y9fA09QGjLt=*DNz9%9`9xBf+k&FoU0{11O3nv zzx1EFJq<}EJdJO51w_`ab&TTMqC<2OCn1t%c|mqb(>VepL+2CCk0EW zXdCD~I#{Omy0#=xyhy0i%%QW3#^D#sHmg?nMw?@s?m2is{IM47bWaVu7-}7q@BTFu zfon%|qihfZKh3+rAoV0$ShD=K zH==6l5AZbq!E3<#$$*;yE(g|jF+x@>6p8=pYbOX0BXKkv<>3N1{DC{<%;;jiqBL&W zGF|aZDc1tJc`$SqKb}kBxr(U(NDt3_GgWAN8Q1|IIGCyl{eE^GU8jElEVzX!Z3PRX z^I$Tw zg~-W@{QI<*7I~Fxi5^mGzaA(azaViD17*-`u9Y7T-d_3K4t8|Ti`b&m_dhe$Ms7g9 zMTY&?F4A_R&dgFxF;BamHSS8!Q-g292P^spr7q@d6~FqW5U+b$PHPyCSU$s~Ofx@o zeO9)qu|DMGXBOLviuypM>iO_{IW$G_kW^r=TgUGSCfor^-HolaZ&AD+i$bnx^( z-&ynmN5~W`{%zi>)11f#PgQ6~25oABXw>dt3W0h*I&}}H<0o94d!4ZPxK_TKTsD&j zUmGyH%2NBh)Rm%(Y)#k8wK+s{8h1j(gB*ESECW<|wj&R;O+TLv)fnzX*z>`15ea~^niSA5}Z{)Y9dhEt)-s`n2Qh%LT?ny)4Gh631Fb#4Z7G7f)%fx zELoIISnpt?P!i!#z&V)zFuM{D+Ylp_xc+UsxpA!*k1K(KsMka3P32Ef2fjo%Wc zVV(PuHqeqVJ-aavW4I>Dx1XJ~w^dGm!D~&&-A6st%V{7*=XyhVw8%#qT#@~WyP#q9Knx^zn_VIcS(RDI5 zz~T=I(~es~j13#ZhHFHcgTl3a<#gNeTZJH#Y1Na9jDxg9?@r3Az5$EFv{L)-Mr6PF z@M^OAQRN@b_SY+W0nugv;w^&o3zM3IBy>2$Jg2I}7$f=tgtp7hCdv+U>Sf?RbxP9S zY2z{pL?~!N4RukQ=O|Eqc2h0f90g0Jh#nVQSWJrv}|_Cn&j~vuve<8|82*RB+>}Nm4?ED+2P#3poHMwe)Kie4rF+8 zn@1rj|MN`FL)ORjG;>*$b7!c`JB_EPS6?r1?xhwB zU3H@#5{$3Kykr9E!FFG;y51^tpdCh$Zo{1loT6wy@ft65`&@C{MZ2L}`0qPKuILNf zy_HfETBRnJq^!XK701Yew2x|-gV9zBqQR0VyYf8>3mXOtO(DKGGGwS)$a8@a0|0dU zAjx_|<+J?rt5%r2BO7Bd^KAieXxw-W+yO644NlFD$p=rO9cgzS9DK!shs6zKC7Ig; z#EQ+A)9gkZsTCcJZBmFv-RiULDo8A%tYfravnRO++Ho1?gPxY@-2?xKE8f?WN~WXU zk`sldwqc*m^Wq5nHJZ`QSi?xB7g*RDQuU`FuO~HO0Q<~0*~RYF$YA&F-w$+y`yE-0 zX<~f%pQmmHF+tDk{Y2t0SWB+bl#o@!-(lPKGa{r?O<&xR`Pi0pft($){CoTSeR}hr zQ)}5{dq`C48Lex;8T(tV$6t-|H8uZ_A?P@wA>Nw+isyy9`FmJXxnP(mLYXzPz~;41 z(8!JgLn}0ts@-J~OnE#V=jKNpbR*T!uBm+FuBl>aBVY=-*Lt={6rI3Q)`Ka?9!Tbo z;NvkR+{wF;p5CD0a{@VPOO4YG4LA5S1~{eW2K|ihOnCdnY5vv?qy&$FyStG^hJvZR zJ^zg$fq3I2Ou|3Gx1s2rWe#!&f>U~oq;7!sfVq?RhV3{tXuoiaAF^!O8{id9vTf^J z`v!a;r?}dfG_gQwEYZ7ev4~l#)-k5DD>EZ^G-F2StA?sG-&3igFnuJaOi`$j9!DgK zH&;X3OQ5uS*Ui2GRFatqi8wFhZP;J4cedZ!S7P)Oi;B}r)KF=?d=Ge9e`RW6@5+J6 zmQh+ltAAYn;5t=3%RL#<3JqdHg2OED{(9Iv7Xv?G1p*sq2#7MFaapgo_=Y-i_q z`L6tekrN7G;tE$iCOPfSduK*!4MAXhjMV^8YhjZMR0Y*z>#)%?-y&U*BTCeU^Zp5w zazcuOX_uBm?w`YOW%%UmU@(MKTjmi#=M2on=asvhD7?TRIyq#-!+1B;~znE<9G!FtZv zPhc@JZ<=qpo3An$tbQ2JFxFWi^B1I$$yqNiVjajO>7_Bos$yi@kv3>9JIjdV74}sH! zaJM1f40UlC5HktI{nag6;2|XlR;C}fG>g@GStMueCo0YMmKlLM4k9^>0e>>m$D3I; zx2%`LRCw(+J3I&Os$+)g2{}-T2?*skp1CWZ-^<4upPNq3IY2o1p8P;v9_K8%O{l_k zlX!KBw4+4VR%UBweGyM$;@UJSA!66>PN7&=u2crwzdVNLCv7Y)B>`udA(9 z?=?VI@1Nt-Q++@&KEMln9WBqlGb;bYc$HsCvhWMN#j+tv7mt>fbS&Y8K^&?<9^eb| zjetR8(*TBXY0R8z$_u;pSC}}j4I!T&87K31#4ft`o8hjgS z{S^L>UG-RWsAR{l{&d>sUxbBnm5c+Dq{u>ux%hMNO7a~($yte=lf|;Pyqd08q`rrc zN=}M+Y#}N|T$CaoA3xh7F@gwn8@M@C7OF0L_XStIdT&KS=Ldiyqet&iU-NW^GBrjZ?5Pr7(ad|yCp99 zj~po!g7fHfNQ#bQ#q8u_mnn-R?;rL>u8r(z7AblC|J+QzE2O}sWuCLi?53{S8GcE% z!YsZVC;BKXRGU%HP@N<`Qq}}vg3j&Cicfvy4u7Tz9j_>H0yw>6-CLU_g(x>^#%RYI z;U`EI!SOlaLq|a~IX|-uirN5#Em6}`>_|M6C!mM4m|NKAZYZMB$FsFHzK0AE0w;-txP7GT&(2Q((nZ(Tp+hu~GNIbR=KlQCpOrSCt8KVNDQ9>7Dz79! zMJ@hkPg|8prJED}cnHP!aDE*y2Dk3mU?0WjkjR0(MpjzpW#{QPP+w8`+?QM35#y?N zOORj=eBFXA1-xki>Gt*-n<$LEIFR-&R?v_qId7l!c~jqF;WrfwysZym$qY;(lgGRG zpQ$(sgY1oEcQq1B?+394`o6CsOnPL+b~PL&CCHs=ZstUWUHG>zFHO^1sDqocv2Aj( zSCQV5YJdT!CPthO`le6uo?=cxVX))ITLsmC&oj@ca;+e9QEZU)Xf|-hs_*bhO7s|- z8j^|Zf)usE;_;qG*1tx}KM%>ZG6IM4)KVtPK%rRq(ReQnAG-M3Our7pI3!z`Q$KgR z%F8tN_=)1Nx?G9=J^x|0r5$AaCs)YJqwg;7*gnbZL>gNh5wR6WW6Cw1L1+()z=qyl((pvqLIgR6^$ZVlknCtKENL`8Tu26AG{O zJ>(NxzSCRJSt|yTz5wRP--NeV_5M8Wb%XthsFuNhJ|98`=NZ+MHzT1DJ~$yLP_ep2 zf*#12f^XX>4d50Ifw*`$;b3twa_dKjP9jgo%n!>3|C^xvJ9u2y7LUHY|EnZ%Uu+5| z_;WdtC5%VbOw#j-GN;vXXbC_qgk-_;L4RA9Tv&+*b$z~o-3)wx&|4nA}a%L#v2&G=z^v$l1gEv8HGOwY=TLu0Y4j)V8xb%0(l5 zbi_3(I>r6$5juybh`bG`_8kgCqr?jA?aX)HdnEHZ13(Uu5LesE&c1dw7#hWX5yl$^ zdkC*Ao+e{ch(ZxmOt@%YsdkoqMxYHal~1)}my>QU|}I1s6b=2ez3!EDCe^ zNE>hdp&ZlKT7j?qcS-!5FStURx3aGJ%FK<044NiynLrvrZZuCT62!_PEsV>cmV4Mh zDibRFw1rXjPeEg%+Yo67ct7#um;yl1S=yoP6eWj6S~3i(SK%g+REQCRn;A!4kzd4c zsaPJ6lt7Vh+w?YJ1aDO9OCN(Wuz@R@G{Q9p9-Y!1OWCK9iZf8>4QU0V(-Xtz^?0^T zUxrDI>g4XnJWU^wI8kj_o5s~Dg0Z+>)$!Qaz~3Th#r=4csDr3UL;SRC-iE#{yxP0m zx8bC2hh59DWe+L0UD`g4OoOBeTww4-lv)OSuF<|kC4Ys!g#a(tLL3o6V%Z2jg|(q^=m z?W$VCBl>OT=m=~6hy{&wgT}xAPzUI+8=f8yG968(%h%0XB)Rw2=-F%$YKFGw!m)9VL&N-`cv+%KKpLPTrOn|VjRDluibYHDf5j2Vc=Fxf5A*$0ZR+92OZX1LbimW}&pb>r4Va8uOTH1t|?jdeHAc&moipczO%#Zla4BX;AvG z(RM7`@vV}B_Z)pdY!%5yi-tv_avPt^I6vk9ZRPX+!RDVz&8*uvHJ_(3kkoOT5SbKR z(R7Fqw6iV+^kDBRY7m*7TFtiqu(^~w#vMDrpn}zGBZ<2vpPi}DVrWCf()R~6q z$LB-F`xFOYw*Pnr%Il%M{-LscKfn1yfmjnvnYJf;oq)xhJ>fmmd{?ayRG7|Ms#`?x ztr0ue-8;On)5o|vvNEKqDN*CrTb%F6GyL1;TiHf3MJ4D+BpAk@E=WxLXe9-ayvxc; zRjsgN3_%(QedDw$!c`mIQI$1B!9m9ffSA$4hLX6)n$6!S_n~ND2$ZRAPqu(r0E4P) z-WN+wzx33K!v26ozYYz0f0Tj2Gd-I`dwKHw{l#c8HF5)xiY{H}#=nJK&l0BG2hyLT z=`d;8iszGpQ{=^&SL*rEra-i(hj${F+fN~&aoxTAm0*|1kNdjCyyw(?dl4QibTIr` z{YTCczXxWU`3QpOM?WBM$>O=KQ9VDzyf`6V5@F_R9P^GRAtjA@e@gOML5q4@9dC#V z9u`@ivF2||$8@Y|$(VWM@G*vL)cqB1!w`}LSb5Rh_@d#v5(HuZ@V~9A+_oN8nhJ%W z;Mq#sRBre_zRxubWwGBs5cmiIK@huiTU_dKZyWvwR}q-9s#>#+2&{p08bsa?K-(wM z`@p^-?@0}1=U*7oJG#(7B)itPDU8^xH}oQe;Mrcq^@?VkyqSOo>e0AgUuk;>dfCzu z1!yCD|NGZShmJ7RxC`6V>kQdiG-5)%+-Jd@*n~~NZM4!rVWuoB_{%xEaQ z9!TQWZq>cS&S zu2d>Gt~hlVE%(lpGLsyXLNXco^lyB+9{YNjTX~n>%HAd=P)bUak;Dtk8O>eJ>+mD- zvJSHHM&jD%ufUl}+bAS)%rL;z;{Bb0@o6swKi8&OurABT&}f6<>(e%8xA$sYc-EW= z$e(5APsCpr%b!D=K}7f-bj;Dr?%1@NKwX-)c)j{cHS+y{Ei?Mb}-A)fus?l*SW4;Gn4j^Kf0gBblW- z#l5IAq^s)2o%gm$*{`i#SB*(GHu=85q|FTbrOsxzzi?u2r4jAF!_qoUsb-9x2sGu) z$t%!t`u+lQh>m0k8A%A69~?ya^aMtUl?JTXp&i7wi)n4yQhAv?q0B0O$3Yum&COEZ z73K!S9id>>>0^$K>OsN`80jIm+n8?320g&K2lODkUwjD&8YivzJE(}QIdt;h(Ucjb zJ!sqgM$Mq4Nq=OsoeRNjS7%7k8XzCV?#@bse!nc;GiKHlctkS;L8I_+(#6WdAf<6o zg_)De`$=cf7WYNJm)m1>Puu(?D$|?QeEH4#J5i~QJ1DFvN>0Z{G_{}^k)3s~Ze;Ig z{H5KdiXt16M8ytOxLF&Ez$#acx-n(vL2DmLUgAStN-g*+;nWE$f2l;Xzfb5XIPB$r zrPB61Z)$bIyqpRmY2BHbi*=2)ONhvR8RQQT9dyGarnY8*{NcHr@=WR!m^EB8tW{^3q+E)McH7AV(JiJfTNW=_&MNEG=7X4P2Y!Uw3 zj~Y~@^=%2_BcP&I8L>tH%5K?qI40{7tjS?T7kp11LlGFy{-j%ropn@HP5;1`Zk7&7>F#c17kH$W5LiNLX=#>5q+4PM1!)!p z1w!!pBE~GJ91D%K!^->!X2dv_vo z)O+bRUSDnK=nMt%ngLSdCLRZ6*kqI%tvzh&Xkubj^)gRwppMwSu9m`Z$OBsOqETcU zWp)r{FQUY?d%1AQ@DrHkh z<@Wc!QBe^QtGj)pj8*~yyf1(sIe{`NQA>lV;#$$s zZvhGmGTssbd950DPi2*@0#H<<_hmh#0U(9c!id+2OFK;I5caaY7Oa(Xc{0Rwp|>qX zx1awD5=_AesL@q>j)bVF9|2~9Ky(xL?6`eRuM#4?T??mUsPiBzAz*4gJIvx3;~%o1 z^!(7k0FBP~+~?0?e;X6rs66%0a;O!gmn#jc&_sl(iZbjONQ>=MW>-fPHjqR2sgqrK ze>}B1%7koSnH-F^d%L<|uq9m&5aUr9pOeJ)i;xfMYWB;DOcXgLF^j}^n_IXk^=9ng{GGFkx|7*`uJRqHu>1(36-+@I;qJYZDJk1JVy zf%+N(jhm##az^ISPvhd7o}mH}7;9pI?|{(e{>H4T_+ zNa?0`1CRi(s+DYn!3$}tQ{^AYrycu7=Am-PEX_@fsbz414FiPA-N7%IX?=bJbHwfn z1^%?QSf}eE`*ZpA^m0L(Wylam;um+09>z_Th@+Lw6uUFazR3g7&2+7tp=t5LBmS)h zV#zB70=I9B_R~a8_+OYr;K=1YGnc$Vxm{2lb^?&kZA{hEzU~rfI5wTs4v~nZ) zhSX~DM|y&bg%F%MZJMm9P2`Y@R|y_#v`|A`V?;>g12$vxmAxscx&zEr-pVQe1{AR>6W-e+Df$!fD0NrrP5^(nzot@~ZDIq`Ho;0fRAF?aJWvl+pw>RUKIIHZ*1yUcHYYcCB`j$THuQ))p?`kgqnK&dC}Q zqziptA|f0=n20S!7!%z3VkhND#QXc^cQ|7Y?k5-cFSLxsvc48~%~R#Uxj9bLgT?M~ zq1KE*9H~!j%2Bq`ZrT!V>!2}aWR1e>$i84-(SQ)QZUt!>gAEj_01vz!MvrNe-_Wz4 zV!7Gi3h51tF`R10YQ(cGP98&&w(ThtizHd*bg305_B+-wS=D9H> z2+|v@dO7rlllJPNGNJG2uLro^p_P9N(qr0+J0Hu8qiF-J6tzhLm%E`4D)M52Xp;H0 z*iYVZKScd}G`$3xiP&zSS`OqpvD2^F-8ECFsLUIE+*H&2^(>E@SdX3-$Ml-}b6bO0 z-5TF%U3Q)wl37T64`GfgFd@`a!8cDAbj6P|u)841)*Ko-FHFcq6bxQ97w;?3&~5twk*+zJT*eA5KUSLdW9x#C@|Uv0 zT_4hMW9f)k1UHMq^vPK9XD_Bl3D`(rrh@A~6BQ?cTtoa??YCWN2hO)gj$t2)|j$&Ry7aIx1 z>fb!HlGyI)V@23)l0?57E%$}Galfj%V4H)pAH@2k6D#iuDzviK7={$%xw8-OuYFMc z=`r0a%<-f~?j-|B8kXS^kDC#d`Y}7-Dm<*cVis${i;{~lbaW@>f!Zu@l>1z;U$*eC zNLrW35xvC#Q%6Z7?~h#(#-wMT(V~9MCedUgw4Me9y?XWrMIN*D>9 zL@^lckL-JQK-}^0FqF}K=E96yWUh;}GSpZ_(z;gEBfp;s{9B zT@EMp#|zU`-?2PSibzpoDl2r1vq-jIt;lXx4j7BbfX7FEDmBf-9C8V>!#3NF7A!TC zJem|tPQZk-$t>I|9ocaiu0)_FpIxw$!5{VQ3A(T7m`P!};R8FhGfp`T%T#?G15W)P z5z+>D4aoT|GP|dbISOKImK~ZYs4c_b%je8em$haD@8ag1AOQLV^0_|J#D?%?68>e2 ze*(8OwzioTCG$>CXi1h{3@BE79q4ZrgtOqbMko7tqpBJa3)Y-`8anAckrg1Hl}P(^ zw0lX)zjC9Qe9+n&VNy}m=rOvu|3gUhYVHy^6^8weVvI9-gZ}p;h=yO|-WJs~^=ep) z3TUj^mkob{Q1rPmTmh~qZff-QF0Y}rujkvlkJ?F|(U4}|`sQ(YlitF=*=CrbUh7wE_) zWx7^4Rk*lXX5@Mkx2I50728Ip@br~pbaDD!3s7R-U`yd?z{?gdzXZv^+r>RXK(==J z%YhJP=z_sXmLqbvLAiN#V9`cjq0;IoLPUNDXkKmNIO0K}0$4k7k|b-{j92)~Eg|uV zBhGabj!!V4@TN^zonCr9Sbw(9f_nNTwq<~4-1nUBX{l|zx)rsTyF-`rSwz-uMugdh zg2Yv`1NK6%-Nu~KZr#wS*3G~8inc`yl3GsXlvKadkLY^kh7HH2e?ZDS-ve%jd3t!V zj$Ibbn?>VUtfE~GR(=Wsr#Uzg1=VNEv!B^AfTE^HlvAAbH*m*_OGUbridG3T_aFJs zSp)rI2B^5#+UhsVFC=}=By_o!&KYTFe;o+~Tky*m5?eC3<9i+p7-?Zclf)`mVMJuh zly5txI)38W%>K6J-rO--z_4tCkrg=?et8cXe0ia`Kp&ZR+^T{4?6u;<8WOXBDuEP@ zg%LTb+hzIQyEB1XM*O+<1w7vYK%65WL~SLd{gigxiW=s?`fb4eiO!tlX|?mYBuq(v z1G|;ftyrUX7wm$nr_&=qpPC4*gxJpa^;F?et0Pkx`$}ScTFJs;Lie& z2eKyvfiK!-nya;%;@KYT54kPD0Rk^_mOvT$$!?6#vII50Zh7=fbW?n!?NadwE;T)d z#i{vYkL{X?_(mNaisbs5GqDRs!g1a`VBocEQ!MlaIK`?FLA!T;&|%M zd5nz8$4FA+V(N*l^lNVcMcJqGT_Df)N6Cu8w1qzmpx+U^5tmM4LlpGpLuE;%rQN>O$S6{u7}_6_xFL?}5~gU;g8p zYD%(tafjgD3os`zpKiNk4n{pQGeSAz?*0+XS@z!w8tJdk9qv>)pKdGVUkVyCduKB% z7iUjvA5T6Xm=(7sZ!|wg55FoeSBc&LFV7EkUTxRoeedI!Kv#l;Bk$;w6YrNmH+*+* z0&M}I0bv0FNR%eldN)58r~bf??+N^zk8hV`acPFHYxZ?(#w{;%byuab3AsGNvs@TyVn*?q(mKmTMx0RXCQEAa0jdw9Yv z`MjPaDmtPH5{2(xaMNe&ZIwGB?U0c}2=OGQaGmyg>KSt}X!85fpjjAsVLHy?L5nYf z3`6djKYYUwE9HCV_u*$oeEZ01p>&+FqMrS7(c130O6&feUZMVv`K`8PGWGUf$m3J9 zY%WV71vPvM?#OO!$k<%&!V_?oEigzl&xWDRyiAk4hjt?P!?<47hdN5y_g`*pH*xVc z2XScu=AeUFb89BeiAvo``gx~Su9nVD92RS>BU#_Xf07@wtbda1kFYWUS<}VVM|RR+ z`UjE_h%bCoenV-=w}Qx$LR6~;A^I_?5r>~K zY@;SG4uV$;&iINehI6vjEYbacH^e!}+oV<|)qUH#ou_E%WVeCC2K0KE>K+$|1dHE> zQVkUVAo<^L+QFW|leHb&1<1l@54ri|GzKiQq9)nhtg%DBL~m^;i;O>ri!Lmwx_NGo zHAHxllKJK^%b!$;w!P;mckuxt(nl_n@N0n&q1j_@4~EuB7%@*xgsBsUxe7)DO?Q+% zE3G&A`(Y6cLnuTSoO2;Q{cLueJ8p5E#mHF<#|qYTK+d7-<9Uo)Y7Sr+^Ysaapuo<1 zEr{{=<`Bw^hnH{E)~~sIR1Ge+`CwhliN{kuc+6`zs6%NjnC%B~KJmsN!1<4n13~u* z_w=lk;@Yc&5&k@n-s-Zs zd}>t8GaL3s$%5*k{7M~J*?9$3dD}ZE_rq!PruaBaZZVwi6{2klpIw5Qb|hX6?>BpN zS92InPijdHmxVk;4dphZe*)dbbik2)8|ax^D;3slpzq4^{`i z(0|wj0st&lQU6b6b@%DN(3jhry|ag>nY)Vppqkg>^^XmD=FVze%6lqkjH7toJk6 z9rSOP&WJx<-pgnA8@ZoT{xtG1<*!Em%`o@j`!nbd?3(&N@a=qps9|8p*QQ!U| z<7of@9{?=krY59p2ys^O))7`yRd*40^nj@gz-_=@TK2-u)?QwU&s3CrCH|3ghKb1j E2cg)|`v3p{ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.tcl b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.tcl deleted file mode 100644 index 57abeb8..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.tcl +++ /dev/null @@ -1,170 +0,0 @@ -# -# Synthesis run script generated by Vivado -# - -set TIME_start [clock seconds] -proc create_report { reportName command } { - set status "." - append status $reportName ".fail" - if { [file exists $status] } { - eval file delete [glob $status] - } - send_msg_id runtcl-4 info "Executing : $command" - set retval [eval catch { $command } msg] - if { $retval != 0 } { - set fp [open $status w] - close $fp - send_msg_id runtcl-5 warning "$msg" - } -} -set_param project.vivado.isBlockSynthRun true -set_msg_config -msgmgr_mode ooc_run -create_project -in_memory -part xc7k325tffg900-2 - -set_param project.singleFileAddWarning.threshold 0 -set_param project.compositeFile.enableAutoGeneration 0 -set_param synth.vivado.isSynthRun true -set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info -set_property webtalk.parent_dir G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/wt [current_project] -set_property parent.project_path G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.xpr [current_project] -set_property XPM_LIBRARIES {XPM_CDC XPM_MEMORY} [current_project] -set_property default_lib xil_defaultlib [current_project] -set_property target_language Verilog [current_project] -set_property ip_output_repo g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.cache/ip [current_project] -set_property ip_cache_permissions {read write} [current_project] -read_ip -quiet G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci -set_property used_in_implementation false [get_files -all g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc] - -# Mark all dcp files as not used in implementation to prevent them from being -# stitched into the results of this synthesis run. Any black boxes in the -# design are intentionally left as such for best results. Dcp files will be -# stitched into the design at a later time, either when this synthesis run is -# opened, or when it is stitched into a dependent implementation run. -foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { - set_property used_in_implementation false $dcp -} -read_xdc dont_touch.xdc -set_property used_in_implementation false [get_files dont_touch.xdc] -set_param ips.enableIPCacheLiteLoad 1 - -set cached_ip [config_ip_cache -export -no_bom -dir G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1 -new_name inst_rom -ip [get_ips inst_rom]] - -if { $cached_ip eq {} } { -close [open __synthesis_is_running__ w] - -synth_design -top inst_rom -part xc7k325tffg900-2 -mode out_of_context - -#--------------------------------------------------------- -# Generate Checkpoint/Stub/Simulation Files For IP Cache -#--------------------------------------------------------- -# disable binary constraint mode for IPCache checkpoints -set_param constraints.enableBinaryConstraints false - -catch { - write_checkpoint -force -noxdef -rename_prefix inst_rom_ inst_rom.dcp - - set ipCachedFiles {} - write_verilog -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ inst_rom_stub.v - lappend ipCachedFiles inst_rom_stub.v - - write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ inst_rom_stub.vhdl - lappend ipCachedFiles inst_rom_stub.vhdl - - write_verilog -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ inst_rom_sim_netlist.v - lappend ipCachedFiles inst_rom_sim_netlist.v - - write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ inst_rom_sim_netlist.vhdl - lappend ipCachedFiles inst_rom_sim_netlist.vhdl -set TIME_taken [expr [clock seconds] - $TIME_start] - - config_ip_cache -add -dcp inst_rom.dcp -move_files $ipCachedFiles -use_project_ipc -synth_runtime $TIME_taken -ip [get_ips inst_rom] -} - -rename_ref -prefix_all inst_rom_ - -# disable binary constraint mode for synth run checkpoints -set_param constraints.enableBinaryConstraints false -write_checkpoint -force -noxdef inst_rom.dcp -create_report "inst_rom_synth_1_synth_report_utilization_0" "report_utilization -file inst_rom_utilization_synth.rpt -pb inst_rom_utilization_synth.pb" - -if { [catch { - file copy -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.dcp -} _RESULT ] } { - send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." - error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." -} - -if { [catch { - write_verilog -force -mode synth_stub G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" -} - -if { [catch { - write_vhdl -force -mode synth_stub G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" -} - -if { [catch { - write_verilog -force -mode funcsim G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" -} - -if { [catch { - write_vhdl -force -mode funcsim G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" -} - - -} else { - - -if { [catch { - file copy -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.dcp -} _RESULT ] } { - send_msg_id runtcl-3 error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." - error "ERROR: Unable to successfully create or copy the sub-design checkpoint file." -} - -if { [catch { - file rename -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_stub.v G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create a Verilog synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" -} - -if { [catch { - file rename -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_stub.vhdl G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create a VHDL synthesis stub for the sub-design. This may lead to errors in top level synthesis of the design. Error reported: $_RESULT" -} - -if { [catch { - file rename -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_sim_netlist.v G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create the Verilog functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" -} - -if { [catch { - file rename -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_sim_netlist.vhdl G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl -} _RESULT ] } { - puts "CRITICAL WARNING: Unable to successfully create the VHDL functional simulation sub-design file. Post-Synthesis Functional Simulation with this file may not be possible or may give incorrect results. Error reported: $_RESULT" -} - -}; # end if cached_ip - -if {[file isdir G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/ip/inst_rom]} { - catch { - file copy -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/ip/inst_rom - } -} - -if {[file isdir G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/ip/inst_rom]} { - catch { - file copy -force G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.ip_user_files/ip/inst_rom - } -} -file delete __synthesis_is_running__ -close [open __synthesis_is_complete__ w] diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds deleted file mode 100644 index 24e233d..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds +++ /dev/null @@ -1,456 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2019.2 (64-bit) -# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 -# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 -# Start of session at: Fri Oct 29 14:29:11 2021 -# Process ID: 21032 -# Current directory: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1 -# Command line: vivado.exe -log inst_rom.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl -# Log file: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds -# Journal file: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1\vivado.jou -#----------------------------------------------------------- -source inst_rom.tcl -notrace -Command: synth_design -top inst_rom -part xc7k325tffg900-2 -mode out_of_context -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k325t' -INFO: [Device 21-403] Loading part xc7k325tffg900-2 -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 13896 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 865.531 ; gain = 234.852 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'inst_rom' [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:68] - Parameter C_FAMILY bound to: kintex7 - type: string - Parameter C_XDEVICEFAMILY bound to: kintex7 - type: string - Parameter C_ELABORATION_DIR bound to: ./ - type: string - Parameter C_INTERFACE_TYPE bound to: 0 - type: integer - Parameter C_AXI_TYPE bound to: 1 - type: integer - Parameter C_AXI_SLAVE_TYPE bound to: 0 - type: integer - Parameter C_USE_BRAM_BLOCK bound to: 0 - type: integer - Parameter C_ENABLE_32BIT_ADDRESS bound to: 0 - type: integer - Parameter C_CTRL_ECC_ALGO bound to: NONE - type: string - Parameter C_HAS_AXI_ID bound to: 0 - type: integer - Parameter C_AXI_ID_WIDTH bound to: 4 - type: integer - Parameter C_MEM_TYPE bound to: 3 - type: integer - Parameter C_BYTE_SIZE bound to: 9 - type: integer - Parameter C_ALGORITHM bound to: 1 - type: integer - Parameter C_PRIM_TYPE bound to: 1 - type: integer - Parameter C_LOAD_INIT_FILE bound to: 1 - type: integer - Parameter C_INIT_FILE_NAME bound to: inst_rom.mif - type: string - Parameter C_INIT_FILE bound to: inst_rom.mem - type: string - Parameter C_USE_DEFAULT_DATA bound to: 0 - type: integer - Parameter C_DEFAULT_DATA bound to: 0 - type: string - Parameter C_HAS_RSTA bound to: 0 - type: integer - Parameter C_RST_PRIORITY_A bound to: CE - type: string - Parameter C_RSTRAM_A bound to: 0 - type: integer - Parameter C_INITA_VAL bound to: 0 - type: string - Parameter C_HAS_ENA bound to: 1 - type: integer - Parameter C_HAS_REGCEA bound to: 0 - type: integer - Parameter C_USE_BYTE_WEA bound to: 0 - type: integer - Parameter C_WEA_WIDTH bound to: 1 - type: integer - Parameter C_WRITE_MODE_A bound to: WRITE_FIRST - type: string - Parameter C_WRITE_WIDTH_A bound to: 32 - type: integer - Parameter C_READ_WIDTH_A bound to: 32 - type: integer - Parameter C_WRITE_DEPTH_A bound to: 2048 - type: integer - Parameter C_READ_DEPTH_A bound to: 2048 - type: integer - Parameter C_ADDRA_WIDTH bound to: 11 - type: integer - Parameter C_HAS_RSTB bound to: 0 - type: integer - Parameter C_RST_PRIORITY_B bound to: CE - type: string - Parameter C_RSTRAM_B bound to: 0 - type: integer - Parameter C_INITB_VAL bound to: 0 - type: string - Parameter C_HAS_ENB bound to: 0 - type: integer - Parameter C_HAS_REGCEB bound to: 0 - type: integer - Parameter C_USE_BYTE_WEB bound to: 0 - type: integer - Parameter C_WEB_WIDTH bound to: 1 - type: integer - Parameter C_WRITE_MODE_B bound to: WRITE_FIRST - type: string - Parameter C_WRITE_WIDTH_B bound to: 32 - type: integer - Parameter C_READ_WIDTH_B bound to: 32 - type: integer - Parameter C_WRITE_DEPTH_B bound to: 2048 - type: integer - Parameter C_READ_DEPTH_B bound to: 2048 - type: integer - Parameter C_ADDRB_WIDTH bound to: 11 - type: integer - Parameter C_HAS_MEM_OUTPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_MEM_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_HAS_MUX_OUTPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_MUX_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_MUX_PIPELINE_STAGES bound to: 0 - type: integer - Parameter C_HAS_SOFTECC_INPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_SOFTECC_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_USE_SOFTECC bound to: 0 - type: integer - Parameter C_USE_ECC bound to: 0 - type: integer - Parameter C_EN_ECC_PIPE bound to: 0 - type: integer - Parameter C_READ_LATENCY_A bound to: 1 - type: integer - Parameter C_READ_LATENCY_B bound to: 1 - type: integer - Parameter C_HAS_INJECTERR bound to: 0 - type: integer - Parameter C_SIM_COLLISION_CHECK bound to: ALL - type: string - Parameter C_COMMON_CLK bound to: 0 - type: integer - Parameter C_DISABLE_WARN_BHV_COLL bound to: 0 - type: integer - Parameter C_EN_SLEEP_PIN bound to: 0 - type: integer - Parameter C_USE_URAM bound to: 0 - type: integer - Parameter C_EN_RDADDRA_CHG bound to: 0 - type: integer - Parameter C_EN_RDADDRB_CHG bound to: 0 - type: integer - Parameter C_EN_DEEPSLEEP_PIN bound to: 0 - type: integer - Parameter C_EN_SHUTDOWN_PIN bound to: 0 - type: integer - Parameter C_EN_SAFETY_CKT bound to: 0 - type: integer - Parameter C_DISABLE_WARN_BHV_RANGE bound to: 0 - type: integer - Parameter C_COUNT_36K_BRAM bound to: 2 - type: string - Parameter C_COUNT_18K_BRAM bound to: 0 - type: string - Parameter C_EST_POWER_SUMMARY bound to: Estimated Power for IP : 4.8711 mW - type: string -INFO: [Synth 8-3491] module 'blk_mem_gen_v8_4_4' declared at 'g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd:195321' bound to instance 'U0' of component 'blk_mem_gen_v8_4_4' [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:232] -INFO: [Synth 8-256] done synthesizing module 'inst_rom' (9#1) [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:68] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[31] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[30] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[29] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[28] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[27] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[26] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[25] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[24] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[23] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[22] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[21] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[20] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[19] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[18] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[17] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[16] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[15] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[14] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[13] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[12] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[11] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[10] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[9] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[8] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[7] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[6] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[5] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[4] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[3] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[2] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[1] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[0] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port SBITERR_I -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DBITERR_I -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[10] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[9] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[8] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[7] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[6] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[5] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[4] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[3] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[2] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[1] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SSRA -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SSRB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ENB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port REGCEB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port WEB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[4] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[3] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[2] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[1] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[17] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[16] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[15] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[14] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[13] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[12] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[11] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[4] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[3] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[2] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[1] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SLEEP -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port INJECTSBITERR -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port INJECTDBITERR -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ECCPIPECE -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ram_rstram_b -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ram_rstreg_b -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port SSRA -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port SSRB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ENB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port REGCEB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port WEB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[4] -INFO: [Common 17-14] Message 'Synth 8-3331' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:39 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc] for cell 'U0' -Finished Parsing XDC File [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc] for cell 'U0' -Parsing XDC File [G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc] -Finished Parsing XDC File [G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc] -Completed Processing XDC Constraints - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1157.242 ; gain = 0.000 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7k325tffg900-2 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- -Applied set_property DONT_TOUCH = true for U0. (constraint file G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc, line 9). ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 840 (col length:140) -BRAMs: 890 (col length: RAMB18 140 RAMB36 70) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -Warning: Parallel synthesis criteria is not met ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:49 ; elapsed = 00:00:51 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----------+------+ -| |Cell |Count | -+------+-----------+------+ -|1 |RAMB36E1 | 1| -|2 |RAMB36E1_1 | 1| -+------+-----------+------+ - -Report Instance Areas: -+------+---------------------------------------------+----------------------------------------------+------+ -| |Instance |Module |Cells | -+------+---------------------------------------------+----------------------------------------------+------+ -|1 |top | | 2| -|2 | U0 |blk_mem_gen_v8_4_4 | 2| -|3 | inst_blk_mem_gen |blk_mem_gen_v8_4_4_synth | 2| -|4 | \gnbram.gnativebmg.native_blk_mem_gen |blk_mem_gen_top | 2| -|5 | \valid.cstr |blk_mem_gen_generic_cstr | 2| -|6 | \ramloop[0].ram.r |blk_mem_gen_prim_width | 1| -|7 | \prim_init.ram |blk_mem_gen_prim_wrapper_init | 1| -|8 | \ramloop[1].ram.r |blk_mem_gen_prim_width__parameterized0 | 1| -|9 | \prim_init.ram |blk_mem_gen_prim_wrapper_init__parameterized0 | 1| -+------+---------------------------------------------+----------------------------------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 230 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:01:00 . Memory (MB): peak = 1157.242 ; gain = 526.562 -Synthesis Optimization Complete : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Common 17-83] Releasing license: Synthesis -17 Infos, 100 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:01:06 ; elapsed = 00:01:09 . Memory (MB): peak = 1157.242 ; gain = 856.020 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1157.242 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp' has been generated. -WARNING: [Common 17-576] 'use_project_ipc' is deprecated. This option is deprecated and no longer used. -INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP inst_rom, cache-ID = 9888347cfb290ede -INFO: [Coretcl 2-1174] Renamed 8 cell refs. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp' has been generated. -INFO: [runtcl-4] Executing : report_utilization -file inst_rom_utilization_synth.rpt -pb inst_rom_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri Oct 29 14:30:24 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.pb b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.pb deleted file mode 100644 index 6fab4e3897aef35a8388625017045ef7c7e26338..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 289 zcmd;LGcqu=)H9mItPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z7ZADE6(rK?24eSmgUGu;vcYkw4~YHAN6I}U!qq6=+27aK b-%kh1@o@C>bM;vp2vYJFNH*9X4Fa(Nu0BT0 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.rpt b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.rpt deleted file mode 100644 index e86ff19..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom_utilization_synth.rpt +++ /dev/null @@ -1,174 +0,0 @@ -Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. ------------------------------------------------------------------------------------------------------------ -| Tool Version : Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -| Date : Fri Oct 29 14:30:24 2021 -| Host : LAPTOP-M01GHSS7 running 64-bit major release (build 9200) -| Command : report_utilization -file inst_rom_utilization_synth.rpt -pb inst_rom_utilization_synth.pb -| Design : inst_rom -| Device : 7k325tffg900-2 -| Design State : Synthesized ------------------------------------------------------------------------------------------------------------ - -Utilization Design Information - -Table of Contents ------------------ -1. Slice Logic -1.1 Summary of Registers by Type -2. Memory -3. DSP -4. IO and GT Specific -5. Clocking -6. Specific Feature -7. Primitives -8. Black Boxes -9. Instantiated Netlists - -1. Slice Logic --------------- - -+-------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------------+------+-------+-----------+-------+ -| Slice LUTs* | 0 | 0 | 203800 | 0.00 | -| LUT as Logic | 0 | 0 | 203800 | 0.00 | -| LUT as Memory | 0 | 0 | 64000 | 0.00 | -| Slice Registers | 0 | 0 | 407600 | 0.00 | -| Register as Flip Flop | 0 | 0 | 407600 | 0.00 | -| Register as Latch | 0 | 0 | 407600 | 0.00 | -| F7 Muxes | 0 | 0 | 101900 | 0.00 | -| F8 Muxes | 0 | 0 | 50950 | 0.00 | -+-------------------------+------+-------+-----------+-------+ -* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. - - -1.1 Summary of Registers by Type --------------------------------- - -+-------+--------------+-------------+--------------+ -| Total | Clock Enable | Synchronous | Asynchronous | -+-------+--------------+-------------+--------------+ -| 0 | _ | - | - | -| 0 | _ | - | Set | -| 0 | _ | - | Reset | -| 0 | _ | Set | - | -| 0 | _ | Reset | - | -| 0 | Yes | - | - | -| 0 | Yes | - | Set | -| 0 | Yes | - | Reset | -| 0 | Yes | Set | - | -| 0 | Yes | Reset | - | -+-------+--------------+-------------+--------------+ - - -2. Memory ---------- - -+-------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------------+------+-------+-----------+-------+ -| Block RAM Tile | 2 | 0 | 445 | 0.45 | -| RAMB36/FIFO* | 2 | 0 | 445 | 0.45 | -| RAMB36E1 only | 2 | | | | -| RAMB18 | 0 | 0 | 890 | 0.00 | -+-------------------+------+-------+-----------+-------+ -* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 - - -3. DSP ------- - -+-----------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------+------+-------+-----------+-------+ -| DSPs | 0 | 0 | 840 | 0.00 | -+-----------+------+-------+-----------+-------+ - - -4. IO and GT Specific ---------------------- - -+-----------------------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-----------------------------+------+-------+-----------+-------+ -| Bonded IOB | 0 | 0 | 500 | 0.00 | -| Bonded IPADs | 0 | 0 | 50 | 0.00 | -| Bonded OPADs | 0 | 0 | 32 | 0.00 | -| PHY_CONTROL | 0 | 0 | 10 | 0.00 | -| PHASER_REF | 0 | 0 | 10 | 0.00 | -| OUT_FIFO | 0 | 0 | 40 | 0.00 | -| IN_FIFO | 0 | 0 | 40 | 0.00 | -| IDELAYCTRL | 0 | 0 | 10 | 0.00 | -| IBUFDS | 0 | 0 | 480 | 0.00 | -| GTXE2_COMMON | 0 | 0 | 4 | 0.00 | -| GTXE2_CHANNEL | 0 | 0 | 16 | 0.00 | -| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 40 | 0.00 | -| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 40 | 0.00 | -| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 500 | 0.00 | -| ODELAYE2/ODELAYE2_FINEDELAY | 0 | 0 | 150 | 0.00 | -| IBUFDS_GTE2 | 0 | 0 | 8 | 0.00 | -| ILOGIC | 0 | 0 | 500 | 0.00 | -| OLOGIC | 0 | 0 | 500 | 0.00 | -+-----------------------------+------+-------+-----------+-------+ - - -5. Clocking ------------ - -+------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+------------+------+-------+-----------+-------+ -| BUFGCTRL | 0 | 0 | 32 | 0.00 | -| BUFIO | 0 | 0 | 40 | 0.00 | -| MMCME2_ADV | 0 | 0 | 10 | 0.00 | -| PLLE2_ADV | 0 | 0 | 10 | 0.00 | -| BUFMRCE | 0 | 0 | 20 | 0.00 | -| BUFHCE | 0 | 0 | 168 | 0.00 | -| BUFR | 0 | 0 | 40 | 0.00 | -+------------+------+-------+-----------+-------+ - - -6. Specific Feature -------------------- - -+-------------+------+-------+-----------+-------+ -| Site Type | Used | Fixed | Available | Util% | -+-------------+------+-------+-----------+-------+ -| BSCANE2 | 0 | 0 | 4 | 0.00 | -| CAPTUREE2 | 0 | 0 | 1 | 0.00 | -| DNA_PORT | 0 | 0 | 1 | 0.00 | -| EFUSE_USR | 0 | 0 | 1 | 0.00 | -| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | -| ICAPE2 | 0 | 0 | 2 | 0.00 | -| PCIE_2_1 | 0 | 0 | 1 | 0.00 | -| STARTUPE2 | 0 | 0 | 1 | 0.00 | -| XADC | 0 | 0 | 1 | 0.00 | -+-------------+------+-------+-----------+-------+ - - -7. Primitives -------------- - -+----------+------+---------------------+ -| Ref Name | Used | Functional Category | -+----------+------+---------------------+ -| RAMB36E1 | 2 | Block Memory | -+----------+------+---------------------+ - - -8. Black Boxes --------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - -9. Instantiated Netlists ------------------------- - -+----------+------+ -| Ref Name | Used | -+----------+------+ - - diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/project.wdf b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/project.wdf deleted file mode 100644 index 8e5271c..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/project.wdf +++ /dev/null @@ -1,34 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3137:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:34:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:34:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 -70726f6a656374:69705f636f72655f636f6e7461696e65725c636c6b5f77697a5f76365f305f345c636c6b646976:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:69705f636f72655f636f6e7461696e65725c626c6b5f6d656d5f67656e5f76385f345f345c646174615f72616d:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:69705f636f72655f636f6e7461696e65725c626c6b5f6d656d5f67656e5f76385f345f345c696e73745f726f6d:636f72655f636f6e7461696e6572:66616c7365:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3730:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:3131:00:00 -70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:3131:00:00 -5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:6262316439623662383537613436623238383633623139316435353136326561:506172656e742050412070726f6a656374204944:00 -eof:1394867323 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/rundef.js b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/rundef.js deleted file mode 100644 index 8387ddd..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/rundef.js +++ /dev/null @@ -1,36 +0,0 @@ -// -// Vivado(TM) -// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 -// Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -// - -var WshShell = new ActiveXObject( "WScript.Shell" ); -var ProcEnv = WshShell.Environment( "Process" ); -var PathVal = ProcEnv("PATH"); -if ( PathVal.length == 0 ) { - PathVal = "F:/vivado/Vivado/2019.2/ids_lite/ISE/bin/nt64;F:/vivado/Vivado/2019.2/ids_lite/ISE/lib/nt64;F:/vivado/Vivado/2019.2/bin;"; -} else { - PathVal = "F:/vivado/Vivado/2019.2/ids_lite/ISE/bin/nt64;F:/vivado/Vivado/2019.2/ids_lite/ISE/lib/nt64;F:/vivado/Vivado/2019.2/bin;" + PathVal; -} - -ProcEnv("PATH") = PathVal; - -var RDScrFP = WScript.ScriptFullName; -var RDScrN = WScript.ScriptName; -var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); -var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; -eval( EAInclude(ISEJScriptLib) ); - - -ISEStep( "vivado", - "-log inst_rom.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl" ); - - - -function EAInclude( EAInclFilename ) { - var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); - var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); - var EAIFContents = EAInclFile.ReadAll(); - EAInclFile.Close(); - return EAIFContents; -} diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.bat b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.bat deleted file mode 100644 index 1760626..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.bat +++ /dev/null @@ -1,10 +0,0 @@ -@echo off - -rem Vivado (TM) -rem runme.bat: a Vivado-generated Script -rem Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. - - -set HD_SDIR=%~dp0 -cd /d "%HD_SDIR%" -cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.log b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.log deleted file mode 100644 index 538ca71..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.log +++ /dev/null @@ -1,455 +0,0 @@ - -*** Running vivado - with args -log inst_rom.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl - - -****** Vivado v2019.2 (64-bit) - **** SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 - **** IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 - ** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. - -source inst_rom.tcl -notrace -Command: synth_design -top inst_rom -part xc7k325tffg900-2 -mode out_of_context -Starting synth_design -Attempting to get a license for feature 'Synthesis' and/or device 'xc7k325t' -INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7k325t' -INFO: [Device 21-403] Loading part xc7k325tffg900-2 -INFO: Launching helper process for spawning children vivado processes -INFO: Helper process launched with PID 13896 ---------------------------------------------------------------------------------- -Starting RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 865.531 ; gain = 234.852 ---------------------------------------------------------------------------------- -INFO: [Synth 8-638] synthesizing module 'inst_rom' [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:68] - Parameter C_FAMILY bound to: kintex7 - type: string - Parameter C_XDEVICEFAMILY bound to: kintex7 - type: string - Parameter C_ELABORATION_DIR bound to: ./ - type: string - Parameter C_INTERFACE_TYPE bound to: 0 - type: integer - Parameter C_AXI_TYPE bound to: 1 - type: integer - Parameter C_AXI_SLAVE_TYPE bound to: 0 - type: integer - Parameter C_USE_BRAM_BLOCK bound to: 0 - type: integer - Parameter C_ENABLE_32BIT_ADDRESS bound to: 0 - type: integer - Parameter C_CTRL_ECC_ALGO bound to: NONE - type: string - Parameter C_HAS_AXI_ID bound to: 0 - type: integer - Parameter C_AXI_ID_WIDTH bound to: 4 - type: integer - Parameter C_MEM_TYPE bound to: 3 - type: integer - Parameter C_BYTE_SIZE bound to: 9 - type: integer - Parameter C_ALGORITHM bound to: 1 - type: integer - Parameter C_PRIM_TYPE bound to: 1 - type: integer - Parameter C_LOAD_INIT_FILE bound to: 1 - type: integer - Parameter C_INIT_FILE_NAME bound to: inst_rom.mif - type: string - Parameter C_INIT_FILE bound to: inst_rom.mem - type: string - Parameter C_USE_DEFAULT_DATA bound to: 0 - type: integer - Parameter C_DEFAULT_DATA bound to: 0 - type: string - Parameter C_HAS_RSTA bound to: 0 - type: integer - Parameter C_RST_PRIORITY_A bound to: CE - type: string - Parameter C_RSTRAM_A bound to: 0 - type: integer - Parameter C_INITA_VAL bound to: 0 - type: string - Parameter C_HAS_ENA bound to: 1 - type: integer - Parameter C_HAS_REGCEA bound to: 0 - type: integer - Parameter C_USE_BYTE_WEA bound to: 0 - type: integer - Parameter C_WEA_WIDTH bound to: 1 - type: integer - Parameter C_WRITE_MODE_A bound to: WRITE_FIRST - type: string - Parameter C_WRITE_WIDTH_A bound to: 32 - type: integer - Parameter C_READ_WIDTH_A bound to: 32 - type: integer - Parameter C_WRITE_DEPTH_A bound to: 2048 - type: integer - Parameter C_READ_DEPTH_A bound to: 2048 - type: integer - Parameter C_ADDRA_WIDTH bound to: 11 - type: integer - Parameter C_HAS_RSTB bound to: 0 - type: integer - Parameter C_RST_PRIORITY_B bound to: CE - type: string - Parameter C_RSTRAM_B bound to: 0 - type: integer - Parameter C_INITB_VAL bound to: 0 - type: string - Parameter C_HAS_ENB bound to: 0 - type: integer - Parameter C_HAS_REGCEB bound to: 0 - type: integer - Parameter C_USE_BYTE_WEB bound to: 0 - type: integer - Parameter C_WEB_WIDTH bound to: 1 - type: integer - Parameter C_WRITE_MODE_B bound to: WRITE_FIRST - type: string - Parameter C_WRITE_WIDTH_B bound to: 32 - type: integer - Parameter C_READ_WIDTH_B bound to: 32 - type: integer - Parameter C_WRITE_DEPTH_B bound to: 2048 - type: integer - Parameter C_READ_DEPTH_B bound to: 2048 - type: integer - Parameter C_ADDRB_WIDTH bound to: 11 - type: integer - Parameter C_HAS_MEM_OUTPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_MEM_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_HAS_MUX_OUTPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_MUX_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_MUX_PIPELINE_STAGES bound to: 0 - type: integer - Parameter C_HAS_SOFTECC_INPUT_REGS_A bound to: 0 - type: integer - Parameter C_HAS_SOFTECC_OUTPUT_REGS_B bound to: 0 - type: integer - Parameter C_USE_SOFTECC bound to: 0 - type: integer - Parameter C_USE_ECC bound to: 0 - type: integer - Parameter C_EN_ECC_PIPE bound to: 0 - type: integer - Parameter C_READ_LATENCY_A bound to: 1 - type: integer - Parameter C_READ_LATENCY_B bound to: 1 - type: integer - Parameter C_HAS_INJECTERR bound to: 0 - type: integer - Parameter C_SIM_COLLISION_CHECK bound to: ALL - type: string - Parameter C_COMMON_CLK bound to: 0 - type: integer - Parameter C_DISABLE_WARN_BHV_COLL bound to: 0 - type: integer - Parameter C_EN_SLEEP_PIN bound to: 0 - type: integer - Parameter C_USE_URAM bound to: 0 - type: integer - Parameter C_EN_RDADDRA_CHG bound to: 0 - type: integer - Parameter C_EN_RDADDRB_CHG bound to: 0 - type: integer - Parameter C_EN_DEEPSLEEP_PIN bound to: 0 - type: integer - Parameter C_EN_SHUTDOWN_PIN bound to: 0 - type: integer - Parameter C_EN_SAFETY_CKT bound to: 0 - type: integer - Parameter C_DISABLE_WARN_BHV_RANGE bound to: 0 - type: integer - Parameter C_COUNT_36K_BRAM bound to: 2 - type: string - Parameter C_COUNT_18K_BRAM bound to: 0 - type: string - Parameter C_EST_POWER_SUMMARY bound to: Estimated Power for IP : 4.8711 mW - type: string -INFO: [Synth 8-3491] module 'blk_mem_gen_v8_4_4' declared at 'g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd:195321' bound to instance 'U0' of component 'blk_mem_gen_v8_4_4' [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:232] -INFO: [Synth 8-256] done synthesizing module 'inst_rom' (9#1) [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/synth/inst_rom.vhd:68] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[31] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[30] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[29] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[28] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[27] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[26] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[25] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[24] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[23] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[22] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[21] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[20] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[19] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[18] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[17] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[16] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[15] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[14] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[13] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[12] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[11] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[10] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[9] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[8] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[7] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[6] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[5] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[4] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[3] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[2] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[1] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DOUTB_I[0] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port SBITERR_I -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port DBITERR_I -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[10] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[9] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[8] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[7] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[6] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[5] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[4] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[3] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[2] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[1] -WARNING: [Synth 8-3331] design blk_mem_output_block has unconnected port RDADDRECC_I[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SSRA -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SSRB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ENB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port REGCEB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port WEB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[4] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[3] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[2] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[1] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ADDRB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[17] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[16] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[15] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[14] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[13] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[12] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[11] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[4] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[3] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[2] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[1] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port DINB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port SLEEP -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port INJECTSBITERR -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port INJECTDBITERR -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ECCPIPECE -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ram_rstram_b -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init__parameterized0 has unconnected port ram_rstreg_b -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port SSRA -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port CLKB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port SSRB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ENB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port REGCEB -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port WEB[0] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[10] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[9] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[8] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[7] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[6] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[5] -WARNING: [Synth 8-3331] design blk_mem_gen_prim_wrapper_init has unconnected port ADDRB[4] -INFO: [Common 17-14] Message 'Synth 8-3331' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. ---------------------------------------------------------------------------------- -Finished RTL Elaboration : Time (s): cpu = 00:00:39 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:40 ; elapsed = 00:00:41 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.012 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization - -Processing XDC Constraints -Initializing timing engine -Parsing XDC File [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc] for cell 'U0' -Finished Parsing XDC File [g:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_ooc.xdc] for cell 'U0' -Parsing XDC File [G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc] -Finished Parsing XDC File [G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc] -Completed Processing XDC Constraints - -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.041 . Memory (MB): peak = 1157.242 ; gain = 0.000 ---------------------------------------------------------------------------------- -Finished Constraint Validation : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Loading Part and Timing Information ---------------------------------------------------------------------------------- -Loading part: xc7k325tffg900-2 ---------------------------------------------------------------------------------- -Finished Loading Part and Timing Information : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Applying 'set_property' XDC Constraints ---------------------------------------------------------------------------------- -Applied set_property DONT_TOUCH = true for U0. (constraint file G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/dont_touch.xdc, line 9). ---------------------------------------------------------------------------------- -Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:47 ; elapsed = 00:00:49 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:48 ; elapsed = 00:00:50 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start RTL Component Statistics ---------------------------------------------------------------------------------- -Detailed RTL Component Info : ---------------------------------------------------------------------------------- -Finished RTL Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- -Hierarchical RTL Component report ---------------------------------------------------------------------------------- -Finished RTL Hierarchical Component Statistics ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Part Resource Summary ---------------------------------------------------------------------------------- -Part Resources: -DSPs: 840 (col length:140) -BRAMs: 890 (col length: RAMB18 140 RAMB36 70) ---------------------------------------------------------------------------------- -Finished Part Resource Summary ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Cross Boundary and Area Optimization ---------------------------------------------------------------------------------- -Warning: Parallel synthesis criteria is not met ---------------------------------------------------------------------------------- -Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:49 ; elapsed = 00:00:51 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Applying XDC Timing Constraints ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Timing Optimization ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Timing Optimization : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start Technology Mapping ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Technology Mapping : Time (s): cpu = 00:00:57 ; elapsed = 00:00:59 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ ---------------------------------------------------------------------------------- -Start IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Flattening Before IO Insertion ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Final Netlist Cleanup ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished IO Insertion : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Instances ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Instances : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- - -Report RTL Partitions: -+-+--------------+------------+----------+ -| |RTL Partition |Replication |Instances | -+-+--------------+------------+----------+ -+-+--------------+------------+----------+ - -Report Check Netlist: -+------+------------------+-------+---------+-------+------------------+ -| |Item |Errors |Warnings |Status |Description | -+------+------------------+-------+---------+-------+------------------+ -|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | -+------+------------------+-------+---------+-------+------------------+ ---------------------------------------------------------------------------------- -Start Rebuilding User Hierarchy ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Rebuilding User Hierarchy : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Ports ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Ports : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Handling Custom Attributes ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Handling Custom Attributes : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Renaming Generated Nets ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Finished Renaming Generated Nets : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -Start Writing Synthesis Report ---------------------------------------------------------------------------------- - -Report BlackBoxes: -+-+--------------+----------+ -| |BlackBox name |Instances | -+-+--------------+----------+ -+-+--------------+----------+ - -Report Cell Usage: -+------+-----------+------+ -| |Cell |Count | -+------+-----------+------+ -|1 |RAMB36E1 | 1| -|2 |RAMB36E1_1 | 1| -+------+-----------+------+ - -Report Instance Areas: -+------+---------------------------------------------+----------------------------------------------+------+ -| |Instance |Module |Cells | -+------+---------------------------------------------+----------------------------------------------+------+ -|1 |top | | 2| -|2 | U0 |blk_mem_gen_v8_4_4 | 2| -|3 | inst_blk_mem_gen |blk_mem_gen_v8_4_4_synth | 2| -|4 | \gnbram.gnativebmg.native_blk_mem_gen |blk_mem_gen_top | 2| -|5 | \valid.cstr |blk_mem_gen_generic_cstr | 2| -|6 | \ramloop[0].ram.r |blk_mem_gen_prim_width | 1| -|7 | \prim_init.ram |blk_mem_gen_prim_wrapper_init | 1| -|8 | \ramloop[1].ram.r |blk_mem_gen_prim_width__parameterized0 | 1| -|9 | \prim_init.ram |blk_mem_gen_prim_wrapper_init__parameterized0 | 1| -+------+---------------------------------------------+----------------------------------------------+------+ ---------------------------------------------------------------------------------- -Finished Writing Synthesis Report : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 ---------------------------------------------------------------------------------- -Synthesis finished with 0 errors, 0 critical warnings and 230 warnings. -Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:01:00 . Memory (MB): peak = 1157.242 ; gain = 526.562 -Synthesis Optimization Complete : Time (s): cpu = 00:01:01 ; elapsed = 00:01:03 . Memory (MB): peak = 1157.242 ; gain = 526.562 -INFO: [Project 1-571] Translating synthesized netlist -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Netlist 29-17] Analyzing 2 Unisim elements for replacement -INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds -INFO: [Project 1-570] Preparing netlist for logic optimization -INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -INFO: [Project 1-111] Unisim Transformation Summary: -No Unisim elements were transformed. - -INFO: [Common 17-83] Releasing license: Synthesis -17 Infos, 100 Warnings, 0 Critical Warnings and 0 Errors encountered. -synth_design completed successfully -synth_design: Time (s): cpu = 00:01:06 ; elapsed = 00:01:09 . Memory (MB): peak = 1157.242 ; gain = 856.020 -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1157.242 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp' has been generated. -WARNING: [Common 17-576] 'use_project_ipc' is deprecated. This option is deprecated and no longer used. -INFO: [Coretcl 2-1648] Added synthesis output to IP cache for IP inst_rom, cache-ID = 9888347cfb290ede -INFO: [Coretcl 2-1174] Renamed 8 cell refs. -Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1157.242 ; gain = 0.000 -WARNING: [Constraints 18-5210] No constraints selected for write. -Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. -INFO: [Common 17-1381] The checkpoint 'G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.dcp' has been generated. -INFO: [runtcl-4] Executing : report_utilization -file inst_rom_utilization_synth.rpt -pb inst_rom_utilization_synth.pb -INFO: [Common 17-206] Exiting Vivado at Fri Oct 29 14:30:24 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.sh b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.sh deleted file mode 100644 index bdbe9b3..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/runme.sh +++ /dev/null @@ -1,43 +0,0 @@ -#!/bin/sh - -# -# Vivado(TM) -# runme.sh: a Vivado-generated Runs Script for UNIX -# Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -# - -echo "This script was generated under a different operating system." -echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" -exit - -if [ -z "$PATH" ]; then - PATH=F:/vivado/Vivado/2019.2/ids_lite/ISE/bin/nt64;F:/vivado/Vivado/2019.2/ids_lite/ISE/lib/nt64:F:/vivado/Vivado/2019.2/bin -else - PATH=F:/vivado/Vivado/2019.2/ids_lite/ISE/bin/nt64;F:/vivado/Vivado/2019.2/ids_lite/ISE/lib/nt64:F:/vivado/Vivado/2019.2/bin:$PATH -fi -export PATH - -if [ -z "$LD_LIBRARY_PATH" ]; then - LD_LIBRARY_PATH= -else - LD_LIBRARY_PATH=:$LD_LIBRARY_PATH -fi -export LD_LIBRARY_PATH - -HD_PWD='G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1' -cd "$HD_PWD" - -HD_LOG=runme.log -/bin/touch $HD_LOG - -ISEStep="./ISEWrap.sh" -EAStep() -{ - $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 - if [ $? -ne 0 ] - then - exit - fi -} - -EAStep vivado -log inst_rom.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.jou b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.jou deleted file mode 100644 index 4aa3a2c..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.jou +++ /dev/null @@ -1,12 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2019.2 (64-bit) -# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 -# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 -# Start of session at: Fri Oct 29 14:29:11 2021 -# Process ID: 21032 -# Current directory: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1 -# Command line: vivado.exe -log inst_rom.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source inst_rom.tcl -# Log file: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/inst_rom.vds -# Journal file: G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1\vivado.jou -#----------------------------------------------------------- -source inst_rom.tcl -notrace diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.pb b/CPU_core/MiniMIPS32/MiniMIPS32.runs/inst_rom_synth_1/vivado.pb deleted file mode 100644 index 2ed59c1e5a1578dc113c485daf8948d2e4170b82..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 55181 zcmeHQ>vQAQbvLyuZGFA9HErV98^^wW$h#|>6akVF$;1!7w4#@!6p~tbwRRAQgd})L zf&+kB$yJlMk97J->a^)Ao#`uWr|oq5p6PVjna=c6|Al_&_kQiSe(bpb0pbdv04ynj zvbp22NRYhecg{WcoO562pkEE4>p7|2<~z+aUQ*EF)f;n(*z%J{;t}7J)JBWlMeE8* zM?K`4f+8Mta9EYPSnMb&CrfP{?((vVj~iGk95x)A;^gr3tdLQj88L;*V7Yw21+#IYJnF3(H6a-xt&cVN=9xRimK2cddEGIO^T{x9W9_Crt(TC|OYfo8C%1T+Zwsm* z;~cl1DHluk@t)M{G_fkB@k5b}=`|e2>Pc5fV?~vT$ecxtj*%{6k*4x>^cF?s{e0nG zF;^H9v3;6Y1*Iusg;FNFSGy?{5_> zgrX)LBFzJkw2S;Wn$R5-qX=c*FPDVTlb zZ8Y&TV?~sp7~9CyIMQ9kyoHQ!m21#M?xHn{h)#gpDdy`N7BX>@mGNkHxllf<*)hK) zzDaYkf#MV=+57bZS1ayX2WV={G)SLUi}j7NMO3~=s0$xk)uK&SzG=7&&eCQk&lM{$ zVAhKztH68{lITv^K{={ZOd4DzQ??Q^{9&Xm?wg(DZPQrl^G2)_q&^!lPPDavw z&ML8-UkmEO3lJh6$V$R@r?`+H3ZCKaWlD}6@2y9^L95t0Vks3PJpxY7LcsSZ_26l$ zg*&-|m56Uixp*2aARsn+XEZV{<`Y`Cc{AVgYSBGXNAFTSvICl1;L4l%0;OB}1nCwz4u218pUEkp_A+Iz9}vmC(f+=us)TC^#|D4!Y;t zK)XZ-#6Xkz?&fxVYrD=tUAj?2&tGHDt z6)UiyP|w^cjI^HQGD9ZXQrldwlO?5MWjqSgrK7y}QJ#wOtR$^UI+9RbCa=V~^w}I` zD7Z|O2o(_IC=^`yC{(n?KcXL_P;m>uNE}|u)C-jyJwx_wtNakM_P=jJE;9?FbH&Pg zg&ZuUTi5D+8z-05;2JER- z+7GV29c4b0uGkJ3wR z+VO3p4CVN21F4#++!@J^yD*m9+^*EQ*y>$vE6l>#VByA_8S+GjN!sWP%&Rv#pN41& z9-}5D!2(&j-P|cux!QKQoT*y3lnaU~ws{qH__w4-uop@WYZSLI`IpxI51aUF41?P{ zK5g)iuc8ghUOt@mXtZEkzKMC29QjC-gCNGvwsx|G@AMCYU}+!2F_5m*5jxs;Z^A)< ztFc&$HBJJ&j(%#d^^j`|ZSFwma7Rfl&c*G!?kt@8_?Zhk6L8pUt=Lc;9l}uoPTp6@ z836}GH_!r;O27dchtHlxbK6me=U%y;h7%CaqbqET9eM;}AqfX_QsnfIc~s>e=g?9U zx>`SYd)sLueAPEF3TBCrrM7u~=S0Pvv3CljVvJV$6qA2Pryrkl57pK}t>^q_ydT zv^sr|64M7MK7Ej4(+7#2K1kDNz1iur-puq_Z)W4p?EdklSAayc25qGSJOQ?L|!fT=A()oxD? zkyo=lIYeHq_T&(GHQJLyoh&M^4tTPtygK2@qVnp9 zCyUCfGoCCeuMT;#sJuGmKYAS7o2HLwjFsMlCGaG+kp-@t)-jfevW>eYt^2sB@;WFL6m8x0)jC9tEqL@$9I)q!3D zJE{Y{1a?#hdI{{P4)hY(Q5~pPJNn~*BK$9-YZ_3m0e;Xpy~g)Jmbi#sFcqws|mvLvqkk=6Y4?# zTT(xq5HjCeP!k8{bxJyMU|#2-69?vX(m8QpUT2vT2j+EJ`QIV*NvJF+3V&c!pn#(% zysSX60jNo#9`YTyD~%x$f}oJ%6xwa-JwfQ;rl|0HEuk5~+X^H{Xuua6@NH;DJrv>R z{We=-TT~%KKUM@mZ`1gM2z}uyJ-!@FBsj zMiQ%T1t0Qsu8KF&bEqn4*VS`}LgOK>2x?1I)U;bx{vO@Vhp6~QSo_!iqraga4L&kn z8GkL`e#504fSvHEmM8h_%e=mf7A!ORg;i4T{A}1c5gvktEaKR(^yE9$)J0bLQgRUbk zZWC;2Tl`qBX|x3iQ3T9bEvqaoUQK!!H%`E6TEfy@!I$L<(x>Fvg?q6XLV4d zD}rwHy){-K-wd%zByUp-$`?hjLqG$wmVRX^hp2{yfXMhX5@lHD-y2bf!>6!F8jVC9 z113@s41hz#WY(P$P&m`!TPIr0Dd2M(f+evH<*tM_WSvs9JXo^OZSf85fgZiBEnfq_ zMZyynG6^|A+?{7rVTM#5GxHmLgxG%Db(!xdkY5YZZ4sw$h^h(MuSoa_)u|wUgcLqa zkTk@KY?7kpATo^_OI4J*i*9cLY+kDi)yJyw(vl9u29_*igb=v`*_f8Eb!F)zNE-xk zWjL`GwSs>ey@0l43F23U^zQrl9L`A)(#lZcOV#SuU18&Kss(nHg%-@xa&Mt87vY4r z$hY+JUSy0yOG4*B>U6rg|JGhq?rx;PYAsRMX^l!>mpPKd$6Q@sIk=$TCG6^ zxg<38l%5o+r;06w{WcOWEdQ5Lo`jR6M&!8J7*-K%JG%I!U0su*-32xp2E9+x za9D5l`;1wm6!bqr=%w@ftk1?7-3*=$O7%9->$$%1hFpNd$GpZ28&6PUmR+UlNq%~H zyw3SP2|gX0$N3g|+1U})dQb^eK1ri~0Z4{1Wy_|}Qbn>kCrH!?D-eb|f#nH@*q>N) zhM`jJ{pcdQC5|kv0pA0A-_-qFwbvmlD-ep=tstrCXgGa`PpOa~?v%N2yd6YpW}4L) z1DN#v^_d{|H$&*#rfC^CUaNG674M8Y74M9vh6H+slKWlE34UG>Jc4`lO#N-Ntk2Yq z+OFW)pc*c$Dnb-aLZ4#Ci~${nEkpxm;m+WByG!#HLoXRzb%Cq2tpxGZGxV=#Mi|^3 z``%z0X)t$Amkpm$&Ia6g7RJJ17cJVQl`@MW`hd%HyR8#4!fq;p3YSbJxL&HB+_cSF z?2cx-23_#O{@>4x64+k&!C;iYyFhycoFdHt46+AE98F|X#R#M$h_P*1Vhxi zq{G0jH55~{=D_MZ$-O`IL*W74XF0S&4F!tB!9X8auc>D+_+ha@i#Up2(1(RKy{rm~ z)RUp)Nq_lo>gD;1`lP^IA8Vug6eCI+gR8C%6`@a<`zqS+mzId~|UT$;`eez4f1PJOWCWJytQR_b+{ut=^g zq-A7*r?&g2USB?>EkOY>{R3fXGM(UCEum%Bzfmxp3sVJI_{pcGrR=;#PAmOn%D&MIwO(&%;Fa+>R*5XF9vN_6O&=Nk z!C*Zx=+aW~&LMaNe|2UwK!VbrHN##9k$&z6z|5b|{JE1+bFnpcwdq?ep>f!e;2`G- zF2f!i>~y*`6uij@9swjnAg6lFn^ABj`E+2kY!aQ>EZSwx_P)k8QLry?jIHV5huJ%{ z+T27xt=p{PCY*PItCM8EbY`twCmdg=#R#WAbuq5DcvTh14MLn1;P{w;XM&|YtBZ2g zX~BLC-7=lPOt!Qn?eAP|l<4`ZLXvroaRzkRn|_kmTR!)phq?+6_@Qb4NQ zn`fF_x<7cPf=BRAXNJJ_j5lc>=W|Yc|h6@TMjOUttTA)pJE)_oM@J3 zWR_9wx%#v|kJK;H*X%)#NN|G~S3p@&w5y`I==k{PF# z`p7sBcH$z+;5iB&!QY>8wZ9ueKW}xlLnQmT_p`zGoPFvwH1^zrQ=YRubg*G`nPA|q~9iaI?ZJ{hb0Lj*O_Oa^)LX>Ff2;ifpg1b!l30f6%;tV3^x!VOmb0! z;KRNQEz;ZQi^c*^77~Cx%u2_C`(;nNeK>C|!)<10Zw>Bu8fY-AgbI*v)bo{2du!vx zHcD%{@XZ4n7UAbea<6TYwRhOnLNlG4z6WJC0#|MNvvKRB+<4@ykLR>yBzV;T^^>*c z;6{QvY-Qn`whRy!Z)803kE@@Cm0Y5Utro~T9V#vgR)o6=j9=|PvA^$uM zUurd!9h2K1?xXQXx^FRWD}53|Z3kEughO8Te>CJ%+hc=@KR^t zjVwJI$`RmAk=F=*o^k$K=&xief=4xbP;tBDZL@ zq!W*f+6yEJ9~+Be;SwV8u>UKKAojGUz|f@PIKOatm!?i zRu1H07duCAUR{u343m;R@T4X2O$_&K;E7(wCzV{j0;$wV5_pHS>_Z;!F2o=sZ;VRU zK1-DBkeWaEM9JIe*(y{5;I*XlkU~v>D-3DS1T8OrGG^9rf&y`-b+r#wtxi*tB*r$2 z0sLnO-2sIn>9UkJiE0^jfwVU{!($mwwQLvgt$r0Dq0=CXPLTW=GCnU})k*|Bc_be3 zO-XIE*k{okvu20E4yz+IL{Eo2-n|+R$BEH3GxL7~-2iM2GikWeYmh<#`@L4{M2DAJ zw!njA&YE@S^aTBOpc)0Lc))irGGX;A=xHmS^U_m;t6sI^HIi4urzz)8&oSdrVm_Hz zjYL@o1G4KdC@!ssyXdUlchM`5AsxzQ7*#2th(-&lSwH{*7iI7xl1nABxNzet!$f1t zPg%aWdyPqk6D&h2UMw6hd>VQJJj;KDARsvu$k@EOq}&{og41T`l|=N9u||NfWA7&3JVr@SadF zPsY$#Gh%N-)AhvKsN`bsp~X}(nT*BP8vA=}Dk?Mu`?sK4N0?dFs&$yw ze2cgVvK7-L>Y|k*k%fJ|)Zdj@3?fzo00aujA#E~iR3*PzMBrfjW@FsP7>8YSa@S@4 zMhFHolsX{;;A6C3I2IaE6bI&5X{_fc-;bqJJb`<(0$(#*}L@J=2ke4$!e@10(QwtfM*hiZs>xI`Njov&L72v@r zI(AY~esp+2As(3U#I(hoId~@O1MV%{yenLUw6MBvYE^k;O>;M`t^rAoq(lykObmPn z;(zEDHkOxGmo~(!5EbG;=lt@^FURth6i8nn^n}%g_&)%GYx>#aXWVfaWEXg>g&P_w zORKFl%Otp2xT0l*S~<0{Az<4JV0&{HYzG1CW9|!Luo_~-(VsD)gfju0;9!ixv+$g; zONR#oZK|rN44xa?3vsBq%Z8Yc2Mm$_^D79K8@>VdPNrDKFWLqUJBU@wW4;-NMtM99z+bE z+6t9sn@D84umwf7gN|~NiD!`Eu+gg*Q$mSESUPlV@!MF8^;Ce@+V@E(X2@=K%CpZ`v9t;cBEvPW8w?1ZD=osJq6o&c1 zI8A_kVmC7P zYy=&v?z0f4lLzy1V+b1?%a;tx<{gM+Ho-OHN(R*|!nZ^#A(Gh?*UURI{UJe_Appy; zQ`-!(FN6&9hv97nhGE)+WxfCxEXy$v#*YlcbHvAqp%tV~`gPbFnBwVv>o;6Gb&bNeIDH!JWdbr*kCrsDz5SG~;g28EI zL;N?uFz4W!abD^$eQ~{uVa|n~aYoma5a*3>J=ic;7zSNd0<$r$(+soFFz-9eCb-Tr z%#~mmpU)j;Q(O-<%vHwD4-T^#uJa6Y8m$EpK5q`@Aj3QZJ^QC^9cBwKrG|Nx zddOgh=?7+-VcvtD{mZ@%vn7~n!+Zq&_K-0S!@ApGn2(`nJ|E;TTZ5Ttm=(s(REOCH z%+ZGV5=<0wj9}Q0Yzt<-VK^4{hu>L(fmdV@{=3{TESCZByV_xPf&XH|?22>7`DVf3 zvZW7aJ-iSy5OSZwTm$_Mkf#)e{X55wcNAuS=y!swRG32OcZRHvG}yX<%Y-4r`rRO76s8pV-63TPa~AY_KxQb+Jm?2QPE(k(p=W=? zdf-df`OqgrZc~^GpihB3t}tt${-lC=Q(@KwlLqEXFzoMn41}=m-2uN1asCVb<2aRp z0md@8Y$;PRwfHX`Zoa}?h5;!9%s7R)1575E!xZLDFj-)ZRhYZL3;}bV!Yl!^CzwAd z%-vx20`q{v+yiEBFfS|2yuIm`A|mgPE@|kAfKv=30e$49o~H_bANcU`B#@ zUSXa9!#U803bPCh`^~>A%#&c)Z*G7Ivah_J0>gfDpu#*2hW%!i!aM_JG?-Be^DLM# zV9FHcIWU}W%~Y7@!5jeQ425|C4Eymb73M`SC1CDUn3uqe1@pARybNX>nB@xd3K)(V z-zm&%U?zZB2a{l5dHop-=aJhh%o|`Pfr%;1n_vzEQ=l+!fjJ1wp$hXhn1jJID$F}z z4goV)VcrFED45F><~=ZRFt;hpaxjy@JfSe}gDC~`w!+NAm{JDjZwfOXOgWgf@Zjhx zud~5afZ1AM&H+;iCRt%V0y70nzQVkSN!e5|2P(`k4xW%Cr{D7YcJMm^v`4_3@Y295D4@wosVk!Au9U zyTY6RrU6X0!kh@E5zKgnIR#7;n8OujE|_L8a}?%OFf+iMuP~>9nF;0wg*hF}EHDo$ z%o$*2gLy?^&IEG=m`@aDKA0oH{H!o%gE|VK}Ef3Cv=J;hgqlFpnt==d`DQc~fCHr=1JtD}~{l_Ea!ytn1Go&S_5rv!%jt zPJ23-!3x7U?HOQl6^3)#Gr>$$7|v%QW(x@ z&jIt0!f;M|E|}L8hI88Uz8J~wWrFr3r!ox-jP!#V8&FhdoF zbJ~l*j8z!UX)gv-r7)b+UIOMAh2fm`QZVN#4Cl0$fmx(5oYP(o=6;3Yoc0PZFDVS? zv%;Z6LC$G!0W&~hIHz3I=?*haA!^dz=y95l&&Bt&~dpDSG6^3)#d%*NT9{B8V zPJ1ty?G%P{+WWwyDGcYd_k$U!Fr3pq0Ok;d;hgqCFbxXBIqgy~rzi~Pv=4!~RAD%$ zeHhHG3d1?=BVZm^7|v-Q1@o4|ux>pDW~IV#PWw2RHBoN9^5UHK2{2nJ4Cl1Vz$7UQ z=d@3P$x|54X`cc!NntpreHu)y!f;Oe444xXhI86y!7Ko?8C-jCU604c@aPYD4stD+ z%`E^oB!2mY&&S(Bo`);}vjtpxNG21WFFXVu+F=-e zHT4j-MIW;_^e;ocQJ8(8e+9DEMt(c{LjNjcONAK<{cDij6=oRpe})WIm~7}@hZHMJ z4)kw8DitOd`Zpo76ebV)w;-o0Og{8)LoQdC;n2SWxm96CK>se}QH2=^{dS-$Tw&n90!p0J%b8N}*o`xlLipp#MAMF@-6I{vVLn6{Z6Ee?mT2m`dn> zgmB>YmFX0yemZkZr-5=L>K-=5A{NxRRki$8Iv)b%NQx1BUU5dYDZ3+xYbN zFg89jk@f-YFr0%VLAVZmBA9{gFq~h|KG&ho2D3vu49kJcT3{{*vtv69^ObqRy%#rv z*{L0d`Aq-bV3>zHdl;K8+8N|wtequbc4>!Uoud6d2xVvvkaqhT`p zV2%!Et9BT!w{HmkZZO<0HJ}~lIKyzmB=<}0(hhTyVRilWYVUTK zvkbEW4EIZoY==3=Fh7CW4$Q=M7^a`;I(A*G!Gf8lFiFV6D43ZFvmcoLU`|$;e}EYP z=6r=2fOkU!!Ca#-cl1U*1M??^*%alqBbX-@W-~B5fq7S9HV3mam~RwjD=^%b)_aS# zbnp)fmw*&WQm3bPZKJ-|#?m|ejP26M8)3s)42!?3R#0)~B^%WP#B_H}!LVPEGm+Zl#^-CkhW*SX99!?3U0 z8w~q8m)Y4c?CbUc!@kaCb~6n7I()(q$wgVXj4f04bwk0FC=C0$VPMJ>hJ9T&nCS|` zzAgvM9ED+DmkVZ|!mzK)19OGKu&>Jp^CyL2UpE}glM2JWZUmTj6^4D?NHE_h4EwqQ zFum~r;42IEb^C$YR$QFl*o;!B<}F>&AoGQeoKF zO#m|(4978hu>JAid}9IH>qO}GRhV1AOae1XVeSTVAee&{W(Jspz*H;DsbCHUbDYA= z19J$N3l!#DFo%L++BrVkBTRYQwEGyDb{`|t?qg)yeT+=IkCAEjF*fZtBJG@a*h8lM z2n>30=0lkB^ag=woCa`WTsqK1SxDkCA!kV{9I>{;(gihcE3u zMyB1z$h7+ynRXu|)9zzr+I@^oJL?boS9{2`%lhMEZ2htK@maII1Jk8oPDI`65YD5d zi>YIaet^-Tc?S&LD1>3a3BGMx11|5kt_`2_JRY=<$KjTH+SBuy*xGDQ&-XGuJ;ToG zKtHSl{jMG8V;$(zJJ4rzpvUq=OZr|D=S&;Ri|;wC`?1h7&zaAe!sUBZn^!C^Ec*p| z>u!iTX7vX`$FgBK*5&iC)${!yZ7_W64iF7IQi4cBJQg#oDJ$yv7gK2(8O; z#Oj%5)??O5>(&ZA(@y_X;hyT~@#qnx&$^cheF1c|jpb`GyZ7yLD<&aQ|MW~d@spxth=Ak(>~MRU$~7zPaWSQ zGXB;*P3T!C*!CDd>s}=EEEB%h;{DcT+IcYUJXmiogwT)Iba_bqH67^p>p;JM2l~Pe z^rJe^7k8i^-+_K|2l~<;wjcc8zZ13llrvrK`tTfThvZuR|8Y3=z9 z;Mp%+fy!WA>Uh}tL4SVxML(Rju}?ks$ZC4-2h#N18>{KLFILlYPpqcreppSm-0Q08xzAP8bC0X0=l)hr&%Ldhp8Hxg zJ@>R~dhTb{^xTW4>A8m3l4^`8152~i;K1NNyyaPS=ooe@U *8 zep5})y{4L;`%E=G_n2yW?l0B!+&`x2xvx~yb5E(J=YCR6&%LCYet8Fa?jhCg=l)Sm z&%L9Xp8G~MJ@<@idhQq1^xP||>A6o-({qogrsw`pP0zidnx6YYH9hx)YI^Pm)%4s8 zs_D58RMT@0sHW%sPfgFgpH|;9KVwcL&OdwRXRY-k%$>yi>6xGD`O`B$)AOfiex~P7 z&-_f!pPu=do%R11Pcc8E6KwsH` zeo6=WsU7I2b)c_O^jz2E+M}=hsn_~1>b3UAb=J zAJ;{9R`eWOweYFW?BITF{Nw%F_(uJ(4(w-lpx4GX+SkS}>b3HxUK_ut*TyfdEAIfY z<#RPABldiE_~X@YWb3tB-H(Kxb0g|=*KT!rFAuI+WBX{J=e}S1;WBWo9%chQ_x!e} zU&H9R-&fOfuWx($HO>9p=j-Ze+uG+I-}dx)EgZy;`+HqIk{ldNKlk=(dhYAh^xV^{ z>A9a*({nGcrsqChP0u~Nnx6Z2H9hz4YI^S5)%4u6tLeF4SJQK^uBPWcT}{tDx|*K* zb2UBp=4yKG%hmMUldI{uA6L_JFRrHNK3q-DJ-C{l`)@Tp_ujhtp7!6`KlHT!w)I2y zpFQng&!698`gB<5uK5h^p9PF3TUAN)r&v18#@ZdG36<#ix z5Ds!!-t=-YLO95AuH?qghC7514qkKK<#L#U1@Yrtng==V+jTkSDbrC1;X#hiATDRa z<+D8xUUM$&+19^_cZT#o+C=W8H5c)dNu$K6H<2e~N3$1R19 z2f6+bANL#~9OMQ-eB3*PaFE*p;&Rk69bfST2e};~J}!8OG2BiH$9>*BXm4kT&t6n; zY@`g`@(sUOM>{g;|0e)#K&DA zxD#`m!6C9@X2^{aG4RZEiyQR?I9&DGEWuM1`4Da)C zwjU?g-nd}xy<`lKWBl5)$8g>i9Q)2F#HN$?(jK`F1&7C2ue}w59z4=9_K)O>9Fx5$8ddI%!EsBI7F5Q>zR$8O$WJ=5Sb3vGs|TOd;7sZ3bE6k<8n3!7eB4>%`b+(Hrfj>UUwiG`a<*NP zbK5!RM>f5-U6NzoMIko5e2-^2+m6UF|J`)JE4a_LUvh3c=X{GtkR>8tnAfs=Ip5+z z#I|$VWBx}WHh!FM@!<6cVXwV;9XaMde@lu7?J*n~F6U)D$c+;m!-+!Z#dLFC#)Dj` z!totC4{}us$N3u%a{Nw+2jl04%lR7*a{Qet9^@GBD1=^&ALnm8$ekiM#ybkJ9N*FN zAa{=781E>=a(q|JgWN*F@%|{pa(1jDw@BeQALK#qHie54!a<;0< z_^q#SdjwR-Z7H|`@Mm9X?F|+jf2)rN!*#i&Koi>AU2p^8&v@BGK1anm$?elgZg?lT z!cKArbdsCUN$${2a#K3V@i+Z=usjAreEH1Z_2WT~?aRmU_u6=L#2w#B?qtES9Y!Ix zUM1t42kYs%3diRW9_08ta6A}4*B+loc#ykJaBQzphz&Ol=RC;WEI78;D8zF1T?#q= zwj2+J%l1mfa_KndL2ilQ*lwZ_%Vpr42f2q7E;FD)?n#Bq65LA)XW#WO+_w~NPhoGl z!tEuvzbf3`g8M?@_7U9of@6O&3}W+dU%~a_1Oo@l!{vqsnlS&?Rk&e-+gNa{m&_|0 zZnogI6rA>)Jg}48?w#b)JIU?aNp63^v7YxbX{00jXa4pe59YJR9ok85s^HjeWIpFG zARP3o6&%}56k^LG7w0_4%}}_!fC{-|1jqI@3}Wr&3+`0Gv3<#Lkk3mz81A`(WBcNz zwa4c<9^@_&9NU90pRevDccb9gZe+M4am|C_-X=J<8~WM!@%fqu{gw!h?IsGb9G~ZS zkXx#7`v+9WJ)v-X4(CC8PYLd0T)X+gZh)M99$X9OOkaB(<7?jwkYoDV_ zeB4VWe&j}bIL7aN!HrS4m4b^a+%JNgtZ*ALa2$+ZDWtu05ZPXEWeS%hxN?OXD!2-T z8zZ<%g^LSrDmeBtw*K)wfvpEsf}5t;YZhFU!kr+v!xWBxgOdmI`EZCYpD!0&wZh#d zxEh6fL~ykV_p;#X6z(sAt5>+M1vg#cdND(A&}o49@?|5zH7Z*>4KZBaQ404hG6)cJ?-Tm{Z2CW$X%;&_Wd8Z>lE%ZVefi{v+oIM zZ;|0_yJUaJcFFkJ_k`sB0FLjvEH?({mb32($=v`hD!3xS+4qFxZgjW<3`hHCi*(#% z;s@{Gkm~^#2=0#xcZuL`R=6t!cZTf|+?^hd;l3xhyAN} zK*1d*xTnA|OdIY&f}16{r@_&VJ!CyNS#ZyIINCo?aL0_MA1(zncx53GL;r!ReFI#Z$fTJCI$oe-%aPN9JhH;4C-UBDgtrB`0->HII4lW9v z&6g>HYZTo3;AA?c3hr3J{Y8o2>4M|$0JfK2hOt0!A9^_6d#&I;R=B$bw?g5b72GEt zj`4d-aGxsNCxZJ7oE(p)iTwM!;2Jk*KVLY-?$rnX;b6UQQn)^XYgRb^_A?LK<8KqT zR~`(Pd$)Ozo2hUqf}5pqLj^Zm;r18Y5ehd^a7QZK6u}*(a7}{a-<4s$*!EF{bG9Sq z%Phh1@5{*Y<@2HCju9OH&P)_KYwvKuogld59FEV2*50Xt7$0lz7{Lt}9RE&_%;#eTH&$@xgOll)Be+8a zcLBI4bT-`M1b3L=E_A{@UU2+vavsd*1>j^mIstw*pZN_F4|4o_Lb7~MgrDUW2<{TY z@jf1Q+-LuCmEbN_xJ82F-+^Sf_MknU)81`@yIkS!6WqdfIGc`#1b3yvJubMb6z(a( zU9E603ho+(drffHD%@LwyH4TW6WsL*_mSWhDcrY$`-8%*#tMgn_38$N>m#@u6>by3 z-K22+1@}jV+e2_SE8L!fyG7wf2yU^$jT79h3Rfn$+Z1kw;BHsA(**a|b~u(5+uJ3A z`$*yV`}RCoz8@>xp9Hr;;qDdOCkpqN;662+?I%wPVicz18Nq!9j+d4@S#Ylk?sITa z!JQ(wcLevPV{a}v+rO+7+*b~Fs^C^%FEq~lTM5qX|E#@@1otiYgOlUd>4F;}xF5hpp|kmXhTz5tZWTB(mOE2$<%0V=IA6X@7u-J-ZjRvo zsc`cI_oKos5Zo`|`1o(rah6EOBEhkdNN%3smI!VQaBPFt-h7<%px@(yTN9j{FP3{o zaBDgC&L)I|_TCiS+KRp9g5&R@`@&rzxOEhc-<ew2ZK80gg4?Vej&Wyst`g@W5o;kZV@_5dU@4(DtWwtbv~bJj=ZAK!^vj(NmA4VF7saD10+xd{$; zp5XWn(Q*@U-dET=UvTza592opTvTuu2<|)R7*}!!I^2bVTMK=P4fi02TOhd2O&>*j z2RqzFg4;uIhdA8Dg3A)zp$>P6;0gp6ceqOhH(qd)9quy0RSK@u;Vu_kz2M3m?h3)# zcO=Z0a)(eq};A~&Ua;tOf-6**JBHVh1yGd|^1vlN{{wTP81lQnjHw&%+oJ~g~IIacR z^0-BC6NSAd$KGPWO%q(R!`&*lnSz_)aJLEW6v54OxZ4GHf#7C2+@Az@jo@ZG+#Q0u z1)R+njwQ0b+$p%F!rqaNy}JbWw6J#+I9Z>U2=33q-qDV|y9M{Y;Er*)dj$8T;Er{; zdj9PTZ_WeSdScz*9~>&x4M+h4@*N+*8r2(ChKA7Jhg zh0fZ0S8#QL``O{%6Wq~)<5(>1Ef?Imf}7-U?+b2`;0|=SzX_SebxNFN~`tDCfF`|CayoVLGih2XUPb)OiH`T2X>UnleL zQ;}Y6f8A$-)ArYWE;wy}-4}*q{{5c!*L^9{(X+n}nPCpQp4SW4-Lb#!E7-B^T-#r_ zQgGV-y1xld+h6y!;I#d9-w5tMxxemP5w5$xPPX&!1ovCqU-!Lms{dGT?a9M8J{<@z9r|qxfqCML=?e*-h zLnfHR_NUAjZGT+^9j?v4p8a(;_Y(J){8skY$^N;QDL1~~)%Mq|COB<>-RgqV_Sf-q>z+h4bi;I#d9>k3ZWU$>s% zwEcDK3r^c#w}Ifa{dN2sZniw!{dKb3`U+0lU$>FqwEcA(3r^c#w~64i{dJoPPTOC% znc%ejb(;%L+h4bZ;I#d9{RF4&uiH{^+Wxw&1gGt<+gfn${yJH{+X${_e_i|ieb4^7 z_VcA@e_i|e(zCxVF<*Ga`N6-szfSg7+oHeXL3`T%y6ps~?XTNjaN7R5sOTrP{dN5X z_gmawH$cQs+g~?OaN7R59R#QCuiH^@zvumRJBf5?`|EZVoVLGi5I7#J&)u=VZWm#% zXMbIgwZVV(`Iq_Jv%fAeUwFlQ`7Q3R+ZFYhRhseB_SfwuIBkF3?t;_y*X(T_L?XQaoPTOCXE;wy}U54Pa{dJjw)ArY8 z2~OKzH$-sS{<=K{=kBkYk2=ZsX+R=-2~OKzx3}Q5{dM~YPTOC%ui&)(bwdTG?XMdq zIBkC&{}wy`1?^JXUzZ~|ZGT;^;I#d9d4kjS*X0XN+g~?aaN7R55rWh9*NqgMw!f}G zaN7R5{RF4&uiIa6+Wxvi!D;*JMhQ;aUpHEC+WxvRg46cbaW4n{1@%hXUw44uwEcC( zg46cbl?YDTUpH28+Wxw6g46cbjTfA@zixuywEcAx1*h$=n_SYREIBkF3p@P%)*YUR=@Gq!W+Wxx9g46cbl?qPVUsonLZGT<4;I#d96@t_D z*HsEm+g~?DaN7R5se;q?*G&_gw!f}QaN7R5!vv@8uRC0D+Wxv~!D;*JY6Pe4uj6ln z;9pR$wEcB;g46cb)eBDBUpHNF+Wxu*!D;*J8U?5AuWJ&Vw!f}faN7R58G_UH*Uc21 zw!dzc;I#d9vjwN^uRB6;+WxvD1*h$=J4$fc{<@}YsUbv_U!KhklO|lh1mJ-v4VS-Msbka77~S6ZjRCM`a{8O zhih|*v(~?ZOYTz|!m$a2dv~J{YwtMRWaIaR!W}QTm4e$6w%l;7y{`qw{FC9HU~XbO zz6Hli#%~Kq6k_9dA{5l|c~zz(4cAeK=R_;hdjX(%&Akp4$3M4%>IVoUPB~ zuq`*CKA!>OHlJ6RfXHDxYa|M>={-|$LBT>t4qNOKxU&SeCMy6Aa@aDRz|8~4gZ4KN z9E&Du{E5sLoJ}w5lvYo-F!so?o~{M4={OtqYU}{JD1$~g0p|Oo8fK`fvV+@^}zleYjRPDw0D89Xa9aSx&9EJJ^S~) z$qi6A`**g<4OBS$_x{Q4pm4j1eA%%b4qidNCkt*T<7dm`LX#+7X9;d+T+4m+3k0{X z;0A$n^95c(xOsxxMd9r4J}|wzDxCe@2Xeb9oc-Mga=R;>{oMz0dnnv~A{~Pj&i?KL z?IkIk{oMz0$qHwGw}4ymlU!3Lxmlg$j_xFPyx=mGe4g7$?u<@yXLpjjpp)FCo#d|UBzIjWxf?pk z-O@?!cEM#S<#AUhx%)cFJ=96=@lJA2b&`9&libUlszZf@Jd-nG_$n}9l z1$T+yf}5cuw+_S??)D;FJ|D?&FBSIe@37GxpO2yt8^6npab6D;_V|3{3wLM1@p(pu zd$|bL{;nItWz~*CY`9m5aCZ~-c~ko&_MYD?XMf+1+>Q|5YtwOqG0toIyM5&N z{3i4HM#0(VZgPBnvvrxsO@gz(OGb{*Z&8R1_m6_Jze`4r&u@~uS#b9Gmz?(eW`A#t z9G~B$y<3Dm`+H;L`1~fh#e%cXt87DTA2MHV1!vn)o+)2)?t8e~1Xmz9wvQ;p=F9DZ zv%eEZd+vM8KY`=H`|R(Rkz@N92C??;5S;zpFLK&@Oxq7J4P=c8oV9*WLOwv3;M{z}bz@zq`alg88)E^lRjpFHwlizq^83CG6SXDJ18%kEaD^?J@7%@_h!Jt*15}s|Ey88F@m?Fh7mO}wTf$xxj8WmNHGbsmb0foy zLVTQkZtRG&&y5{%_PMbm&OSGG#M$S@jyU_=*b!&Pb7mvgh1`6x^~Ls+fc)1Uti?ktLNWVFeYF*aULuGUi~`?C%>nASK;LEiM(exo8Gq&30qI)_s+|~t&4bB z?rp)z@15TV7Zuz)f|K7n|Ha|B*MZ^EzWjUoADH;TJ2>RLN&Y?k4;4=SJ^jBbocw$G zA1R#t9{Xd%*?f6Vq*s2A&A*8u^KZG}1>TrKC9Pg8V@BcFs zzaaDQpUgk`_x?XuIQjkR7YZkTAL2`elYjrpD*)p@SWL8g_GZ({|%hX=MO}_ z9L$D`gZ6IcBnK_d_C2 zo>1&m1Sjk1N)c{~;GTB4znRQrdiNFFGY-eOt4&9t;GPF3)BBCEH(qcr zINY~_n=H7O!5I}|lvutsf_p{bj_M?Lw%}e>>@DmhcLzAeo%P@ilU_5mNcjEu`vmu< z!aXK9{w7-#cH6V}qKMx+hO_nWJCV-{~@@|1@~8n`={Urf#W6ZeF`oLx4rz^U2vZ%+|W*P z2MF$S#a=}xxf$SiFdb`S3^Pd#{OtJ4_Is4zdMn)Vg5z)L`Rtu8xOEinJi)DNI9qOf z*0%k|#e!SU;eHa_Lcy)CgnOOfHc+^m1h=8W-6y!d3ipiQ_!||n-~Cyn;{(Bc1CIG@ z^W_)8trFa~h6@T8|H<-QpAT&~SP#B)!lhxG&pQb2dneppf*T^ZACz$A?<(+b&&luU zR)amJi}g=__jx6_Ecn^<@|oOn^1IKg9BvK4$?p-b1{W3f))bul9`PE7TT5{AyU%MK zZf(KI?-s9fxZZ-3-z{G6aD4-#6ava9aybe&2YH!)+rt z`F-QP4!5n~{%vE{5%xn%MSXd|u@@Db{J!x)aI(Ji7o7aQ zaj9c(fZ*i!jSo58K*7oH8y|MK9Rw%8Z+yhzb`+fazVT6TvcBvjIQe}ee=A$ogPjE@ zzi)ip;rO?gZ9dEI8=r8vT?8k;Z(QbZy9!Qz-}t1%?It++edALOx4Yov_l-|G+#Z6H z-#0$vaQxfQHofxu#%CQaNpSM}#^)R^S#a|E#^)U_MR4-_#upqeRdDkA#uptfO>pvi zME(}KtOqf{$?ptbcDQuG$?ptb0mpa7PzU*zAvpP+;j50lOu@CcG3+_UP%NN{Zg1gA!h70a_!CmQaBLw$>;I4AGk%IePa92BAf#B9bgRtdxjl=CH zxNQaZ2Z!5VaDxSRgToaHZePLO=y0P1H%4$bIoxQ$#Ra$6;kcK;*5@j4xD49Im*BE+ z&THz61a~wz+m5~lN4-7x++*#XD!6YHZoc5YRk%w9_npEm65RI+ce~(zP`C#Kw@Trj z1jn?qfB6wy6t2y`14RD4C%B&-u2^v232t>f*GhXOg6o4tJR9zs4mVbCzqj=$xvn-2 z>0q5>I<@tv@q*LVqb3MWTaTJ(I5@w%^(dKtlSF#8^{4{{r>#dFBsgt7>R`jc=~<6L z9xxwRhYu0?qOC_A${TR-`AA!jnk+bNJ*rG_+Im#E;I#Fq3c+dXQI&$z)}y8fPFs(f zDmZODYMS7F&+AcDNC*2r)`S1#demVeTx~t-aFNg2dQ`Qrr>#fT2z%OkRIT88)}z{A zC+Uv$s5+6)+Im#I;I#Fq>4MYNqZ$OKtw%KqPFs&^5}dXk)hxK4^(b^Y=8)?ezxH~R zoWIOKdD#BXU5}F7Ou_x0*P~{MbZF~Qvjx{3>rqDtd)j)`k%H@v^{As@kMp9A)}xLV zoVFfyjNr8OsAC1Etw+rfoVFfyoZz(esN)5vtw)_8IBh-ZM8Uc1QF7hyB*AIxQ6~#d zTaP+LaN2s*T)}DUQKt${TaP+TaN2s*>4M{fxqVJ{*Q3r5oVFfyrr@;osIvs8tw+rh zoVFe{UvS!b)Y*d5)}zi5oVFfyuHdxwsPhD;tw)_NIBh-Z0>NqPQ5OnMTaQ{GIBh-Z zBEc;c^~GI}x>#`9dekL?)7GOd6`Zynb(!F_^{C4Qr>#d_AvkS4YN6n?^{6War>#d_ zB{*$8>T1Dh>rvMTPFs()}yW$oVFgdNO0PE)E@+=tw-G;IBh-ZM!{+8 zQ8x)rTaWsq;I#Fqn+2z>N8KVgZ9QtS;I#FqTLq`BN8KhkZ9VFC!D;JJf0CTC9(9M{ zwDqVv1*fe?-6c3}J!*;IwDqXF1*fe?-6J?{J?dV;Y3ot<2~Jy&x?gbGdej4g)7GON z6r8pmwN!B0delRL)7GON7M!*o^@!lK^{7V$r>#dlCOB<9>T$to>rqb#PFs&!COB<9 z>Pf+A>rqb$u4g^UKAngA4()T4wjTAg$Ukj8>KVal>ru}NPFs(9PH@}u<1-vwFH6E7 zPh`C9Vc#>*ufO1u6>dktr6}AW!KEtPV8NwoY{#C>7x_KTUXHz& zM81@XaHoTdBA!&U>|Zt<-wPZrxCY1GD}p;haE&H@*50dvJ4J9!4)>bi&J)}WaEzF> z_h-RfCb*g4qChS8y5O!8+)*Z6puu75J=1%m;Eqwzx;Eo3; z>%p6XdrEL8v=c59#B{tWxDypl?nB-NTo&%N`S%u1SRZIlzW3SI;ocUUe9y2QxTvuA zj^LIfezeW@vAx5+E4WVu7j?Mz1osU%Tn6^~gOmFmmkVw+d{k?>0pPF|pe4QU3vPXI zHhu%0aQ`B>%>}oE!+jvQ0fO7n;XV|ce2&`5;r=SPBw=r7hxtfpz6f_$hxWA`xzl!+kC|Ilk?WeQ7L1d)RhPKiMt| zo&9THz@F_-Hb}C(*(Dtvv27if~yhSUdA3w1qa&^PiT9#;PzIylLWVq6Yf7vxGawg1h+3Z zncg1-cR4tQ&wLqb>~T$shm9ZY$^5&=vGREChYy}vBz|LC+z*=*y}Cq{V41)&?xS8>oe`G#Xr7+gZa`69R2KJ+Y#IO2A$+K z?oQ_le;8 zD4ZM@vYdXmkEjQ7T;RCMJhts^9l^y9(-xN83fC^^~x{j1~Z z1|q#O|2VG7{M%4)GXLChwXfi`adjh4dfjn#W5LPv{;T8aCL(^_F|KaviQm6Eu5RXu z-@iJpZtjWS|LVB9g-GxJhjF!^s4v|yuG+uR%<-ycTy1}x{$CkaP1Qk3hX?0C?DKv8 z{M&HyARmRtZ_VEqe@r104#pqT4sZOs%g%o*e|+)x#iy&h&(8lhe><1Am5cR?^Rw>q z|8M1|d_H2@m@Ye?wrQ3Acr})AkmoylpZ{+yUH`54bXT}T6LLQ+uVL`(s()AOZCB&( zi;u6K`1&QEzc2n>ji-<2bG$rQ&$))>9&A69u8uptaDQ$4zIgib*%wb=Iht3lEy-ZM z(YCLj@!4-NU`}j4`{L=d@8f;pc2~N(8m=$?zVhzef6Qco*#Yj@%cN|u;o3NkN>sP)zxr)@$YJR`FLM? zeg3}qcb9#i9bdSkg^gxO*Eygyl)ANuO2uO9Y1?{sf{=*iFS%}-yw?eP!ux##&&UtjKd zehl~X{C{gNzWG&G^P#Krx~|&y@!UHi55D){9MI?Qo9}g(zb`+1^9>*Gi;piKer?|7 zu??T`jza9U)ieL;@2d~K^!oCrtLgH^r>p+Imw5W}t*hzv@k4FF8kgle4Cg++yZn9i zr@QR?%D1cbeev|wTVHt%?WTP8h1*s8zIgibr>nd#-+caEEwAp%2VZ=;%f2r^ec?LQ zB-jtcyz#w<@!9wJ|JwF_@wDLvn*eYTls7g+b`;Of@;li8jLUv(XW{4Cv8sR9-xvR` z`up;&tNvXLx2yawHseG-voO2r|AP}S%ZtAS>hpJkZD|)ie_y_J7w>yd<=bcC^LMf# zSWk%}lK(CLxRXIFm&x$+`TOG8)$zh-r{_IV_r6E!$Dfme?u&?`&iLwy&woNY z_3gKqpT7A2-u-*#+da=^Y=Z3Pqi}!i`uyLTZ+A6azIlbu-)G;)GtcE==Nro^NOy<=YN&=mAlX1mk(X#eRjIb-xnXJxwN#S zu7>Ng@ALm}h3kt?SN(nY(^We@-uE2m3)koGv+wi&Z-wiNPgniBD<9%bb@h=^Rkc;4 z3W`e7QsX6)O5#mrk*U>X)sd3ATt^itsI6+MDy>e*h^J%>iDXyLES=q$IwYQwnQSi7 zl5vq@xpZ)usrD)(hO0Dt6-&icEHb*Xskm}#X=7v6)Y|mac;=AgM6Wb>r6hXA;FX%- zm68dsv`Be%UHRcvwNr6&ctzEWNL6iPQ@o+BCb{J-Qc>De8gD4Ai6^(5Mrx4!nyUK7 zv{aGY;1**j4tw#LRYye1;MP>u#HUu)#%E;3WARv|zC2!2SJ7NunZnbC%BihBsgauI zS*fkpX}lJ9#3EG{EjL$9!3A$`@k@)8>lm z%B)u3A(6^im8~IH@QOjV`ld!otDD7jT4Y*Pb)E3YiZmi}tv6&aZE34StE%Qex@wOEi}f z8v?(?M5UxB!!I#asTuG~PYfe9BL#lxk=oLxsu?KxmeLs9wnoREgJ%jn6MGAIrouDLy*&+{iTMUH2G7L8fhSUw>8282Sx8Zqn<7;6)GRkeSxAx7 zw9+!OkRqpX!4oNRnpYaScX+xf8iEu#JvvCF2z`2D5f4F%oW=+eDRP=4Jdq-&LBbO$ za+)MO5&0o*84Y3NoifTwPKl=_J7tuWoC;4TMOn#d@N~*3D>(*FCq-Gw>F{*QC@VPw zo=zEMC1=9ZDWk09EO* ziY24PrJ?=HGKwMM@ig?#jwfzUPmB^sq{!*H;fWMwBt{9INKr;&lwycDJe??|rP4Do zN@11+d3Q9A>$>huwlxCaGDh0XJrn5>xGTU@km>8wC>8w%^`8J&uMJ?fDub`>q=%CJe>x~0V9@{So?zkBbMf-2m?ke%}o&oj9AP~ z5eAG{%uNvnj9AP~5eAG{%uNvnjF>a&Ksh5t&a4BTNRcz`fG1KEb5n#qBG#th&_~3a z*$8e&iqhQ_VZex`yD7qe5leSdgaIR#?xqL>M$DPwppqg*nB&NP2cAe#x?4sVFk(&* z1rjN8dMJ1zMNSU|Pek77q2P(gJ3SPd2;%AVQ1C=N-5!bqMl8drbsR8aZV$x)Bj)x{ z957;T55)l^=Jrq=Fk)^G#Q`Jc_D~!!Vr~z`0VC%2P#iE~ZV$x)Bj)r_!GIBSdMGqt zOwCgg8v;Brp-xS#Ht@uhIyJG{z%vV;iPZ+4L*SWMZGr(KJvA|t(J3T@bgK;pjPz8u z+F-y)Pjwpt28{GJofQU*^fsLp28{GJofQU*^fsLp28{GJofYHRrnAC;k=~}W!hn(9 zrnAC;k=~}W!hn(9rnAC;k=~}W!hn(9rnAC;k=~}W!hn(9rnAC;k=~}W!hn(9rnAC; zk&e#F3>ax~JoY3sX@-fpVyI6~$D^Np^?;N*PY0M=zA&Gba|#Bg45vA#U|h;@T5}3I@eDL(^GXo! z{V-^U7pTiA7_7q!)PocZ(&0rc6R#0%^G!or#{e2?f(zTq`A!i7rqQ5XW1ut_FpaTL z+>==A&_852qkr%wB#~nCc1C_SZ)eMtinpjP)id ze7~B-7k=^5_Fl!*H8<5aH^m#9N~cx^R9R$NX=A*xZc0;Sd3oHZd3%Vo^OncU&TOb^ zszk7%TL$x`6}SwXGQ1V7YT}!qx`x@2>bj|^4Ry`670vaL^1ABks>Z6i+Iac2%JReQ zOI|#zqgc_2m~^FJXiGr{VlQJzC^`>YfEc+_(Ddvjy5bZxJM+>xHI;9goi>-6#)lrK zmx3oBVH5i)c=8c8v8PH+9b`LENVP?SX)6+I}aT}QOBG| z*`TOn&ZBHl)G_B#HYnb(5zF5>T_stiJI_AFlVo}GO2~kkgF=t8?6m>c# zMW%SrH=!3ss|iaF3qF{7p}p8k&cx7CTIh7Vp?4ZdN(QDSXpsrE9G<9B&e)5um0{&x0^C-hM0~8p!>io=!<&rGm%l}KH2$yjljn_V<^TyeheDb6p+mqf|P zg5mOdTv1;3*n}I#jUJnyV6-H^Fhy9zWoqJOTH<9)T#hTrFJ|JT0qZBNSU+jX`Wbu0 zV{+pY3i8H|jErqLE3a=3N;ExrRHUl3q5{ib<(1*;Tm=R?EaTCyqN*0lWtEYcl@W6T z*Y1${DX0bZtd?h`k;>ZA2yZHl&;UldN}N@}lXr&I1O9OagW zU*HBr%Nb9w7&xW0A=EZbt7~WqPfN=h>#CbMqy}-XK%B6?f>pj?b+U?Quoi}6O+gK9u8A}>Mj8ULp(0*ZIi;=v$;KLCMWmr31(F(GrG!p+RoTcZtlc%uuCEMM z;Ou$mjm2KB*})TM@S4d5HoC#`9!`SL5o}{+b)>O!R#T+8zMd%w!b! ze`=(1dUKdf4VBa5RTYuLN~g;qBH}d2G@}|ZzOurk@;)1IRb7g()bwkkWVXhy6)K}G4 zvahPHtgMez;J@&!aawazMcvF=hF%&kYi^t!#3MXwjF%#25jd!0l}#8LIej9ZYXPp{g$g4rPaa$9~x#G-Ar!1u;-0U4Q2Mcy0qo~neuit zlGeM+TCA2K!Wh_t(90wbMJtc~q$ME@G9e8jAq_Gi4G9Tpkd{jur0G`6D20|J0cnX= zgGf?>p#(dL%pcuyUQ%#ie#=G9I82Ak)db9Ivh8`v*y0>> zp3`DA2Xmt$dv9@zcXqK1tXKqAECVZ+fwkU^RI~((d}|4yHFZdQyuK9Mj4IKV(O4=X zNOMs^QGPBGQkb7#6hR`g<2mC>Ch;sMJi{C_J5WUOk&VUqBXW_k@I=0WfhSJrnG-1( zyq~Y*;U`p)#2AG2Au%2a)f=Nh zVvk0TpkIQE`B-onbeKHDgp3ZGZE(WL89l-}UpkWt4s-Ex>lHNd1h1J5act7E0A^73 zD(vs#r7gNrb_p$4Xx**n!EUh@8*JgNI=1oFtDw7Ry$t#bT!#I9i+_FT?CLtaPAQLI za)9o(^*X2zB_lC!M-fMg#uUecs*n>;@M9&&j#pIAwr)I+)x!7$E_?!81@V&X;rU_h zGUvRvdZhUz5sDi>tIGLE2KfWM0zvVi=Fgs^VarW4PF{9&o1;ro=@cdFdJUcf(K6X+O z@5OUOJf}E&R6K`|Zt%z*TU;1Nql;%3ju?Y$1d>yjkLO5qkMW=rDk*_C`$%JgrzM5i z<6CTw%r@0J&wvGa=HVnaJ}Q5dy)S1Ho~?pjBMhv#VC=|IxTC1JpoJ+Mlbwf1Bk17; zg^X{@dE6wv#REzvCJ)IUS2#8vbTzr|C8Net1`LNmjX|)JP-g-UW&}@ZG&_#wjdR|D zOfYA*a|tt!DPSj#hp+tXmNZU)$^7`JF?socvG6i@It#-=M-j3@otIxUc4Vu%^^#fM zYTCp<2O%cJ-*^NC2_55~lMsIb!OdYmXbL`zKXvSQ!v{#5x5htE1(#v`gK%2o->MEH z+@fy1Wc*uAGYYH%7}Sc!1x*%J#YD~Zr?G$^H?e)*@wa@*nBiktfeS{r3Bsym@Vspl zOmUPcEEtU{iFXe9tmCXs<_=u3e~%a9eZc5kQ(vS9t&rys%|;V6A)3S$6A^atoM+^s z&W}R#Ei5c3VZ+QFnIAU1F{4KD5?ULvkB;Yz93SwZ;b0g|FN)=I|i>jur+7@pqFUz zNXcsP;QNrGF%$4Aqh#EuQQ5`ph}uNIID7Pn{K)XaF-2&Rh1q1-Z4_lsVhfM(eE2xL zKSCWCQ#>i`P7K2eWSYyU5@s{uR?kRb5OxmYnP+NzA`mZZxob=b>UJbAIg*FB1(Dei z%-Nf!Me5i9E)&h4Bj&EB=o6|Olhty=L0<6D)9d%yx_;fsC_*UKB|U~yWu;4 z;8_(9^Km@Cb10@%@xSKa3=jRyn8G)g;iMY#|LUeH{uf+THRHKv2BjI>f}!Pq&5?L% zEv(eSN_BHpB#yT#kvOET0T;7y0-=rS8T>EO*iecSyrgMtpie_}q^xp!q^!0w5)3Pc zMGk9-)J&OHh5xWKxu%I{O*pGA!@uT8^)&p0Gc(eNXikeX&Wy~&Ls==_oM5YVLuo2p z%G4k`f)@tbXHZ-zN;t=itVKZ!RaomOjbmmLoRx)Vc)eRrG5^G+e zDo$g;74PM3tv=;=J7HG3IGHTP_I@@Z&f9_)buB&u!h0JBvOd8KmJj&MP(Dv%x*DF) zCz#IinLqFhRlzx*%TY@8b|DXM3FLKY%yh`Iieno^gEw}MxWZHPr0A4Y% zP!bKaY{WH>wr6C`$3;p7EfesF?OpvA*>ha>mr{3;xQM-_~2nIE*C=R{i0Bcrk> z;>K{s(0UHS`bXrXBbWhVm<>j-a2&T@Z81J7waiaKEXP<2I^Aq^?&Cv7T!(f7j~oXG zujOPfoS$;7AiJ;#Pn4q~B?Y5LV3LX1``AbUW@uwZML1|;-ov>^aE>`d%XxM%7#nX! z*PI6Tw=kLK}$;MqK49wsru(v5R!XunzFf~h@$_066rcanC+nE%bP^4@& z-sIy|SkNWm5jSpst-Kax7sy(tWnI2m(7fXZUfy0UN@GPR^!zr`BHrO z6%U@IZ6_v_Wty_}PTOm?duKb!;LhMt-4~`$*?MO?W`o!UcUom6GJMaZsd$lEnUvj7 zJ`E@3P0bB>?O)e$cv5cBIFw97Wm4;BZ{lXj*OrbH~#tokF?-;MD zF}BMpr^N9CvDnPz;zkL{)+8s*yTYNSwIMs!c!7)$>` z1TgK17v^UV@3wddga2-)+tP!fj|s*g@kmrvTiMv{cJYXenOnD; z#plD_Z_|E5-0ha_*V^50*nW)N?UwN|dAHld^t9V;qH+aaxp%u^ZgJ^$qu40ImkYl} zi@*o=-5yxC^bf2giEQ#55J~EzJuHYnS(#5 zkqg-fKUnYr+)LqBLUzCpQkYJr(YidxErDz*{H?yb+-+eyANf@V;qORWyGP&} z$%`BZcLTWd;BE}}O1Q|9$n|hHhkHNV32-+>9wXV2ZQv%uEriSaOW^XpO1Q}8NEO^| z;L>js2-8M8TR<58RuIN%JIF?mT_L+cc8BZ%NrKRR3M3U0gQP>azj6p>NPRgkM8*Fvs?Tn||UxdpNqax3IE z$nB83A@@M;h1>_ZA2J;o&;V(KG(nDl90@rJax~-^$Q{VE<&gIwe}Q}m`77j8$fl?O zn?W{*Yz5gGG7z!@WJkzOkX<2zAvus-NIqmVqy%y(Bn~NqltU^Y)sVT6harzZ9)mmw zc^UF9-BnHWZ>n$%W)YjzLFqEMyMkc*qHm6CtNS=0Z+|oCY}^at7o~$b87zkaHmCLe7I+47m() zIphw=oshdAOCWbc?t$D3xeszbpqv;0WhQvh+xXf*uBK#Cv-Kv-rakg;aLTufek8{?)b0FtJ&V#shk@ai< zgmrBP20b_jaa!b+w*wUSi8$)(czCY=`ZYDa+H1ql}+S zr))#E9oTqwRFAhqI5tn1k8YUEQyH#pvu-$UyJ4H#2jaGoJow3cz-7X*y0}_Poc9vv z3vUXq78%#-=02Bpzr}ty7Ww+eP&3iEF2`$fk()zJZ{xb$YKEJ5WvH2KT>A6qyJDw% z=-RmVy(;AT8Q103AdF+F(J%9GYlc{4*43fCImUJE*?daACgie=>vC%wdn=57rHAWn zxTV*I_Ldpfwb#dR_1A^mEaSS|I);n#0RqP$)PAIaKmm4xsk?oxlIkX(&$%tIF6M(rac|zOTBSjdz*(?q~9|k7d5WSaopvx z%;;b6aQ#9oGV9sU-W=n)_Bej?81!7oB^%e}I1cc5-RPHlIF1!O<~|?Vn{Qm#9>)_N zSucd#Fyp!$#~dCjjDDquV;{_8!Hc22g~oO5v7hEK@}-a~GOo)-11b_(W%QAkL*C{3 z8*Y)&FZOT)3|G`(TWIJZ?eU!B2akyza2%_7@OhfY664zb)Ar*#LdX7jvgx>{8Q0}@ zGF)HNarHB<%W=%4y`@IK%)<>bT)pYw<`~zt$FY$12AK|y&%!)hj^i`A*NuLKhuh6? zd=})f(73KW&Xs9zr0EDJ8rS7GMw8>SACJDqb-BUNky~Q)OFbOt6y&Cv4zu34uDxW# zx%q7SSzErGGtiz}4_q$QaBe+tInH%x&kfi1+cw;o;oNd_xpc$1^?)4fyS0~LIJbOV zdz=%s7e6~*SbKa{A?KEd%MCG{TOKaAr{UcC;&Pm;GF-R5xZK``bL*+gasJm{dhNJp z)64lWIk)|~+)%?UFAw{(6~=YBVTPMu5poNR>vGwKbIZ-;a;!b4UAkPZ;f7U);f^$} z%W)3O^sY4eRUR(ia0{n|_7)k}wKv>wMN>m=qH$ergyAC7Law)QU2de|790H%4_9Eg z$yK4fX~uQ!?Ps{YhlN}}L1j9udL$0@RU5@WCXm7F6FY$1E z&p~c-Q)q9Rab0@{8m=!FdvNqKuFD-{xTQwF%)=dQxcV8Py;;U}?Hyvc=**BCWL%d! z)Nn5t{p%hsZn!zKLVI(K>)M-axa8R(mt|a+D>dA5qhI0S$_zLEh|t~wjO*Gv%y50@gj_%4y4>N0TWa*nJY2Qm>W>TU%`&cQuf}lE<3nzcab2#~ za4#7B>mIJoaC1%w?aei=Yp>pL$tQ+fmT_Hfy5W`^{R$7)V7U1wh4vO0*R|JZxM3%U z+(_fPT$ABe8vQB{*KD|jr-b$v8P~Np!*E4&LvEsRU2dk~BBzF2Z{xZg-=zlqpV2Sz zaD3ky^na&?_NE!vwReQ!`ko$g{fz5!M;dOa(J%9GM;WgEjL_aJuX$>JIQcMjDD$yJK1p4&JFF=8`rgWisAa57jjYKy4+mD zEi?KTJlv^)QK2_U;9~ z#k>C>f1Kmkm{T{VagH$!hB#DfjBG~h9Osx*H-`p8N~^&(#n6pWr?$44V$)(Hs5a(Q zr#2Yk9H%yvHdHlLZU4FYd0qR~`@d_S?R)ROzmMPdEBC#=s-LIVbx3ku$>mC~jc|Tg z5n3d5QE*O-yChsRTnq|gk+d%cE}(|{8~06zq;8XYOjzFH6LQ=kuj&5czEStB`)9@b zBaE9R+;?#LT0)EaI1!TeeeWI<`aJ6tGno=e-DWteCv!F=bw9v`zrkDtlDaK$n)=N7 zA*qXnb70&l;kLp>da=G3B<cA*oApj|qLwvBga0LQ-de^TW7S!X1K(_=xpIB5B{RaP~3GIgr#HhEro)BjJv~ z8TG6$97+3*!g&gBNZmITlDcE=F=4$Vk1l31E0Vh7aGn@fO1NY=E2d|YnC=NU)5mPO z;Yd2&lW=O`jj;QsK~i_hJtizi;HY9IGa#uu4QCb(u()p)Bz0%pV?v)C;|d9v0;dxW z?6_}wB<)Lej|qKt%)dioIjnFIlY}<+zw04s-&yyV&=)(rn91Ui)SZKK4P&nO#2)vz z)SZXZ!UZ6y`wcE)DC>(sQg;E)u4B%Dr0yb|8si!XcL~lkg!P3ZX`c9}_f3nW?uvU%SkIp5QAzY&g$o=cw7JiDLejo#?lGayJg}I_EJ*6E!^ttOkZ?EP zoS1)?#B$t(ixCd&xNosYI$gVaOqjm_;lPgjrbAM9%RMHz;uE~wArEqYao?!B?f#kI zbOVZqWqKraci>|CGZ&Af?k=3OA9KYgv$?;e?jD>UoEAx48eBvG>x)EEmkww5XU>77 z&H<;!xJJU=hl>wleP$%>djO|5Fc*lVE(1<6jX5Qfx`%Md7`IBeM{vgJtj~m`eVK5o z8O*7X)Mde$`Z5=eq|OOv?Zcc6N!?>OCB{_}?g^X?^JPcUzHGSgPuX-Mkkmbe)68Vf z4@q4PoCD)d3HJ;xau(~0LDIflIPGla0+7_X;9M9lKDpBUGwSl-VvVdX9!cGEI9)Jv zdL(uEaPm3KDUj5?fHPygvO( zr!uEOQs)I1`xTqNcqDa7INjIG>5r)m zm{TIDYXX;yajS%D3TIr+`b;~2skEBiwr`yP! z9!XtmIC&Iv3M6%H;LI4eNVv9ec07L^63?S|;39(9^DPodr`rzB&%m4(NnLw5C&pb8 zt^-`mG}af3qbk=@ zG47IZJ>X(Cv%Xj)?RyU{;0NY(Na}jR6@M7dP32pdr>+-VJjTruP79}xWj%pN+V?)3 zVk>i=Na{Wi`#xol(?BG3y~Vzn%y}ZI`w-58@ni|t2hK2y^%;@0uP>Z(HghT@b^dTx zjN2q!0G!Fl`ofX4uOFN`m^lrSy8dt$tlwmb^*jL1u#MdxMkMVU2&df6oC-B zlDZLavU$wOk<^WZi^sTG!i|CpTp?$72)>r=EA9T-Xt)TD)5FDZ+!%5GIPN1jGslgE zvvAxvxMYqS4`=1L32-)!n+Rv;xIj1u$9)Xv>L&cbo?;F38m49?1NCO8|%&4;sd+yXcU$1Q|&a@=Qd zE{^*gPWIKGZx6nJlXKi6I0eUr!+CPtVmKwoErHW;+)_9{j{6c$%W=!#0yu6toQ~sG z!09OSvYP3Tr$UPgtKy76r7FYqT%cu7X#! zr{K6B;5<2Q3!IYUV&POAw-wIBaoga+Ic_^#1jqdd7s+uy!NqXg4!Bs3i-U{jxOg}- z$L)l(aNI7@;bA3yZo4MlCyD2!?S@Of#|ErO>V6jIuPSrm=bfqh14heTmoIeNV4@vuui_^t?X(Z+^8P0T_^@Ss8-w8PDHRfzc>Q2Hb zF|Lwur{MhVvpy}7_ML`vV%#O+&cGS2vOXh{_NBmCt}vI3q%IXsfpJd>XNA*UW_^K3 z+IJQ%*2Y{slDcznu1n0xB;0v8EnEPS_WcGId6D(SAgQ|m7xRF*SR{29;p`Wfb0Vp` z1gFNhM#9+%=UAT+N&D<@=CjNtBdNOuC&#!#!rg|`Sy`VRN&D`=#ilYB zkEHG{oGXPnnS{Fsr^sZ^6G{8h;4BzVmT>8ChAh@+Lef45oXW|Z8cE%KI2*?867B(9 z_+!==fuwyIaM~2+0+7@_go`}GTnv)BM{thQ%sG+NWx{EmFz1J)E=%mgxKqM8#rey| z{2^)IV>s}25PvLaWSdSh_`*Psqxy&h$)IEbUW85O) za^V79tj~a?eJ(i9Jm!>0>hj={F>aM`&*6;ES)U0>`|{yb`OK-2)V+YSVcag^3gE(D zu)atn?JI=yD_|}FNnH_~3*)jv=BX1ttRfumjKz4ogewK7D`Gu?NZMB#P9by8fcvII zQdb5p8RJ$7R~F7#iuIY0w67eTsx)&NBz5KC>=<`QxC(F)WmsP%lJ?2r{K_(?MN(H0 z&WZ8jU;gS|Kh#x%i!I0c;*r!)B-=2S@P6mV9I+az3dI8!Co7mlQTufeG+Gp9jPR|C$Dai@e6es6p6zXw!deKAPd zR|`&CmAL>Ub+zGK7?)LJp1L}4u^5k+aGr2F57whc(!ST>;seVTKQGNl>fV6Uf6QDU zlDfKZas`{N0!f|l`|gGHXU4ci!o3L>Se^A5khHHpoabxIDUsB9!6jqdD&drH#u}{8 zgrt29;8Zo4QzNNs2xr5%UBWej3$MlcB9OGNF`TA0bACwbn!q_Q?v!v%;Uep>z8EC! zYX+zFWG(~lZ#*-ynYdFIs)@MZ0zBX{m$;_#c)U}1P zV%#R--hnesVSV99+9zB~M0lR7r!uEOQr8~Nj&X;C>j0OG^=p+_&l)&meRhACkaW5o z;Z$DCsgcxmg0o@VF5x=Eg)3QK1d{f3fzvc#&JRhQ51a$zP6^i)F0vu(i$&5tU$}rq z%;}KS`N7E=Gbcw<*9|Tn<7Nr>E}Xsz>kCBEzV2{}rp$RFsp|n}!FaNSdk@agjP)6j zw67;zL=bzNMk1-}1?OjAPK%^Y3+KeROTxVm7c-6Z#Ug3n2XFz?nbRSu>kTKH!JHgP zop7BQ;dvR4akGT$1E>F#^#vknUtc(-imhiAk~)7lE5>aSE&$Hdob`nxXUzbSU45Oje}Ej+;}(*$4!9qmyT zO~-Mc!09<|5?mn1O@@o$xG8Y494A~it$2INaY1lqjx)enIBptTGRIAavvS-FI2*@( z3TNlInQ#t{n+50OxY=+njx)k3BK~}P5De$ZadY6595)wE#c?5UYK{wq({S88I6saH zgVS=H2`+%+=ELbYZULO0;}*gNa@=Qd29En2&d70Jz(sJ}BDhG73x|v0xW#a>9Jd56 zp5vCnnK|xDI19%ugG=VP<#1MxTLEX|xCl5q$9)Co;JB~hoE*0j&c$)7;ACI@`S#@- zI623yhEs6d8aPjmi-c2h+*&vl$E|}?bKH734aaSO^W(UUa9WOwf(zidXgD3m#lYz~ zZWCM}$9)TD;JEMLj2!npoQdN$!`V3Q2RJ*&ZGm%eTr8ZE-NIBpx9?CU>&zHNt- zbKH+`3Xb~;&XePIz|r4dR|{{H{H*M{T5)bC+!QzuPrS|wb@6bHA?$sv6G`1pxJVsy zu}JE6!O`miP`4Y7Uf+PapW)P)FF#~$ws0){fDLsGXF&Jb0u zcuGbjb!NEOJC!g;EJ`|o?1PgHXVX<6>)>yR%dsEMjPYa%cL2^Xg7uk@v@ZcpHIg|E zlDdO%c8ohDTp}F3js)#Xg0tOwdA*c$0S|CH)0fXF-Ypf z`%0i}&v#EN|c#wj2f|b-%)CM>7|I zr0y`B3*&M<^VA)Ii^sS{!X1U9*TbNF$KdF7E~q;WN4G<7koA%b=lKzvedwHa#<6iVlDZT)8^-MtE)_0(JnM@< z(mpGkV*+zdBz0%uA}2BzgQV^poCZC95`E|4==COO-*0gAx*616fTP#Lpzb0Zy?zCC zm*D92E2y)<(d$=GcNvaezk<3eaP;~W)Ln(6*RP=N8XUcT1$Ecq==Ce8y8%b9UqRhX zIC}jB>g;gz`W4jOf}_{3pzgNV7tQv+DkODx#6FDMB-~v%Qw-}1N7BA~aOzFWX^_;V z!PznHkZ|d65#O@DNF?oZ!1;a0oEAyleK;q^T@vmAT+H{ZFBVDrGT;I>Gp9pR_Yh9@ z19NgDb&ufUF>aP{nQ;0otS=Br`?BB^vCMfQsdK_vFrF;o9>W>7vOXh{_C0}9Zevb` zq%IrIigBBSdkSaT&icZUv@Zuv{UdW4Bz4c=>=<`QxLml1pIBcclJ>da{B|&>MN*ds z=ft>6!aawJiDP}SNZOYV7ZA^!4oTe$IN46-nt~{I_;|>W|0WQMK`XZ6EPY&m|k2x)px{7d4jJqUUCAgUVtS=Tx`zpf)9AHj| zq^=5_EP**WlDevJ@fbHtxN30vgRCzQN&7tD6p74vBB@ir(f1#9$?NRuVjnp!K;3I_ z=1J^zq6JA^4Y*jbQb+zDhpRhhXlDgV(^m=mC)qzt^VSOqjb)Il? zET=+Zd0&U4*QcX>Z@^hGJ)6XI>%v)Zzgm*m{Y?An!I`GA<%mGm!~JXcaP2|iTk-gT zCWtvLk~;DDffM601M}30#}8sLZkBN3@dMojHvf8L$v$BU;_(A|-NTYjSPt>{fw^U6 z;g7%HN;+ZFEFM3Qi^mO+Z{lynG=KT{LBIgEoO&d6;_(B^bmo$g)QQIr0xfKL4M^(5 z;|I=GFE2+)CoG3}{J`)jo3062AIqU^|MGIEW-_NiQYS8l9perOCoTuQt{d$Wmm^;N z@^X}PC6^;&7Ms5qq!*UM>GSe(MD}AY7D=7B9NO8;1t6&tmxEq+jyiEU3~gRsj*_nA za=0)(xsgp@iRFmzz?MUNzQtqQBH_g6TVOEjF(PT7_&o_Gjn?EPAfl%VU=^MR#|Ee&qk#OSus|jI!en{FU z-oKuQ*zzio)QR`6t^Lc(QPP#Xf9d|RAzO^!k1M|^ZtuzdlDhhEj!?E7P9$|+aFO$v zi$PMSgwuvG7l5R$0i1Cmb0#Ep4dGnqk(rpMt`S@;#^WViV>sP>)}u$#z9w+;1y}y{;g2Z^6;)3R2esj$Zebx|VSCdal&H z4M(q!NL?#9dc8{Oyy4<;ol5a~mDH)>=yeaNYYj)QLrGm5IC>pQ>e|BDalbl{jmY!z z9k__k+5H=Xq^=#D_6z28Nb1_d(d&m&*8z@RCzCo29K9YSbsgd8cBnB~-cE4zI68Hm z;be>0^2(9ab%Be=xJAPGzy*f0J|mL$b%oO}VcTc%`li(R!qMxSQs*Z+vfXV$)=M`y z zm)UwYAxk=;K?|3R{iGFH(h0NrKAhtHm-m+?U1_)b04`t|n?F6WnNZ@sIUB#c-sp21%WGziE3jr$bUF-fwpFI3)VS`;A_onD&YHo3hW#%UjZwyx-{dr8$;Y{CCOT z;^RQh2E_9PsQVBu;%m0Nkx1(L!1=9YPK%_jFPszOE(zxk7qg1>#Ug2609^8F=B!BS z`oS63FlRzi*B>t68|DI$)D3`BV0uc4=?;WbMY28(lJ*S}=kFUl?vd0DhNIULrfvuv zy`C_2Iyid0Uh0Oz(dz_LHw=zmpP0JgaP<1W)Qy0n*Bz#AB%B@V*MWQsk9&n|Ws>mi zD7c8V?EZ*BQa4(hzwzw;&?2eR!^KQsE*44M7&v+zW9mMFQype~Y9w`I;cOVUOSo}x z;YV0s1d{fRhtnKo&JRi51ULuAof2*$T;ws<7lWjIfpFU6%mpB+`xwrJaal6+)O`XM zi}82~HwlhzCtKk0B5o%q!_n7a)J=iYu49j@03>y|?13zB19Ju>bwTK}Ze-4eqz;!e zkkQ{WMjb9^Aah~9Wb0Wkb-0{?EEeMy35UxR$UHG$Dv9~SualRVqS*Apk#xHFHSscf zeRk^bYvN_}`rXvc#`>ez<)#k5{#{0|Z%-Y5ZMuwJmzz5L+H@Jc4moxBb?7pBJ#gyq z>(FKNI^@*h*P+YQ(QG~YAzR|{Y$|HHU-(u$ugZaOmxL2vuf@c$zE~vf6JM{<>yT3? zzFsqYQWWS?)P=#(-=|NV2`=_W))$YY zZa$puC+74>>K4GscQB_wQnwJ!jPYa%_Zb|$&Kd3d9FAV+hq^D|==BJwTLee1GeBK9 z9KFsDb&KKXb=as|0;e^x^%sDoZYi7#mE|K5-xB7TMh$~x>ay`;R)rw>62Je_YIuf z!p7yYvc;ad)o}3`w@A1(aDk;*Phgop?u&#|lx5?J@_+2s!kIB{mjAI^2dA&d#`Tr| z*sX_?S7GA{BwgMO;&d@?k#HN~0;{q<1CsVd!CCRRvq?PuqTxKNu|6e|_Qk-Nb{5}V zvT!7Io8Z*DnDawY_br?Q<4y_p9bDvY))#}Mec!`re`YQKN!@0+WGtsuVtIdnbD>A} z3+ttQTi}cyZ2g&#)WyQ76wIlS)NO^cVcag^w!wv0XMGV!+P57}^BQx0Na}usb70&l z;eLXPtik$XkhE_HoVF%&0Z8iN;9MA&)ncByc(_=M$4j`KaJt&8M~|d^yWr$?m{TCB z+YM*NxJ$zQ3>V|c`s_&B_X}M3>&zu1soMi*c!N1ZLzdL-h4XC0#ywm7u`|P2FrF;o z_KDMN$$E@PI^F$n%D0(QA*nk6XT`Wp!X?0&TCu)xB<(v07mMd(yu|Y|5l**G z1d_T_qO+h6N!@AD9fCtrcLvU!h6AIF%St-oeM$s zg5%D^d2-xua7vE50H@-(i*Ra=y9B4@I2)Xv<1WJma@-X-1IJy3GjiNDI1|TRhYRPp z8*mXEcM~p>2#dF*pI5WrHg|l+pJvbZ3rNP-bE*;LnaSk{q$K8i> zaohtqnd8s52N`g3j(Z5F;J8O{o*b76r{uUSI2Fe^;nW=W7*4}+Pv8PLE*nnAaZlm& z9G3$Z$Z^l$3>=pWXXH2+oQdP|;KDiXIa~zC<-IXSKZoQvax!zeSB*)c*i{-dC;o>>2KAf53yx=Sxr-V!9xCU@mjuQ@N z2U`le2iUkK|Bsy?oE_s%3D*rS@&)UOEd1lXcj5es*tlOQcD@RozwU4j zj62HwvFiaBQI?HIl>cM*9-O8E8}~!f>Gl+-i*cuf>jf7nXMHh9+NXt6d$Y%%21(uf zaN3HjF91p12XJ=uI3)Ud!$qjsbYqaT??Z9At(glzQr8F0g>hLM=Beuo7mM+D3Fj~N zVL4@${`h_ifYY^Q)6*mAbo+_ZMNhm$Uw^T$GMkxo6u4!r3rxmvBLF;T>6D1d{d{;540>^FvZM4bFjar-Yj>y3VZ6iKKlqMArolN!_QS z^MONBH&b+7;gHnL5}hv`lDgS&inNNwuXDsNC7tl^QARio#|6V_IZk+4B|QFg95)wE z&v7AefgBeKXW+Pba7K;`gEMiQ2`-%D=EKEv+yb~{j#~(4<+#t_Y#jGFoSoyofOBx% zA~+|183s6NVss0TMHM#aqHkBIc_~%499JNGjrTV zI19%`!6kECG@O;=V&H5Xw+YV9ao@r@IPN<*C&zsc=i<1{a5BfAZ(n|ZlXKh_I0eVW z!g+GsRyZZcZG%&B+;%uM$NdPW;kcjR{5Wn0oR;I_-~u=<9!|$`JK^*kw+k+i<95Rt zIPPaSBgg#$XX3a$aN!)c7cPS1%y5w$w+}9cMp@W9$|ekNa}2Gj>F73 zkB#B{I0h5yRtF9J!YdmS#KANwW}N!<-Nzy9nS zEt0yMa88W7B%B>CW&ryp7D@YV!37Lt-{_Fk-G-A5V&C{7sk;N`z_?Sw-4*+evGpfM z(!P6e@fbHtxHLHZan=`zqG!-6ixTqsJ=I zXM;1IVAC}rX`i@%lMiEmL4l-B+`pMIZjo@}{!Mj~O;?Sied7L&e$JITasL)LoXwvB zNu9WV^BlpP5=ou7e@n)=Rl3RW{2P(fiTgL@80J(+>cst< z72`GuC+^=&AF;l0B<&OTZ@LaI?~h8llKZznjuZE9MvfErZzhft_iy1GC+^=OI8NNZ zMRJ_De~aNbasL*}apL|hp5w&*o0;Rp{hNj3#Qj?`$BFwlE60iZHyg)2XAe7>o#Vv) zn}g$CpwG#1Qu{ZYjwf@TbQOOBun>LpbJo-qiEb?WMuVhI#{M~6Mn7jw9Zo}%(a&vD zR~mhGOwS=PU7XG&ix|h|FA_=na9Wd$e!iQ!a+t2)c-E&yQis!{WKN8`BpgnAlEqA5 zeX&T|htr;90TY?iA*ri~`I7}QCr46;({^O8ZZB`wN;+Y?hSPRrvUmU7;dC6Coa1mh zj!eOEIL$`p$#FQ%MyB>=%d0`+G)0;99J@Z9aQcjJJkgGEhlCSOpAlSyn)O8@X`gU< zjNtrQGp9vTC!8K5I48zk5>7ZRMsP80SYIrX_6euQ2ri&4b2=n-wc%v%FegV+R|hU0 z<7OmI-~4_3dBW-2vA#egb+5xI+B4^gr0xwk3&xWrTwORr2i9jq(!P3dN)2-=Bz3}R zMZ)^CV%&zr>3zR1uW)*i;7lD^UpSIFFF184<}^s^lyG*8J0x5KxQNcIFA|B<3V)x! zhH!penA0MuYXs-SxJ$w{hKuoGeX&T|*90!0D|0%ec)Ftad=pN$64sy0mpM6-I^lFH z!Np_TEa8OHtpum{V|{^0+9#YwB{)Sl<~)(qy#;5%crp^FQU1Q3TfiCKWqn2@buHnP z-I-G%se2pFigBBSYXxWO!TQ3HI4$${`SXTTzsH;gNu3(bj&X;CYYi9Cll4U+XA{mo-E-y!x{RpJ|mL$b%9g%Wln{p&Iitlahrte3P(S8(g(-+ z*;HgQ;W&uP{d}Y6*HI@N2N4|o+zEBR!O8IkOZ?mkb;5BFp)a;On;|ojx{Gk}AGjCD zeKRAe6OMxjeXe)0fD(N+I4xWNlJ*J5L4-biZ#LaPBz0Hd6dy9@iKOl-Tx2&ke=$hv zuE9C{m~$ejyAEf;^pYi}djrnUhs~c6N&9ZXDf=>~LQ-dkvtryP;cmg1{8?W(lJ?z( zQwK0-KvH)H&a)qLN+fl6;q*P&`U^x-cMneS9&?^Z>eApW7*CdP>2S%IFRR4-IpB=_ z*>aeWbh^TE5aIEs8o-n2!r3`aI1VE8IXLbaoRi~( z;~+wxi{o5yvgUvOI1rA52z_#ndk&}IIN>;m(C5ijX_)rDK|X$G zn|26u0Z8h^{hJHpG9B~OiTk%$jK@njasQ?p%6jxj+9&ScMiZ%&N6B%HW^ z)4b2tvmcW7iTgJP#+?#Q+`mPB!1`j4v`^f>#SCZ55sRcw+`k2kU`~gmPTarAMlvTy zQYY@;;xTTPaN_<=*8b(~TFJhW`!@y0iTgJt$BFwl6~~GDH#NtJ`!@~8iTgJ{juZE9 zT8#&^e~aKaasL*{ zaZ>v?fA%z$d13!n=-$zFa6ho==U1sKg42&;&$mD%b;5Cep^tukl{(=#zu>euFe82* zmO9}$zu*+3*>pXT)CtG=1!uu{vV;?k^9zoCZkF~5$N2?k(6i|pk<b~ia)Qx}>H%M}9n5Yw8jtYJ87&jvallc>N zw}R7S!xf06ZZw<%8!k^Ib$U1p#*-!77&rqqa7HBU6LwR={3)@4Qz5Anc2k10V%#R- z#=)7eAq+>-zVUEsYzQ?->L$S1G47CX6X7DT!Hh)GzCbuXY%sM*>OO{ZV%#O+K7otD zhBX#R`zFB!V8g0IQa2e+h7GG6N!=7U-N%zZo@!L~c(+&a!}|9x;^t_f2m6u2>b~7hKpnO%yk3?(cVd zuw=u$Nk4wxBu`O zaKBu8Y5Vm(wo_eRW80|+MUvi!fej$bYF z|DH9l-n}ToYc6pcCTy#O*J36A6Moj&eSU$>ov&{H-Zem9f0cQYZD(STE~I~b_VXW* zg~-8P?B_os%PQHQM<912C;G9U|9h9_Rr{+o@b6s%8{PHddqnZ|mhfk~O%r}5oa^=K z_U~N-bo*7g0o%@$eRZ7v-@D>pwf{f4288VrE@A!u2wXe2Y z|K5A>Rr`N(4Qy~vOgwi&++LMD_9c#OdUamKf8=fvkKY?hJ+dCCYEoAz=a=1F^}0`^ z*5$97%JnSWylTPF=^MIi*&iNsCN6z-Zj-sODbp^jo9KP>cxT^j59a>7HQIOXN?EDW zW#tN`pNad8tC^wn>9em1hYN~t%I0kQwhLMDE%xV+ko%FZ;oku!BTIeoYP%?|!&mvg zcMW{)UNm7lDQ*{q*LmWy6ZX>y22*1bfJA`Rg1DpS-%SX$A$Df-SkjDze<$@uJ>+L zczxuNbx*d>US{6q+bH`&N|5h#qyJyq_6rl4f3WnM-QKGB8JmK8{>#LxmD6e$jV^cn zWVx1^zx7zVA)&*tYi);i7;-7U{o~if8RPF8ftA}{oBjR z-d-!4F=)zzR>9{_Zt!`1V%+-aTmRzT$~|>UulZF6_mt-fy@#qUP}elwTC$-2hvUlj z)Hm*FDBH_WvzPF-#@p}DRR$lN{(Rj#o5OB??!EcZ{;6^Izs_2;E8~2NupbKs&wA9m z{)qgzAFmv5m6_Eu$?Hzu{hGP{-}yc_&Z~dBaLUv4j!|(%>5c!wE|jg3xs_j(T2yJ! z(cp|P9y-3cXvs>gO7@F6zuS~^adXlV3a?%}zW%RwmaSc`)s5Yy8Z46+vVK2gbjb=zKOAvd9Q(dtXNyKwBI|gUk@AF&NH!w zZh6@ivreoZoc8d9X72S>y_@{yHCwi8rO7#^AA3AP1%3_aew$7 zdDEAAZDDQNm;Tp#TSv+-mgsG$^<00Z)O=%8%ZNei_xHZ>bDN3&$1Z35FygJhyjfH_ z`{~QvGRhZ~Si2u-j*Th0Clh+V-*#|+JL{6!zgfY^<3+yf%wcrd{pM2(z@)eUH1Jr4Q?!Dp=FMQsqcfTGs}79@pP{`ePgaXB%gSo%}nG`|{=L zD`&{x{k>P#?cudwFT6RZxL2!nSx5GW9`t0w!-v^>|L(K2Z)e$kvDdxHw;ZXHaHQyx z#S+j;KW(#i*{+Vkao_Bp)2459hw)GT&NjbX`@*`ibtRgWwG-_{-eVm9RUGuR)5@9o zJD#5K|LeQK7d13HD#>~m_quno?tMx4vf<{i^zdQ2gc`PM-R<4o57SATcSL=8KE2P{ zguk)Ha_>#*O_k*f&Dcx1pRFqk*8Br|sf3gA2_hEh-VuY%~-j9&*-3aY2QB`d3?p4x1V+Yv?x=zy3@=_g_4NCSBH#{5nHZpPI+vYSJWZwohSKwx`ti8ko|L9`hZ4B^&e#4OB(!F-}Wk<-le_6 zR#$u|%$o3|bw3Fj)=o+Lw9Tg`56^ndKiR+k!5Q<7jR((NK2!ZKm-^Yu%r7%-uq;As zmAjv^^^O;;8SwH`cHF~<`G5NaZ>5xO~EGj{a&Sv#q?1~ z&oB13DV4T;-H6|sWi6|n`{Bhi7jhE&{GDf2h52P-UXw)$vx{1T=dq89w#MxE(3H2W zX`P%CbH6+4vvTH)nFoVv{Ph>xN@q8_%>A1q{9h`57QL$aKT(`GSXLyiVE4m8+1goD zZbPMi;AQaC_hj)UirufT>lckK^A8l)e@8Z^xY&Ky$;()5#)rN;uN*2p*#EuhTf45^ zJLlT_*I(>Eo4YLfZ|q-N8DuFnWv>afhulwD_xmYXxjA-gbeR>c6+N<~KQO%QPy5>}ymi66`U#?#AT+=e?h<>%#rQkwca@_jV7bCVm z@|hW2I3hXdYDi(isqC$3I};NQTnLR$-5b{IFTbjA?X^@Mv#9+y!pyahxwR#0b zlaBtBV7aH&esK8HK{Ez#*pi(YI`Y}$hJRMc6qS@9p}T^lRA zA@;Ht+7o8@DWfW?w|)}W>c71!{A!o{^WxUuUuQ2WSo06;3cGERw|}YE7WQuYQvdoc zHtv}`twgW;b$0Z!f8cfY=zH=tC04F`&;3!+=6~RIw#RRBt=Q|{GsNgeJR1@)q^Tuj z(8{IH?;bpRJL<&#efw|hUA*RRY+sZH*(XP33x(d|x9{ccTf&V_OL@)mUcwvqF*SSX ztMq#OLz4yF`aey(KleoMD@jwX%&Q*#OZ12SA1CxK@O*Ia=acD|K7Wyrk`=c!rO= z-(Sk77OY|W*?;Y&eAHQaf2>XS8~JXx&xIW>TfI~*{j*vf%*!G>`?Q!kvoL4P`oF#^ zZ8eO2E%GwOop=wQ>ASe@TmSld_=C0NyTw}JJ$%O>^1V0x>+iOwl$DRe8es3X8|Qmh z{@34a*UOgIda3qVboiNn;NibiD`!V@e&4#RDX99dzkcuBK|ZU*>^5|MX({Yo`pKA5 zA8xyHU|Zwa>|Jrr_ag@Wjn|zEE#(*VP&9j~_o46WS7rZtulFUz%@VyIS-(ssrosJNTK$i`$dMLd_cC>;-=#}4eR-l`#4_No@0cs zd)}|sq}lv&xiz!CU->9?;)yw%UtD~g;R+9}b8uLvxK`DFdop!oYV@)f`?scgT%A++ z$=$uzyM!(AnAa&IAv$~7*3&nay_l!kykgUa)OI(A{^et1mL&Oyjo)sPEX3Gcp6HBje)F8O)z#;7>9u4l4b>q@_FYktb`L&Di+O>35hwSI#;^G%B>S z!VAH_zoDM8S5{l7{r#;$(Z`-1|G=w(YgJ^&O7t2$dyX%v_)olqUz#tQUA!LHJ33`+ zL+PT?|HMo9&JSd&mx`T5gEssVhsd}2vZJs&**T-cCE8{WvXc z-jBQ2OglaD{@Bf3-X0KkEy;Ux*pb6s-j17efA6}BH-nBBOh31`X!2j)@;QS_dwtlq z{&ywrn_cdIz3?sfdM8VTD$8rO8#yv(!icPsQTH>`Y z=F*Um&}oSYhQtR)GM3`+=G|CZIHu_MzM$aHqnGNWXY4nIK7M{X=V;!8W+{6&#N|Ib z>NVr$6Jbt9jouJ9$B8lF5Bbk7EPGsPTu9EmV|gc6u)g32EfN!r?x~zfojoVyjcSR} z`KzIyTQms!*~_w68`+rvF>%rWG|))?X+ zoZm7r^w`yjBcj(AjSc?kiO=HBLxLCk&KtEZ&D?xmzvoFm6;9rkdM5jJ=It%sDZ_K_ zY^*%hdqXp0Zt1L1_Un}s@|R!D9d#*jO?E->Fl}((Q_(w;vkm3K5}Jm6?OOTV_j8Yg zlu1RQqX%p$jGkQ>R#Yeb@X*wZ3*Y7KyW90p=Q`K+jq`S9mdhM5eX8AOjWepZdB)aD zkM=cAEVx!XH{`;LE%z#fok?%#F}2lm)9hBp^abmCXMfu2cIP??GY*~EoOfpPsEJ2c z_$K*>&bsb#ug>NouLlRFhV7{p=DPRoN2&cD9CyRH^Jfc_xN*>OpbnesM_2clXPEOY z-Fr5yenC*w%I7y-E3OyKS$k^#we-#fUrjt8lD;T!RPu`A3A+DsO1a`iy*4Vc^HlGX zS35kOcr>W*!mOD=KiT&l_WqzKV{=i)lcWAyzSvYSV$Ix9Q=*%3+|U1MsKg!I?k3!HMty}-}HhF_a8Jv(}J*2s}BGFPUnf`zG# zaDVAgr{D-%%%dTX-G5v&XUe(*(Q~h#pPsaGLaQfscjrF$7x#);%vQ|OJoj{V6y;ob zr^D=-2a5`8U-p^$O;?=7Ae_@H+{BZkzG8or37>fvZfjTw=N_zX9XOz0%`bwcT&S~H z*S-2@0~ai?zNYvou3BOP`J11G+q!jI&~rpvl|~ottU03bLhGSJ3tq3;>HCG-%kI-n ztE9SZZSZcrdY?T|h0mXpdLY+3Z)ZxYbiW&+Ss7u4H?q^)Z*9G4)WcI#ci1ogRQJG_ zi?8jkRmpcwm&CTwpO!wm;`2IBFJ6Cmr0AZ9*VD0|dqnO0wL+?Hr+=5EGkKjeYCr6y zojGjBF0EcW`#=rkC~AqG#Eiub| zszpD4Bmc7(EX_G|?6)ZOq7_x^b=53dcIn4O{@E>NxT=(1cy^PSZh?)rwkfiugkptg;a&zCs>nl>mms#ZBCA&rb7oTj+!j5Nlm9=fxLt4Oy}mE@>7TIN?JAj%`YC!-MRkR z&*z(NesE#P`CdH>(sDzdu55dF?X=PHBkn#-eY9>*^4hGattU=>*n3!HoLB3KJ0_WD zZb%ySQObzW(;ksG-#ZpMVP)2au+^n2sbWlj`Abo4W9ipgSGT*LiL2i3UDo|fj59xY zYeD&iW5!i&Sbky50{drG%a=P`so@vzy(rc5PVMa{CcJLdKdqCzz-#iQK%2gGrCAj& zcAjx_NN$zB=amT+GH+a-SZ!ivXv(!|t$Ivvw|exCx5L_xtk!u*PIlRz$94sNS@uTv zvJbAEve${r8{Y0o?xyJAp&L6rsSq-Mviju3?BN?y?`3OtC*It1s{F!hL088w>^5r8 zytJ7;57#QF+0p&~jG|h;+drFED`R)k$64*OrtGRQ>rBYAB^&ZmuHVahv^2thRH>}Q zp`*(AUn+PvL>*SIOQ&B#Uh6V%Pe`SNj#;B)6FZ%^|1|bgBj?>iPlh_5eebIXo%!I+ zhubaDA3RC79H_7-rL=L*l)Q$|7%IkM|7=LJ%Vvcc1g|F(Dukkb>{^S=v8rzr(U;TP}n5|m1 zg-`99-mR7sn>$9Cm|IlPb#syTiH|SUv0dw0`0PwpcBuD>u%TbO?mXD!T-xjh+52sb z>i4(h1@$Vl7metoiHh#5j+*H4WqQF$V^k-v4Kk8x5EVHGowWU|Gx3S{-n-4(OFp+AzMpbz z_B4-^_cz`4QM>=blUw)K-Sc>{f8sZvObcDPG3<8w`1*Z4PVNhPXOYkL#AY3z{?Kvm z!81Q>>X7#MT)l)rkSJ}F#~;&pA&-NX00b@U0W=2P!k z{p2yJ+p;QdS#-r2=qQ!);+moDwIajwvmwvt&mNw3bY{}EsEU^kJ|6V&RF`_A@3}sy zme?-0$)bX~iN5u2Zoa=|q4$Qow&#<|kJcovTjAYhhIdE{@2+)s1ZAY!)&y%3Pp&VV zbGBYcMrQA7`Ljd9G>N}-90O@6CKhJg9c<2IGnad<^3CV#ci-wdI#H3|#^d;qj*06Q z1|1GPF{UUe)IFgs8A}hesmE-kr#;pD+>+;VXwkK@-uG7sU zKE;pJr!gI$I+x`7RhyfV7iE}}JazOh*$cA{zgTwlS@6j&1%X`)EOVn4dZ%x=mo#>C z+AY^x$A`>tPTA+(@nO;KERUPnIoYp;1Vv?kk+nE3?M`JcSC_;!Me*6^9#qV4zaW2u zFk_D|)C;*P%u|r7&=9hw@4;F-#D~x5s9m}9-|u+h{p`()o=)l9Yf4D%5-Y8)unzX! zp8MPnu^e?1P$36JW%!b7yhyip78Y|a)Q z1tsswpxirM4=?Ibc-|QvTIzU4c(5k)tu6j%Hl;SnZCK&vlv_{Nrhj&+dbaW7YNJk` zxa8ArOaAwHPdYtU?RMWeldqM@Gq~?H5BI&e<@%a>&wi^n$Mw;Jt=5GpxmzC2P%K}Q zcHTpH@*HUPaKvxVn-tI1lOLjMxbKnNYisI->=o{j%Og8`{kk^mW=>Ys5dV;NUZY*> zQt!-tG_!M^ncJRi?2_9t+Ys{2o$Vc6QPW0Gw}oBJU36#eorusCWsmos;q2dcUQPpF zU-O!@ryI^6{%Y>5R>S7`X3h`M_^7s2IJ0Tda^YUA|IFvsQ^T0tRREJ z@niR$SRwOs@AS17TI}=|CoxM{imZJ1eUewdqI>r3n>W08Go?Z1=8lVtuGaABvg_mY zCO5X6Dq3B2)gS)vT3DH)GU}rV!YO5ITTiOht>)*wmc3D~L3s03bv_(FW=r!vt>v`` z4;xx;@xjj*EQ@HkXHWCV{dGE>O4qwm^|?n+-mchwb)l@aBJ0fQHP?L-?(KKJb$Pz| z@!Z*2KIx|?-&p#?z>AsNcQ3lx(rde=)%Gm|hW(t;ZDhie%};8Sf3Y;@TxQPmQ#qCA z<+>&p-n#p+a7EuUX{R@PUz&XL^tspXr_b9FnzO$0X5X13)@++sn36L(G_=!zOr=-m zqLo{wKX1{j!@YHN3wGCge(~QG!cZsREV@|^z`P$I-Q=ZP+Xn5*fPcuc6f7Iu< z-J_i+vcHXfk+IX?eP3qY58v+nAm5zXDCa?)s42=fLfh9%YW~Rh{;;BpXS@mwk35F> z7%q5Ct8@0^`#znPp6uByaQ1qj_f|ubnc~YKJzx_Epb`*-5s#ML&1p(T@Q|aax1uB->E0t zb$A{)RalNQ&9-G_+`QCm{ibJ$50mbvStc2BDi29AT&VH5tIx8+r9(nJzU|sQ{n>>X z^G^CNozN=1Lf5?Wp^3>=ckDaVu7ml(VslzgpMCeTqSl4pi0$-vbEn7UMkh^4GG4yD z&tCAxj?{9$uZi_d-`U^w!Q=Jk&R?3c{O0&Ydt#4!hunGZ@lx*>zh0;_BJ|LOAxCz9 zJSqR%`lEg8ADEM0um8;3y=UI8oS3saW9jRLoN_}(yVuj>$?MkMf4=qjnzo~zn+nn| zEb0;**2BF%XJ1-UH>&cDiMKXH-#&RGZqmWDDwj6gTG#!^?y8g1KMY-&nlj{4S+6T$ zfj+^bo^09^**nU4aN>LI_I=fC#EgZL+^gdC$CIyI+1Jm1>h{?q4u;OdvP93ze17|d z<-g`{sxW_ z+WvN9&56TPt0k0ouT%Gm^{Jk9{=6eBe#+Maew*mHmN+NHBen93j=s*E+$J4TDi*KP zwDN9t=~`^Fy=U6_pXnMjaHFgLM%RL%maD_+rthZmX88>(-`hnScPbtWoRIjr6xWH}1PA;QR08bFS<1 zZinph+R~}z-FEHY^EuI~&o>jU&QI>*c?4Kb~>w{S96dr?on-NeVr2aK)o7kGi~h=T5@KtT*S~8`N8!!hdCOKGmq^2e%nt^*zI;}VVR@1l$lEgERqkc zS8mA1%Fxu%)Rl8n4*P`E`gPyZ3g4!6jLRx0n3pxT>x>$`Ph{Bd-^=rw=X+_s>(Svg zn}0l>m43h@v&+877vCTF|0=cmsHD#}oT;&8%gPm-5!Ci3M>ENs0V-J9JFPWcwP|J( z``9Rbr!0=)C-^a==}9Y{ABnPRo0*v_W{RSSro_Y_Z5GUdeE5Zef&zjdA1}83@&5Vl zocmnQANO2laxnSE>w*}Y;7G^?nSu`LFe79Qy) zUPUS%(}N^vQ3pLrHR`3l5HQVm?^zV@quS~>LBOkhQL~kDBs50Mg{9&>#;h zAbj4PN*2Q=*hg(k7r%Y9K#Y)(70bf`rPz=2dvL1x_QA!}`sF@EUd=rp`a>)=SEoAlXG8?!bPsp6uT32<~xMUnY|fk+OaHZ9HB_DiU# zvMFruD2S+aT@rfYVNY^=7kmyn(1kggU;ujxzLE@JD{qlz1ZN%+Q*a=}Rbqm}XDg>Z zToR%HU>d6eU=Q+SA-r1aY=087A**%-WVfcUI3=aTxoIoogdA{ka)R`*%%0^Ar)SoE zz)qp%zNW}=@*hnEybQE98KAiYOs)yHo<)I)>N`?%f8E6w;V}96*3iLjPs7;&A@2;o zwiHbar2na1r1x9doi|IIPQfWgkn|Z&tMnuR!5V85oT5H6SxdT)4|s$Rm~Pe$>7Qf2 zr|?BDLy-0z8Xh42bUhqT6x_^KQyKA-Ytq*mOzSn>556{XL!27usOc1#thcn35(UN7 zQBKQ^9__deTVLHpvB{%d=FM%*7;mm*MZ%_MvUPzZ`dIi?GsH$S_s*tkB=<>a1m(I_ zh?|)FvVJl-X5&IPsUMOFbAf}1%7fj+{7?`IO~CfoM_#We%D7d8M%T0+4Oc!O)|?h_ z=5ueOkw)1h(ZwWD2;X!9AJbL6)+9-#F%#kX>smU0#|vuS6->^#9zWb%k^WtMa2udx zZ!}#{C;1guFqkH)lT{8yE`@cN8V5&V>I*PF4@O>2sp(%zOD3!o|20HYx%m^-rqtjv zocco2^lF>ab1fMSZL)yrbL@qQVQaxQWes!b6RlM-sl`q@C`?mL2y8_SLPiVG43$Q)qcV#~3-ZynO8=rGq0sV^OF1{nhbb zCJ0gF7BAe7lRGT?qC^$t%$2z@*fhf&s4p0iNtnmA5;^de#tAKhyx|a@bF}1E+z#V6 zCg0b@A#56*V7)CyES-n2g*+C2bq7Cws#HH>KGp)K7Yf+q82O0Tvgbg|_MegAlKReV?lzesP`mJtzuA$tTx{3-*Z`>)YIC-b&quv3|Ax^^D zZC`_FA)gW!DW7bZ!Dt&0<)r7MJAmR3c%(E92|yq&)tyMFKvLBpgn=n(fgWqB)5gwz zT=Nk3t7(#Z`TSSV=%!y|?W!#So=qlGb%l&H$NXvk zS1VO)V>F|GX5FSCQ`T_BuZVIf?Iosss=InXkiiLF)7V;;bdz8Sxtqu7?zx}nNah0U z;L0$0rr%O8#xq0xZaokDX%fE)R^dh$WHm_-D3_~W3dn-kF$*_VY=3ZZ3xqw6=2Fhs z=1f3)u8uiBWh+KXf80KPbz;5=tQr1zOrMBt(SdPEELfBpZzkTQ^>l(ia*4s^&3kB; z{yk&P5hB8NqMbSX-T#Ai5IzEY;wGyl)UJ%DY8$p{p)F=>3EBx}2(w6iS zg%dj-{LQ9krELr>iy~N5vVgbxXVsm5pU0TG?7l$0=>KM!?6S34g=Z@p(`?;Q1A?q} zLs$n1nxPFF0>Q+@xR6b(TD{_GrQFc=(`(st$U{+My7n-0b@MKY=DQJ*<>;k%VsNB3 zM285Rp@zx!ki&et**-p~h80yo4pb%Vclh&pri~DXPP-otaYWk)tBwpcEe375=JsAe z^v?fhS*C1R2A$dkI_Vt$%9a?{LvMdbb-2H}abwd7f6n`(V7dDn=ZZeuxp`|as?Q_Y zZ}Zl$HM>4@E9j4VseAi_g061j8$?Fl+Mdn-L9YxWgYe(`n%}`_{IM_1CS%~(v8E?V z5|q^`KH6lWa!rC}Y`InZl;SPEH-EmjdAFJKA<3T7Qm1Vt5sgEgVF?ZtJ?Jt3Gq2pr z2#D(xm1CzHqWvmQ?|P>W6QB`*gE;VGxZmdymYtP0q_A zLgkO~zXx#+%$yBhsG)zJ4Gk~l8C_!pX=ImjjK1*+D4mc!L!ChD{*XCzWSyb^d=HjE zfoy-M-gdH(o2&n1dU0L3S%I&W4T18x)|qG9M?FoU%)MxnM>&R3zMpbKRLr$nU&MPS z0(grXYDGa-JZ_|XZnRniv<6oF;f9rxFrx?|jcp)j@{!+Bs80f<^1$#gguWx68z%eh zCUGO?i-YSf{FAWL3t(j=lK=l26cKSTI{FRYDsbBr2y!%{t?hz$txg2v}`|ZJs z^$`oF4)2A=dUY^@K*qGEhw^useQM{73ro^ad~{@VYA)kAyV6X|HN;2EjOyP<*bV!r z?1Y`u?K&SvytT0>Rk$y0TVC~sXTybvcdpgBEA=DU*?Z8!oDCu!Q9)CTodT)Otg4g$!5%X|b_5lo{el$n7h=XOJa% zU$lHv;m}d0^t99(bRJWtdZiT8!k~lH+3Q*NJ>l#M&wb2=EY0Q^D18J^$3szBxqxv5 zDc1M$v{PB@l&kf!G>S(ZMYQs)A6_fosHGHGT6;0_mH;^5eFJSwlY(JYoe^n}yr V_J7x~f2Zp|3J0ebzWgQ8{$Jhhp%efB literal 214102 zcmeF42YgjU_QxMq?AWnCz=Bvvc_~;)1EK+9LbW~8fM}X26f1VDAU1R@*wMASDt6Y2 z9eY>o*jCoHu)CK3?|1J^a^B?4NwOPvb^nJ9>x4n%`I_I9L*% zw*GH#O}-y!=AnU+NF}u6^K*AEm{wKS+)9QAmS1S@V@4RgxeqGDjZk#V#NvYJu)-qT zAmI+_#*Xsjx$vDPe;;Ai8E zmJw^!l&Z#nO%}m+<|^0@BG}tp7o=bnq=>UTQ$h)6d>p_rMd49+%+zHdfRQ#=*H%T= z!%4Cj_cd497!%5XF|r(OjLFKN-32tLU4Rx5xDw;H82?)1KX3dsO>FtbpK1IXjBlDq z$(S*P=8DiW>PVDXh8tkYNj9nc+~H_Uu?U;ex5)Y;aJQn8mb}s{vJ~xg_TIfBOHOSs zv#;zGnf+j|$igQ>ng0~dpY9czkLxIBBl0pxI$Fiyt4AVzO)m%%V*Yz@OB`$+iEJD8 zpvbm3rJQKe85B5d^ym~EhAdiPTpmIu=`9%70GM{RL2 z9qd!gLlwlw427;Yq)A~ogm5@HUSVR;G5_Z&47(ev^g4yfgpMQKy$Z7-bZolMLYPlF zmgPneb~e^88P?4^5Xo$ebEcIHn;D-9t$;{o6P&Z`$n=8*b%qEm!~VG`WFH6_mJj3G z5)9+Cj&*(^PFRMg!RN;%Jh+8oOEPPhum&#(+<;@gLB47hr`Hg zkegA-ylzhj(^u*+uOsYFhGATrK`I>P4TRlinEi0fKGEbbZz625VGh7?UkHYR*7OX< z`CE`D408yMw}4zA7?$PQIPZf4ruirc>w{yL%-4E2-`X%N2d4Q>hgl!z0}OKp^i1<& zhuHwx2x3rG&Wp;Cwg3%s0%d4znT7(+qPF7^dfahuH|{Lkx4VvGb+FY>e}L z408jRtstwQyky=t0W;Pxk3!F}XCsH%6wE<}VSnJ*!SP($*$hm%VP1otV@JBfYz}6! zVcvzF^K8Du^aWF6nD?M(85TRt7GRDr%rfX{=U|6n`<`x?PoR%Nngqi!Vkg zm@F`dD$HGAINvoX%-vvyfSIi@i@@vw=2C^Z2Mp(@+ZE9lbB@Bi2PO{YT7_8#W)hhD z6y|*}Wnh*n%pA-q6y{Vg&0yjRGaF0` zm}Z4J4a_t!rzyu4(z~fo=d~f2?W8V{L_Q9IR=N zhkp?4SO%x<*0d)e>@bDln)XC6M=A{0v?qa?qcB|4o($${h2fg^6fpNF4A-=$f_YA1 zxTc*A=0kD;0)o+S9?Tw~oIIxu!h>On-&pn)XaESqj57E$@qp6ozZsv%!=p z4A-=Cz)VvZu4(6jIYVK%racGD0)^q4b{?2J6^3itbHO~NFkI7~2j)G6;hOe*FyAT+ z*R&UaS!-Q?eQ`~DA((9yhHF|rZ%S7fu4yj>DAIwe)!!_*#VD?fNu4x|x zbAZBdP5Tg-$qK_Y?P4%TDGb-Ne+I+0=F1n?v=4*1Mq#+7eFV(C3d1$+qhOv_7_Mm_ z1M`u>a83I-n7=Cw*R)T7Ss!)l%NN(QOTY|J7_Mob1e2{WT+==UW~9P!P5U&Ma)n`^ zc?QgMh2fg^SukgU*%ZEYd}l|u5d!)_o`YNjW-|-G4~buX;j@Y?$n%hE!E6rSI>~H< zz@?CT!1T2Me9JI?$_tRk!E6EFI?K>@4dg`#`?QbQ3;LHJpDN7Wv;p~t!t4Y6E0Faz z^vAU?^shpq3NsY?*C6Q%lLP(hkYNgw3;i3Ai3*bk{hN>)g~^BhEy!^SQvm(jka-F- z4ElE<*C@sb|K!rIJ`tKmM3KNI^d&n$>nFRe0kaHEL4EmLjYZay( z`oBZ&QJ4zo{{eYMVJe~jCuEtzR6+kEa}(odcrP#qC=AEdRlppoFzoNEg5jLI6^^a5aiK^O=G?6<0ADiO z;Cv~B^D>XE^D*060Ddxt>DkW1WFg$H0iqtprsr_vyx;pV)sXiYVEQLvxOU*R ztAjZi%zz{e>w(M~VCI3@J_*AzV}92Jb2*qDk}xdi9U;BJunc)@U9$3_ot-QIUozIt zjbH{QVOY<+b}htp515^kFf6}*5bhazTwz!j+zaxC!t4!ZT`*rN%)Vf__vjae848Ab zk2b>M&6nmJFx-2zgTioa!@Wn@3d3~^_a5!9FzjF4dvvJ63#G|{BDP7LD+7F znQNE_1hWe4aJ%(H!`ummdzjWhN3wA-KBkBJOgF%B)>>G9gIPZb(`1-?z;F-KW=WWt zhT%p>?qS*{33H5Lb^^maOxq`6jyKFHV73O6l7ut3Cy-&ijpwQ zKl6UvIvBse9IP;dQHD`4hbzo}VETbMQepl9razd|6sA9(`wRdxUt#X-jq(GtP+>Mf zeeD3|0fpHV4EKt?s4$y>;a;&%6=q8?+{g2y!fXX*XD}OX-o72$x)=av5SSemW_vKZ zfZ0=Fb^x<0m|}(55zKC2suX4rnBBn~sW7{P84PBQ!c?Q)Q@~uUFlT{D1#^$Wd;=y8 z%ySC!H!$g7K2(@*!NkC-Gf0vCd_-Gz`bOy})p+bD8Z7!?6yZ6$E3Q%M36K$GUyM zWT7rx#?}|dx_!Y6R~U|UL&1zw7>;#0V5$^`V_hzoW`*Hcmj~uVh2dDo?>Np?7>;!X zU=}J2$GTx)9#9yLb;H5Ds4yJsMu7QLVK~+mg85NlIM(e4W<%U0`09&e9p5GIs4yJs zioootFdXYff+q@~q zr!XAr#(?=yVK~-}1+!9NIM$5=vmS0FeD%e#ZakR&U^pLI$Noph^~QYk*9p+2DaYzkCA!zF*5HyM&{ke*t}nkymS3xoy_}@nDpY%-K;Q2fSF{aJ+YiTS z>tx<#`|&Zh{n+bxubIqYxfHC4s9P1nb(Hk6ENs>HH#&6hfT16SFb;UZH)*TE=k?aN z@pGL=NBg)PZoMX%p7+GoW->jW%lPz+JG%q@&<^y2I?%^D&}Vd@&+I^t*AuPzdsQ4W zZ>%pq=dk{#LeDa1IcEu<&rxkzvA*z1FVI_`&t0s3B6O@9#$$cn4_iH-?a>C~w?6NQ zte($fIj@buTF&|%>DdqL^|Xmc^uhZ->vJBldghJoI8FFw9dhUDG^xX5R>ABxk({rz@rsqCaP0u~9nx6YxH9hyXYI^Q-()8TZs_7SZpyysz z?RxHG)%4uMs_D6ZRnv3ts;1|@RZY)5tD2tsRW&{Ls%m=fQ`Pj`qpIn-KULFnZ>px} zzEn-mJ*k?W`%yJL_o8Zg?nBk|++(Ndx&KttbML99=e|=-&poG_p8HKTJ@=YwdhRpT z^xR{r>AAmD({pdBreD^9o_k8Q>$#s)({q2HrsqCVP0u}~nx6YdH9hx^YI^P))%4sm zs_D76Ow)6(sHW#WQBA+B13mYLYS(jbsHW$>P)*N0p_-oiK{Y-1f@*s11J(501FGq{ z|5MX*@295czE4fhJ)fGM`#m*1_j+o2?(@|2+~cX~xxZ7>b8n}n=e|y>?^&O*Bof!3 zJ?pc!_7Rp&V)^u}&-C)?S)b|U)3ZL)%cp03rk798`b;mMp7ohtKEJ2+nLGdTxj3Ki zGCbMyF+Stv^M6gRy@*&(v$rpQ#@P(aL{#2YT)KHSO~~hh~4j4)ogdZ`v>F;QEmr=tp&+AKihzxC1@E zh0*d~(t*CT1O1o|^kX~FkLy4`z61S)4)ogl2iBkV{(<^~Iz}?KHhOeoa1O1c^^iw;~S1WqH*W|lLU;m(98^5U6`XAqm4p!{* z-J>?YF>RWDYv{H80h}Lqg!uBu_o6!~dd{6%{M2W4aJ@GF@p^53qkd=y_H#PWYx5iJ zYx5WNTK!Y6&0o}O^B3PM_lMZ}xe$vHd%P>c@#r_Q)f#R7n?ldE5%qa%w)wo4j_<5{ z8$G{qU>HsV-|AsDxSo4{lj-p)GthItucqf--(>pL&Gp>p>*{IS+UFkMWO_Un4&vwj zURRGS2afsY-d;`5eZ88VdwMlJ_w#Cc?&a0=+{dfwxrbNNbN{ZU=iXgS&waa^o_lsR zJ@@NsdhXTL^xUVb>A6Q&({q2Wrsv*VP0xL~nx1=dH9hy^YI^R))%4tltLeE1SJQL< zt)}PRTUX!H|J%lgp8nsyeaP{%r~m8a^Ly<7vVOU5+8rOHp8JM1J@*W2dhQq2^xP|~ z>A6o>({qoorsw`(P0zi-Rv(TE;l5m$jr6hs@GWE4EmW-nVOjY&uD$8ValPf^1`>kf zxQyYt9mjCSyDNl_=U7&FxfDWhgs)O`nZ-2q8G0bKT`~Sb_!V<64@I z9QWM|O1V@f-%;gx)a=scu z$MfwVKJIoxaO9#8AGa7fI&%FWKJGa}aOCwKIY$H^s|7p%P(jRA5@Uov})=Uu^Z?2JNeK6x$ek^4w+xQ+GN zTP`@xA5n<4M?2QuSAygGAvxyDa^EN%=R3=Bzds$*?Al{JTJA@|Y4cH#Xk(9cL%TK~ zEKh51RR+Q_Z-o$JoBI|?g%%;!ggWL#+ z%m>?r<+6pn{SY37*nIF>%h~)gY$PNKu^iil&97|-T$3n-2s9*V+C{&h3|6 zZ_x!sBFcqjE$f%-Ejl8$pVJ=8KMJww<9dsZ=fj1)Wa~O|EdSAhV>~imuFL4ijTIc@ zi9#5}d~;n!N3Kla_zaznT(!b+{YFQQzb!?_{JQaS{YFRbSiv#fQ3!*WKCa*B$ek)U zraKC;9G}tCk((ztraKC;9G?}_ky{`*#uJ5D&dycj7AhRqgLLF>SGXu4ICA$YT)%({ zxrYVE{P9`_^@8+=&@t>Wg&Po1A-6=~winzh3b%vc-Vz+kH40%6)5moq9n<%o!g1Y5 zNA5#~8yHX__qoFDEV#ca+#td6H@@iDPFe3fr7$16KXwUuzXEJX0fC{<(!d?{NOqX@?{%BAqx%5tQdv%h_?Ibt6libKoa$`El z9o$K-yp!Bi!SzE$`09hd*+<9v)wmg*-60(-bZxphE6Eh2#AQ z9qnDBaJ(O(BX_mn2H>3ew&_d9F&#PnE+8HC9CyfA&OS>aceCI)PDBNlfnz#ycPm_G zK!w~x3YR6gCloGQa7z`=KI>un-cYzbguQnaZco8|sBn7;?hA$6TX5eBj^pf5h^>!( z1Q*=!;hgp6a{CHyEiOdhl5s-=O;{g&6fQ?_TM3TiJImCjFIRB=1;_Edm(kIa4M|96NT9N$j31q zx#JYBAfQ6-RKcYnd?>`)9%$XzWsjwily zzOj?sp9IHohjG|=d4EmEuzLl^aVH9~9Pj7o$UUrZ`v+9WJ*jZK52vF&d!NT=6f6ff zzZ?db4tu{(j_FRuG0fiYlViGl+%qP91eMS;^X+YH|fY72JvyT1$Vf@%@9f!O$XySP z&#){v8poEi&;Q8X04^%HV!_$xf8_q?a0eKU_RkRcxY48!!GV*{`R59bzg3j1J{ZQ| zNu^`FH!Ivlg1bfG76|TE!`X5vK^(SRt`^*F;AHug3XZ?gO2_ow4lWAc+8cvoYme{j z>B!yTaAOfhj@R8OxIdZnAvkcd{2me9oeKAY;O_EpjQ0(}-K}u%3vLlOSuW#X$L8Y; z!QJDr$NK$FaQ7-1%3+@3A$8zZ_xCa%kzu+GBaLn({f_p^a z(gpW8I4(bIyBd#U+phKz+!NrUf}0??{ROuKoLuit6x?{hJqga%C)0kQ;3@_86gZ~M zrtcuZH45%&aI|BcY|pa<_l$?5{j&x4EVwAbY`h2K*p|!1f_u)`v)mzq<8SuTv0py# ziI-`s zzds7@btiq3OuXdQWJ7{u`Mm)y3g4!$49B)UHWA#L;AHzN7hF_uZ-KMp5Q+6a4f6K1^21K-66Q;3ipKIKJ#!agI5Iixx#%YxG%uT z`Dm&rzrP8tY5nBwfJ^6p3a(k@Jq${h{R^7aafI z4Z|(R`$NmUBsl)v8#0#T{h{UF7aafoO;m8aKeXIeg5%%8k@d#=L(BbLaA$*)<-+?z z%dNULPT<(j=Q!y*5@D9xKydtiTiQEHa9awFe`hBOoz3sjg5x(jbc~mOZ%3B%F$lBq zrVEaLcZcED-m!ukDmecA9a+xD32v0&&Ic#+F-ve01a|?rD0DX7;{{hKxC@-Qn;N2ceBF91b2(V#Q3lg5~m8h1)`K-zeNpg8LgdIS-yL(w8B)@4?A=>kPr=2<``PQRr+rpDDP} zf?ElWjOES}+#!PdJ2+pt@OOjgST6rixFZGkPlY>8a6c;CJi+|}j<@wTA7|s(*4veW zVa<=yR32tMB+f{Iz zCgGSS*2g}A+f3n#1-H4vl?$$~!ZizSONE;yxUCfKOu=oVa2E@1TZQ908TJPtk+C>t zpRoO79*$W*EI+;ju-rHtb1#tP&J`S=VOwsz!<{EMJ~Or41RVDf_RbfaeYVB)O#~Me z+y#RB8ak$x+<^{vq2Ty2n~nD%hnp|BK4y%fy@MU@BEjt>xI-N7V!@>e?ofyOgW&Q6 z7k9Wz1XnD$Ne*|Z;NpTSbGXX{cbMSVwxiJ5`n_Cm_L&gNrNZGB2+lr(AXn*dR|t;x zvbNr;9PUcNT@KFH+hlN)ptI?_N^o2oT5gKNT`jo#MZ8m;c&`y0|8}>vR}D_i=hq63 ze?!{l<8a5`b%NvC%i5~}C)@o(!Pz;N>-wbe6kSaOHw) zak$$AcZA@kIo$1nJ6>?p9qtan@o#n8a-QLEe-hkff}81ZcM9%$aJF2I1Si|eU4pw; z*gMLxcemgk6ZVb7YQU!OC!z~tEj^IvqxIYW7Sa7E} z+{1!9RB)#{+#`aUCb-!S_o(2`7TjqL_n6@LTg$fmPItJ+1^1xf&TzOV1owvExR!}R zXX|%~;Jy>wSq}H4;MUo&Eq!M@+*5+vLU3~&?rFgd65L#edq!}33ho?-dsc7-f}7`X z&k3$naOXPQ^Mb1YXWRXG;QB&m%WtXRW(s@fJN8}>+$n;)z~NpL++~8h(BWPZ+)aX; z?{F^*ZjsX{9PV|&{UW$a9qtXmZLm>W zeOv}kj;C)5E-JXo9qui`r3!8VIDW@%^ZT~o3c%TZd4-d{cLaB+;6B9KBMP0h_paco z1^2VVy(hTof}4P`TH0GCxU&Q|(c#_~+?9em(BVE1+#Q0O>~J3n?h(OFak!5J_qyPw zI^4&C`@QY2lh2VpK|WSBdC~UQeJVI@f8BDyY5VIwGaTF5?`?mbEWgi1ezpB|UkFay zU-zZpwEcBo8II-md){C77m<&i{dFh|^M{wHyx^)Go?XO!; zaN7R5^#!NxujAilV?Sqmarf8Bdg~)NZGYW{g46cbZ6r8tf8EA{)ArYGA~gw!dx*!D;*JwiKMUziunRx%=y6{cbI|p8a*`493~{lKrD+ zf1NFr#Cfo1e_ir&>DgbGSS~zcKl)eq*U9l}8;n-5?vDL+ zI}3X~`|Eb^8cT+h4b@;I#d9 zLj|YpujAiX$G@OoYWwSQ1*h$=%M+Zozb;>J+Wxu%!D;*Jh6zsFUpHKE+Wxu`g46cb z6$(zv&wEcDa3r^c#S0p%Xf89vIY5VI&2~OKzH(GGo{yOgEz`vkfY5VIA5S+HZ zu0(L!{<>1ZY5VKO2u|ByH&$@k{3Y5VKS1*h$=s}P*Fzphen z+WxvK!D;*JCJRp6UpGZ?+Wxw!g46cbRSQnrUw4?`wEcC53r^c#S0gxWe_gHMwEcDb z%@6zw+LgAyu3m83{<;RiY5VJr5S+HZu2FE>{<r>ZkEyU{3F3_ zi*s{|qqe`l8*nrPw=pCg5`|cM$KxWKzE2hI1i^i-a3>1xD}_5ra4Q5CGikHwJ6Ukw z2rk3P$0^|Gc-^<)c*^{yL81_A?^GzLN9N4q@-9&Kg=aQQzIQ#drX^;J!b!5|buHd#1_SnxQ zcb?$36CC@wFd)MUpL45Y?-{U6NU*YWE z#U?jE;q2f0C%3)A?I6l!ha?<=f^r`uxE)QHt&a;$rg)wvxSen=_tnoAT(;l_f^*9S zK|#Fx2ySPEv%mYm{0>q$`@0Y1c2PL{yAR}cRXF>*59D@JxO|b1-4)LM?gQ-&Ryg~+ z59Cr5&i-xzxl{=2$ky9MqCV{JK9Ea;`0Arj1a#fw= zsyoTmb&_lBBsaa2+|h!|Qp)*+PI9Mok~^c5+}uub7j%;QLnpZfo#d|WBzJu$xtj!+ zt<=YDo#gK7BzIpYxy7C29_=LeWGA_2JITG+N$%B7a&LB$dslEnlzRKHlbrp19FC`& zy^lL-Z+R!VuR6(n(@E}!PI5nXlKZ8T+^U*sH+^>fY}e!Dm|h>ZnTeMi*I;Drynit+vi9unaFAOI5*6GZ1Q%Qk z9l5n3zIe9~@$&vi#(RmdXMcx{_IQ63h1m36YK-%I8)1+4N4|Lb36A$OGTzHXy!Ln9 z7%!V*6k_AOT*SMBu*XARygLhy_qD7%8}|Zp5zlS?ZiZuu&z`McGHm-k&fZ^=W4!Wt z$(6Xs*0242HFC_e&t89C07p)HPGf(+j2!RZeD>_`(~;x-8_U?1^Hm~!_IKyV@%~NT zFI+7+`}=<6-21m{1ZRJzkR0#dq7WPJwSwE-)EhZ66w6&FIQzSOLcwJUd;J~m zdcoP>d!oGo5U5%m?=N}6blCe)a>?%BEN6e;kK7IrUTgDlgE7u?`@4PQc>gBL`HzCL z_ub@p|7P1VksAePe{YN&@86;j8}Chmv%fb+j`wepyIFAd{+FC~|7L$jj2!RZq`g~& zJ^MRi6}qkT;Ml5?Lo-7dI1!LfftA+}uZ5S;y;INEcc zWBv&o9j~*$OGb|UV<^PhyHjxXce==F&oS*d#5|BSCUBJeITtx?+z1i_h8)FbZ-glq zGH$utg^O%Cj})9+E_Vyg{yrV;xzBeOnMAOh?C;Z&W4S~jw*2l9oE>)=r&ccZKAD_W zF19|%Y2{+aadIpdIgZ~e(kGv@_6HY**nHdv&X%8i{_Jx13r;?NcDV-xC!fc1ykeX- z-UkIIpT}~%iVE%_aCE#*K3DFC^QZ|YvKar-m-8jdg!4JWt&`8;Y`u}=eD33HIg?`( zljZzpTx847j(_C%Y*dba4-3wYpxLiYFl$gzLO`Qs^J z&;G6;Ik$g2EjVkBW#`uKGvI7HwfP{&`i(+texDWGkH$7R#%)eljQhb$j8}!NlqJgZNDU^jk~rV zk)!zH{mLlFaNLbTY-lFj=F4(#8^4gp6l*}P93(_w#ilN|e{%*QK;myVqM{aSMDmqQ^oAFm3|{_Z9@ z+h#2Hn&9m3hmzCAUHf~Vx@5{ffaEtkH0gm_j?v`}dzHocweu93r?saq)r_ z+Of#)jp2EX;O+#s6T)o0eIo1~Ex5aB4?>T~r-GX;xJ3?U_e3z>^8|OV!+j>~Efm~+ z4)?j>?ibtx;G&4b=Hm;&Jt?>c9qvoPy(+jrgEJ~vOsq(IlL;w;Cr?IJSew!A0TQ{Bq8<+&Y5eZ)dsru-vACTcU8=3GPX7vYq}##Jh{& zo_4seO(K}zY{5O_a4UqpVS;-eoXqcEg}q|IEp<4%c4fQ=3hpIv#soBp^*cpyFDu-% zPI6}mj=xdni}&JAa<_n^4Yq?fOn%K$CE<7G?-Jab3ipuU-cq=yMf%<`oNa%96ZP@3 z;NEq(Zw2>`;Ff`7rmVg11ox@n-gmg~1@~9Mec*6E2yPWFHsM%)AAwtmMUjnnrQrGq z?qi4hyWskR<0nxH|3G5xxOSf4)^)gl3hrXTt*6AhKyd3T+_i$+ zK;iBZTpxvdTyWoDBcmL5`7FTZ_Z`9g4IJyumdj6q`$lm5?Fn+$$@*QBH(79O2j4mI z{w(ZmE4c5Scz+RGy5N3L;+4OHumI~J`TZL+#PqWL$?r6;0GEw0o8Ml7liz7x>2RwE zPJTal6}YId$9r-cul#=SYKL1*aPm9NYaDKM!O8Co`8V%mylV(ferI@{!>uVe`JLfH zhwCjk`JLhQ;3kRut|d76o#71*x3=KqcZPp-;$25@@;k#D!C}a1t+#arHv;Vir$PI> z$+5Sd;NsL_WP90OaPoV^#~gb*2u^;F__)LEC^-2&;u8+Hli=j{h)W!fe|y=Mv-}?MNr&56 zaPoV^ryOpO;N&>y`@t6-E=_RqyTF$mE?sc)yTF&h@fk1FK|W)Glivlt z;@Ha&ocwN#f74agN2cK9_k*uFT$bSEcaX0;9RH@btq=KK+ZzryL~x~;2f4=MI_!9} zzK1%nvxndg7TgIAx2NE$1b3pt?IpNca7-)XJ=Nj(?!o3`hTzV0xP1h7vf$2kxP1k8 zj^O4x+)%+?DmeZoc@*h{I!ITJ;I0$g`3{#WxH|-Qp~K|~?jgZl!6?B^2WR`yH{e)C*73f_w&xQC$7j7h?sUO@ zt8f1-s zY44*N1gE`^Izn*T`>002Y44+&1lRLE3WJVu^1a5d{XR;rUz$-LcKmbSM@g10D zr@fClPH@`$s9A#3-bWoTIPHDZ34(LqN6GhoCkjq`A9a%8wD(ab3r>3Kwso@1y1kPJ179uHdxyQRfLxdmnYa;I#Kq7YI&!A9bPNwD(c-1*g4_x=3)^`>2Zr zr@fE*gW$CHQI`l#dmnYF;I#KqmkCaLA9cClwD(a91gE`^x5L`hmS+-^H}$N)E$D;-bejOaN7H*I|Zk` zkGe~6+WV-x1*g4_S|m8_ebhaI)80qjD>&_a)O~`}-bdXpIPHDZ1A^1uM?EMw?S0fk zg45ndEf$>iKI+ec)80otEI93b)FXn^-bXzuIPHDZV}jG(M?Ee$?S0e}g45ndEfHML z`zU*N9`3ug_fgvWs3%4FY44++5}fuv>S@7g@1vd(+~)jP436)u2IG$%GTqkM=L`(n zN^mI(x1Hcp6|TSF(iCo>;L^cGkrpIBNawRSuaG44x zzn{rU!r6G`_cPfFH&Vnq1f1L-`@G1n{0?Z3B=&5%$nSCXbnGn^<#Moy_Xu!NQ(mn5 z7YxVe0#$-*bnLw-xMsmMneM0+{=PHTX56B(U7(Gir_93+;nhJpq6`8 za0>)?w22pJ;B32Rey0sbGWw! z_mSYD4)>1Wz5<8Sz+OLaa=+udg8NZ${lQ@?Kx=;A6Wp5kSk|U*fD`XB!SxZ`_73;H z;I2P0y zqoXJL~Hx_`u+-z>14dJzZE##H-eM*`@&KiM>yPff*Xr=PsedG8~co8y?qakj(Isya6`=ZI8;;jgW$>qw}-QD zX{F$%2yRbf52gage#8UXZW7#H3U{2~_IBd^yNQ?eF;{T=fRp+Chv4ReWBe?aeT_Z7 zlcKZfqdi%E{Eca8@1G*Svi$A?N841>|50$V{O&jLTJ9&o$^1Uxa6cQ)&F_Ow`hF3d z%TVieuF{HKVmXa5$Ro4#F?^vQgjs&M?ft4t@`pT;c^?NsCB_pq~-cyAE( zcADXA`&&)a$K4{{<%+#WI>9lY_U~jdzn>}g65iV@+;bw{pA_z0kzaDM{jDzA%g4gr z&mMcs$JfH%FOI!6guU;DJw_VEwQhT+z2J|fz+<`e0vCia9M1M5w!hx|qbhJ6aeX?; z^##YcXm1ry`WSD9;8s<*62YyeaP@*)UE$6Y+!_jZz2MeVxW@(8Tj4$w+*%4J=LL6O zT~o9JIWKTtWgD>lt+(Lhyx`8OYYFZ@Ij^p5;$=IKbfGovivx& z%JN%JaI*Z|d3AlkY4fW6+pTVX-FdZ-C%^ydyt<)CpPaY;)p>OzPx}7Vd39q?`u^2< zbrVne{#WPKO+|kHKg_F}iT2VR^XlfpUeCPRejUN_>VIWkHC2rqg^p_=j`_ZD{w+7@ z$VU_;Z1*c+4*mkk1zec^mLW?+4)}$xAzpb5wZPnJm{|Q|5mxl z`yl3x`LgR~n^zf*M_>t#JfF?`!hh|2@xDqrribqoeEIsd)AQf5zppF=9J3sT!tbu| zuD0{8mV+;yzINpsuYBq3YI=OUue~|NAGANV!LH6bzIcCa`@VGg%GsArUwxTJq^;Rt z`=srz_S04a=785zeChPr_wm1WzPcK(Fa5sy@|BN|_vP0Y{%hO!rL(K$*3%C4{?*m; z?5=j$UG2x$PJH3M_RwABfLGlKj^i8S^o9H8DPQ=U1nc4a&Tm(;l7w?_h%ekXfBE`* zPq==c8&6XHlMv<$_m!uwefs#W)?-)g`{M0t`1mB{GXY^;wexH9J>{vl^IyCC|G(MC zpIJ(9oG`7+mQOLlx+^`uH9Nj_h;Kd9)%5?`_I>H}#p_GI zuRV0v{i82EzHp}*wmu*8@xOMyx*D%9{avjuAMeYrFWi^@?y~Q*1z1zC7r%<>uP>|{JyqgjnDcWien$&UE#j=(_Qv`_1jhZzI6K9t*^fJ?WS_}#oJZ; zzI6J^r>nfL+tXw|7Z3=*?a{r^>Gr^A2vRAR1TfxceD{0pX1U_ zBFwd8RsU|dFa2E&_dPf3YIs-U?JEC^EjUrmtjw;4|KLQ-`r>bU`of)PTliF-o)AL-Yd!GySlxO#rr?1`q z-oyXDJs|& zE5`U-kNqds+rD<|3-72M+HCN?kJ45Ce|5d>%U9eMnDOm8+}D13*4w}Q(z7o*+~*KQ zoAI?1U-k}EqV;la9qhNhe>5JDF?n{raUVPy``&|w9Js0Y(bwyXx^S{db>fIOaD~GP~K0DnN z?n{r;U0VB5SL5~B_l5tr;`ODctKq)#>8c$c@4Jul#p?_A+4qJ2x8n7sr>o)JRSxmy z`i98J>bmNYg~g@mY4OsDrSazS$dsD$nn-DVo}-Es)>SuGm(`?Z##1wgL~?4Tm(6TS z8xl{=N--ztDL6^BTn4zTG<%jA!&$mLi>2W#78zC5Tv9cqtf{GbN?k@;JS#grF(@5D z4i!UCMgorxk zk^S20hNkp1k=@`DV<--L@#)n^M#|yWR@KI*RMo|&WyfRjSfrsMURz(;Qd5=6!^Wy9 zZ6WEA+LjrDi19ovQeD}4MfGHy@S4`Jv`A$|OWSFB1lsDR=BmcFuvpt+M%!U#+hJCC z*iu8RCFtH_OGbl6R!XYUL%1Q`IP0xsD#uAOC zre`87(OPOO6=BY`=s20N#C)cq=VWFi_MEhgbcDqsb!E-f(@^oPm9cyKRvc$fNz%H- zRknW*$v_WJZSBSBSx91PdSZd5XJux@(=ro_0fCtaOw0@dvk;h=8w6$}FtOecI3%8i zaYhap=~-DR2u!Ry1g0V|vGx#{hQP!kLSQ-q6YBwiF$5-71OkzxEH_74$WfM?qb%eo z%gs?1a+Kxf2<;~=+s#ona+K}nC>uG-c5{@C967^fI>t`~x;e^5j+`MAByxm7GqF6g zk)v!kN7=}c)3b0na^&3ATKBd6;j z5IJ%>9|Dmhr~4rgi67$D(GVuysiW+a)OdP|Q%Bh;X$W-cC_5z`fleJ|r^FEGL@!U8-Y$8Wv2{5pp&B^XbkBoPL774F{GzDIU0h-ke=$~ zXb2iZdTL^hVkzix>FEEm*~F0Wcsd4WClHrsBqj+Ya^wu$2t1;Pxr6Eb}2CFnAsoh|ehT3d5SfwGI?FOqfq_f>%m4-rYH&|iuhF+Ucafq1dbec_8 zI?~y0u)>Nlz1?7yj)*W=g{#B#V8TdmT@r>9hBJR5u^I7Lnwt(x7_l@r9hflCHk=e= z!a&<_1BW0mv8|v0QV{626-*ehbhoWw!ic52Z3PoXEZymloG@bPiLF1FFkLLd_Fj8F(f;++u+T?FZLMkoX#o$d(52_u&21)S8w6q%pO)Bc5Qw#RT4F~CCX9@<#6rfP zfF*gF+iWmlWTd&x1`|d`n%lK8VPv!$tT16@v>U82VPv!$tT16@v>U82VPv!$teDPr zgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!>jCO++CX9@BgB2!> zjCO++CX9@BgB2!>3=CFg!oYnV29)q5BLla2i7kQ&z#7p!d%(>H#+2|R6|ExG8B8*f zGH0QeiF7&3yiD9aIg7kZ+&wuC_Y?-|5k*n3^)34xNgbDbwlCshF5Do$j29c`4KB z&8Zl~Gtrq%SL72JdxEx{ipe_EpdF-Qk`7N|S$K5V`m_*z9TRA%N#{xHvJux{!ZaH6 zYfO~p1g0?;ifa;E9fpidXGwq_pXn?J*u9 z_GM=Yz`op;FFYN^%#DX%@v>x(V(MF(8(NyA4L!_d>3zJ~(4l$J{4htm>HiJPA5st#IgiEGNC~u z5KYRNdl4DQR_jBM=~VOto0cJ%c~W5rzlS-qDR?`RmXb0u-jH}&7o0XWHOK2B!%Isd z!^f0HhL10Z6qgj`M;hWS4e{pMhDdQyQM_n$-u}Z8K6-d=e0WiAQ6w*~X#dgS;jp~Y zTyvOj{lZWMqj+-OOv8as!@l#VDICeOzf=jV(`xM1w4F$D=mOACrpg+-jEC7z}yp2o!K*wTU$W=>=X@#d?dDtsGPiAfHx?HE>B zU57ViRgvjc5px0G)uHfH(F*KQ9goT)Rdr<%UQ`yL0nBn$II2b?rG)Q|T(u8*_c)=IE zjjZMoYz8m!ni?xoBaKaw#z5a#885GzT;GVy;-z3^q_Gmp%HVw=4}-w)tg4A;cv;sx zv!N<@(J`V>?Q>!O8Big2_nn+XCjOIv7Ljzwi*48ov zKrOGBJe~3K|FlTe5iMciG*%rEuda+7R#p>fspCuAD&(xTHX;s#qC!wvT~nZ<`mi9b zGORPEHX`}8_0y{8Gnv*8N`nD7NW-hgcs*7d_%C3X1gKDQ*}*|=OAW8%Yr^ozc!cok z*V;reG9=7+aKwz^s8eeF(1Jx-edCZnn?%_ z!;)uUC90E&w9y(LCJ-95XZS%*DqEW^8ZF;mhpjk`ogp|i{lcE2wWFZh)Zux0D;}Q5 zobwFlJkvSPvgeic@s_%lrmD(FWn<+`d)&}eSzlLW&-l8X)sG<%shV8Qif>C^cwXKz z*$peV*Mr3+Db9InqB_-4rzNV>9Cdo4I^9vn64e=rrMl>LQrW}x3cHx9D zj2>JjPpQC=0zFpFP1rqARmUYX0?RShlm}x^IY%7)hx~FueOOgRb5l7M-HqsV!C9p_ zv!V99F&OW#!jC{2svD{}O4U?VHAE`$UwG6swWYbTetI2aFN>GAG|dds5gs+g%aF1N zJT$YaW=x9lvKiI!vgzo>_AnT#>`6^k8`D&MB%(7Hl()cs>)Euj8exIA3NwvvIyYI^ zH4oY(i#}t&5MDmSa z8qb+f7|$7BQjn8BF%q67oaBznDJsl2nw%2Jl@#Tajxm}NiP=a(b2h5+1v!zN{QQ!f zNPgic{5N{+n4HM?g4`f5mx1^Xfw_^Ad~yYOc{W;1^R0(ewVo6ejA}hDEj+NG^(1#J z7DDE1JXSP0_PBIRNv=80ZMB+nAP*TSDkvz9AQL(9+_9w-d6XL-VRe}kC?W+Y#*%{J zc_>%}qTIkB5C;s*jTDaBuOM%Xt&Q-^)&}YZ*D%k)imR79{Ez;N4k9<+zj5m>RKiX+9NOX5LO$c-n2u@U6ND{E$2Kc3HK zVL}2QA%U&JcxleCg0OX&V_w^Om}?KY?F}_S&(0DigwLGJywS##Cx(DAAtq(PJ}r|b za~!0Y$8D)KXL<3w(IvQWWI-e^J}hTsVbMe!Ovo=7SD07OdR|bJlRLU3XH4PfQSto3 z5)g&Bb14~?lUEQQGqIT0;tnF7Taq&}p39py1muk=DT<@h#dC^=kH$G7$t^0t-6Mv_ zcrXZ+mLiyAq%pz6(xRMktu{yGm}Z@Cz`}fUTap(aSuoOGmpc)6Rl%qcMpjZdX2eKb zQCw2k$`p;x$w#6Q^{~PsrnmJtZZh8*03|b%kL-^v8WRtOnmqTENnGk6G!*PF)u+Om?Jy5gayYOa1h6BS3yo|9>>FEL44%s{DQz(cpBW7h4EmZ z2w9=dFDM=}qD|d)$|7$wZPK5M7!%TO0)mQ!j_J=$NI!w#;&2=^6(6RbIu5+yts{=x z(jTaT(=h!(JZn8F^^)Bhh_}iV92FG4nP@@i`@<;<+Qn z1$<~Ym|FF?lQ8J#Z0&@Fj5r6or`qln--r4#EV+5 z8eNLE9m!9LFs&RGIjk{KJ9%m~{=;_U+GZX#VBM1Aq0g0`TSL1d!cmlwe9nDp>4Z#*z z+!mD0Y;#k=PN9ExW9<16I zJdtW~f)_&xrd;TCWR0YSpFGnpk*w=S>N+8e6nr7Bj1jW5O>#Hmt zlvhLq({j5&CZ=5=4dBrdD<#oDl0fDoB*W=BSRiqz3KuUJ@`D4+0<8gdI2^G**H_^T zJhE_9>-wPWI5*OI92uE20T+fVhPGo6HasF19l;6^(`+zk;ykny1mrqEcrF)v;rf(s337^xaYs2aQd&4_I2M^$y^o0$Vudz(WQ3C@ z);(N%1jkrIv>xXKld%bAa?N3IeUiBxc~2Ia8yPjWbtw|g`XJkfVbZ~*jP(|78NwS$ z-kQe?gM0IcxtYWa&yesTLU_`8TN|EoSP6#Bw!tDewL?;HVh5sdd}YsT?UWo(aKFX= zfoEa#aUWI9q_jOAKujV*A~~2hS)$B@UFn7gt!rSM25V`#>a|yK(THQNsascx!O|>o zDHoKTSw3N*Y*$k3LXq;Bc!rNhVZo4uTim$GHpZgE;wIOTiWWTRsl(l2eO)vBCQg_b z7BIqvgFE`CkC#u6 zgnlFaMxHlSl-1zTCHXRZ;}s9?r0pOkl;xVT?MgdpCcQF=GPp9hRrkf|Q?^~1#B7k- z;7Y3;w#VQpc#K*#IH$2W0C1 zZj60d|y7CrHzf}CO9mJVU?-xwDYM=*>r!R&`el0hG}CtXT( zLs`Y)*b~<@xS|Db=W44O2Tu#Sb=U_H#f)lp_QCFkIL6pggRdj&>)Pp%fNslV;_R|} zQ#YH&4N-MnRa3Xy#SJpnZQX7bUkrD@P5aq!w_CO!Xm`J1`~7vdTgLa}-EI?$({8tk z#ua?n-tC6D$)($kVqXX!E&Lii0^ihkdvftzJ#B`6$BBO%$U6J?NDjdPQw#N_Kd;-4(KIIJ~2jWL>D0doJ z4}ZvqQfXune9A`n8~l{RjXVaQvc+1V)WWAcZe%O`!3@eYBTvDnFrUn$^?8g-0@*}_ zTYY!=+rV}K%Bvj0-+#7tkHk5$7damO`taw#?*spG_$ZRdHSjlse;@qu@HavkBioTJ z;itgg4?eFy0RBesE8wG;BU9jS4WD5fLzp+(*&M?7w}dcF+d?*k41(+e*%h)IWH5yG zQz2=P7$gJ2J(WWsdqDPt>;>5yk^{+wn{kfR_+LymzQ z3%L`8whZz<<`#|=E429%E3LwKEBOnJrN+6|>F_3YPiI4*!2SchLlOaIRkPwWDaC5A)}GbFMeeoqRr24qc0Z^$~3^&uNUHim2p=?mEcvK6F1WCzHO zkewhqLw1D>hNM7JAu&i6WG~3xkbNP!kUU5MNUaxdgQ$o-H9AP+(wf-Hvo8S*gX z5y+#E#~_bGo`5WYJPCOU@-*Zb$g_~=AkRaVLSBHp2zd$eGUQdrYmhe}Z$jRJybXB= z@-E~($TGx3Wi;$NfFGF5|yb4(cv14OCnneL*1Z026Xvjp! zfsj)nvms|e&W6l^%!Ql-IS+CP$A$Bh6EI$I*6hiicur7)qBO#+8te0ZQ0gw_%DP#;} zEMy#HJcNID*4EuYI6fG12;@*m95M+~1}TSBKq?_skjaoKkg1SrNDZVGQU|GrG(e7k zG(wsn&5#zzG{|(w49HB#k&vSxM?;Q*%z_*bIRSDar&o7@euJEW`a zgzFJo_XRk%?ZVbG>o{4RvOe83%Jj*6%D&^K({2B5JKh%Y*fL=`x^c2hWxTepy79RE zjq&Uaar;O)Mhgf9cC851r zjqlpCw8(qMUC%ry$!d*=vR8UwG6j_HwJJEjqlo9+i=ATLT-Zb zU2Yx2MXm_B-o|&ibq#l`(J%6F>lrTP&tXYs8{f6JzTuV`{c;btf#K#p9NL?2eAiwd z!%ey}%*RyYyWEC`>vL7e^)2GoTkvRTZ=vyBdwmU8{8-3MFuu!i{tn#ZA=lgZF2{L* z?pC8;3APa zx5)T*{Iuiv4$yIYo@55Dsm6D?9SzsV3|xJU?{b_oX>YO7FY#~#4cA}>xLL+`?d@#1 zfo6c?JusciaeijJuN(bx54Vfqcn?Uo!1%5`u19EZgc%4Y7~kbMN0Z|{A6*~gyByaw z)IGYq>+h@v5)!U2dq2*XWmcxE#Z|_2$~k zHJqDYm&-F;!=YjNW*Fb)xCUl^qw$a%XndC|Fx*n3f8E0kGu*66p}pD0ckK-~TuNEU zWgFk+Mi_3H(J%LKg@&739@?94eAnK7h8tQDawClIa{C)@h0(9{a7Bh&P#M}=Xnfb+ zNW&FZh1>+=yWA+lMJ9(_Z{xe%Xv5uV^ou-PvEe373GGcazH9FQ!}XaOa(#{OawUda zZ1hV!T&dw2szZA-jPKeTW4P#HAve(YE;rV2OO5_@5662|w&z)ghxTS0-?ca1a49t* zmu-BPn_#$QM!(#{@i_8E%Equk>&S8*V{;Xm6qM zU3-TZuDBuOCK%u44mDilh>+`Te3y$G?pC8;sTKdu4{}(-d-jjqh^h zhFfg(OFUeK;ToDldozsh+N(5NlrP=j1{&YxstmW(=wJ76lMOd(T4-;!@m+gU43{!J zEUV&x8TUo-a_NM z_G%4Rd{oFyFuu#x87^{k$n`e9%hen1R-<3!;TjA#>6p;oRO7q$jxb!GV?(a5@m;Rb zaEpz8iHB=4T*Gmpy&1-L?KK-NIxFM`8sFtw47b$iU-xj+3^(ie(B5q0yY{9VF6D%f z%Qn8t%`n_DqhIdf_`Ee3|4t0;%{RVl??}T9Jt^cy7~kcNGTaKIU+LkFHr#@fLwgI2 z@7g=YaK)#D+$7_>+_8r1eQL<{HNMMXs1NeH*yxvfxLJmqF*~$3+xV`%;|-T`TF7M^ z-{np)+%ltI?%_@}+}zVcd-IL&+B?Z`L(d4g5yp49lMT1R=vR8UQw+D@%+TIKG{fC$^ou;)>4uv$C$u-!_^!P(4A*CF$n`b8%bjVs z#YVrx!<}WghI2xDvyAWBJKJyr=Y?E~@m+3?;a)fTWgc#>;bxy3+M8>9*WNjX%RVpU zh8o}H<{56e(Xa4u=NfMQ`Juf9#&_+VXSfj;gj})lUG99ttu*?`g(2^97Z}dH@7@UE zboM^)LL76va_{$DZoc8%`+b+Y$Z&jLN|$YXm%A7`#=FeumwUKB7;dh)k)3aR*WM+D z8)^z-gz;VOQp2q<`jsB;GQ%w}1{NCMwRgGUiZ{jq+yvvh+ydyB-(Z6cyxyDOKRB1W z0%1XY82us-cctMbZAvS6{$qUC-c``iUf<0FED|-o%Ux}_rF}#0b>q9-HHMqLWyt*> zd-nm?)Y%viuS|y@L)LOAtrFEgA&|$?o zz#SDKZk%8p;3O_UQHUTCWc|({k`s)i z?pHW%1LoY2)NO@J!?;z(MZkqPvc7O6?b`W*HX==i8X|>5;TA3eLU>b7~}YyW!$6o*?7)z!{pd zJ|mL$?S<1cW6l{#-9EUW=F9~nsoM`nw`+LV{jps;0Ovb__34q+9fYID9jPiD=YjjZJ&?8d5#mV2p1iaPB&T@6CdaFxEXav;ljs?ZNk3~ zBB_fJ#>77Bm=dP2A*qXnbHlj1j5EUpf5G}fkhJd@oMkj~X-Mjh!#QJIE8|YU8FZ{K z2ub@+!r6;2G=+->N!=-7Ok6KBB?tkjET$P>s`VWdL(t{;Y{Lz6X9Y;Qg=ZZ6Z@1HSIM}Ga9-j88{wiu z(!NW=nAm5*{HMt*M?75cWU)>7cP=FDOAyAyzK9VeOc8~o?lPQhICBaa_dA?BoF|g@ zU4aW8#`?mM)Ln(McrllTr0yD=Gsd+t?mC=tDC-MC(!NBvgdxl&A*oA(a}y7A2p4xG zbvJ}DaXs6kM4!i=QuHk=aUDj9bN&WicB$t=fR zxNz}+jc|!T(&;7(W8(aIiU(|jix-kQi!dg-k~eM%p`0fCAzY}tC;U!yUV}@96*?q! z_u(Q2F&BlT?g5;2Aaf;eQWLIH_Ylqv&K*hJBe-Bs))#`LE(Olw!CV@Wx>Pu4jB90F z8eG&g)@MS}zQ=GnJ#)TD>Yl)akGpofb*Tp`t(TJR|sc6k2y7xx+1tZj3>yrVmLzp>oX#0pP~%gu4(2o zr$tg%2F`+UtBflP7b0#`g-bY+_LYNkH z(!OeN?n{{SL{e8B&W7=lH@pjfg*rR9h#=M%g``de=e3kM9g;fnvuoo1SNSb-_DJe# z!kIB1C*x|t>6fuS1CsXDhEspXoCZnVyKo5@Pm*zU;Ec;zUoevP)rHfpV9pImojqI{ z#;r2$J-CpStS=l%``(9h4`$92NnJfS8^%jMKx#r#+NH8G$E<052u^JoG+5P z25=GIv-yicQs)Tg^#gM{Bz0;yNa`BGnJ{jaaUa0>u4a9DB<*ViXTOFyHIll< zaB&zod zxK+lrfD75c`ofX4uO*!OM&>+`)U|@MVZ7wSI>KL}t~FdlDC>(tQs)HcwTU?$k~(KN z z>jr1|k@Xpow68my<|pQyk<_`uC1Knm+?m@K6g0PHsksFtXU+>r-2gbnbmo*u>OA10 zFm94@o^ZMutj`xo`v$_9v3}!Z*7G1Z{cr5{Fd%8)U^w-5<}^s^K7&iZc#@3!98NWp zEr&gl_6>nEV?0jA4TaNx#rh0L+UEtQp2eI7N!>8G1dJ!ixZ!Zd*{m-JN&7~?Ir}lE zMN&5s&VunY88-?}y;#X^5d2xXudMJpZ#Z|3^MUi?I31iX$Bl;5bKDnj296s8XXLoC za6ue54lbDE#>0hh+yuCAj`M|!;JAr!Q5-i3&ctz(;mjO21ul-`#P3BBUtcD0+*G(E zj++K&1_YGVe$1Q0YF+)6k# z#|6V_IPQBmXO8;;PRntt;M_QFHJm%gt%398xV3Oz9JdZm$8jNWz8tq6PS0^0;0zqM z5zfeQp>RPQw+Swo<2J*Ea9kK%ILC#fYS#3^>%0*oEyje2ItOk+u=MpZU>wf$L)mEaojFAUyh4}({o%DoPpzZ z!_oKG)yC^2>3wN?;Nl*!fdnLVd*SHkW~ti;XOAAWOy7Pu`gv#CcL0ulzLvU!aP;%F z)S2Mu=S`_QB+cJLwqEo|>JG!19x!J{Qg;MSiE)*Ti-z;M&-!#o+IJK#;vRERNa|wX zY!>DeGAR%ZUrz!~qbz91y+i-SwJ&0G?ay0dUaG_7vS`_Sf2q&`!2$nZ!#B$r0x=&3gh-NE*{S72J7=h(!K<^h$QBskknm< zvn4X8ka54mxx;xPY2OvNkn5~397)|(xbP>;MIfoW24}g(oE1skbvS2?Yh_#_obf8_ z3qsPqB)Eht%q1bIy8-8!&YTyLx|?u{r_3pl)ZK!M!njGs-GQsFdK=A4n#rNJd(+#=&1!v#HOeZffD_XN)U z5_6tN>eAstE;1L6r0ywP+6CsUNa~)!X|tGfLsFL^^^mT@oPd~K{xkEDIM zaQ1o3sgcy#;NmczAmj4j46j(95lQ=A!D;fDb4F5^50`{-i;OFP3o2lJAxPR+2#=N;oUV6&0ALt}`gmJTss|M$*WPJuC?W+!_uFRYUNu3>B0>+bM zoC?lZh4lp?X$Uk<^LbuP)x7Ef}}TxLR-_@36jbB<-sW=U$CDPb78k!r3sc zsLnifb>Jc}9wp=I!g<-T9vzbQ*~3{zmoIr-rXi_&4=(r%=0cFviQh|K@;*xyo308; zT|GDx#?3O$0nWDu>(e7?Uwt_Hn#`$@)HQ&M!+3&>bA&V0Vtqy=?Nh^PYBT4Iq^==c z62>hu?gO}>cUfOBlJ+%%)7D|m4M|;NxHODgWn2@ukh-id97+3{!nxZs=ZU1Q8JrE{ ziuahOP6HQ#@hBPB9M0=~)}uqxz7OG)^_Wv3scQje!nj$+wS@C^V10Td?P~>RU!OTO zlDgJ#Ze!Tv$Q?6U)T}QUN&C9MX&W-oXu}-$zm(?ssuA z_rH&&zKQI9YCzJyK5*(u%xRF+xx*!3JW0lV0%x4e`ht+OPdp!t_&9Q&!kiXKU0*m0 z#?xe6Ke*s8Szic}_VtHTYuI|$AgLPwmw@pk8Rr3KY|i?EkhISe&iO;;v`Fg2^W%u? z#ce8E4tFGVgW#+fx5>D{aN*NfUj&l&eFo>LXU+>r-RE$M>C7pS)D3})!njGs4TaOq zV12$w+UEtQn#r6!lDc7VzF$`^*?&lXly(A942KKmxDjyS95)g!isMGXnK;fH&dhN> zaB&=`gG=DJ(Qru|_XV7Vz8_40Q*xXyoQmTn!r61& zBsew4O@?#lxG8Wtj{6eMm*d3qrHR`iJ;zOhGjN<9&d71o;et4B23#=5&4df#xUb;C zIc^qQ1jo&Wi{dyxxHyh8z$I|p9JnNo^M|u=++4Ucj++N(<+uPi8^_IuQ+)H+kKaHz zCC3@zR2;Ve&Yt5I!l^lK5uAqOzJ_z=xW#bp9QO^JC&w*;^WwN5I333=h4baOZ{hSD zw+zm}ao@oiIc_;z5XY^63+A|$a3LHQ3>VIE-@`?4+z)V39JdP2#BrKv$ zM>q|~{RHRCaX-UpIqny@V2;}Y7s7GB!i96(R=5a`i-3#bxNUGIj{6PH%yHY{;y7*x zTmr}Kl%|WfF~%X^#S5k2-`V-Hb_q_r(DN@)7YS$hjJ>WkBB_gl(|nHCzewtK!_n{i zr*01%J#PVZd*LjYo)uY#EuKPJ-hFT(L)iR9AgS9A=Q)%)9g?~Oa7r)c?2*(RgfnA2 zPR5zw=y?@r-yyi9->YB=S(J7nIV??gFq^*wWL>gej=<@MF=s?l7cKRX^J`Fd6po(v zfw~wt)wS1`qqGxAtTbJ6f3_#fVTN-b$(F+tN!>9x&2Z+Nk<=ZBOTu`Xj5`4rJc9Lw zBWd4BIC_2v>Q2GY^FB~_8je1G-y`eg44e(?LotfYCv|af5g3n>acAMYyjhP9N&C*h zX~tkZBdI$NmxOVPjJp7*^kID}B<;HhXTrEy#$AH*)v-Q3lJ>>J#f@ez0ZCl~oZ$=R zj7aJ(!`Y)pEz|cq96e71?Yja;&o@EcRXBPc3F@xF(epk~cO8zN_kp@ZIC|a(>XP8- zc^{~|0Y}gKK;2C^dfo@>Zo$#>K2UcXj-K~{x;t?6ybsjfg`?*!pe`AXp7((|3miS~ z19kV{BJjQ5QAq0U!+C{NDA}UukkmbZQ?6%Dg{1BwoC)J*8TSazcLVFwBWYg>oc%`T z)JW=5;o>l!Amh^D456&gh@^dw;WV3=b4F741TG2V78#cg7qpr61tV$SQ#frHb8blL zp24MI+$!TT;6lP#UpSKXWx~1t$ebsVIxCzFq|uRIB<-sVr`gM#Gm^S0a7h@q$hfL-LHk%=Fp~DY z1E<~3oEwt5YH(>7x5~Kca3Kd+UpSKX*}>7ztG!R2XRF}o^Kt5GNYfq9o+pMQsjCU+ zK7lzeBz3jmg2plzjHIqMoOT>@Zb<6hg`?+Tqpl8|!k6_ak<`_NOT+Z6GSjt(qvv&_ zeec0VVR|N+>Anx=evsY1?k1MBuO6IkB3lkUvL0?<%M7<5@v3zEK#AAU_DJfa;|KPW zSf2(-opk&l0pk`KCmlafUtsgEL6+_lrz9OepyvTB?ZoAfjvtubt1ABZ$ECCrH@VXB z1J6NhIdn(|{0;qAY`sXw4?+eq7lEWsI)0Egg*hvdI_da<6}OiSNu6~3z*_J1BWa)Xcys@XIWHu2(&Nqf5_`O9k)@s3AU)pb`5;R>agn6Q zTX@6Q*Gp+v`thd0?WIMk#S-BXKIQe>S3iI)hY_hRQU7w%?W>#3oG+3(>Glmj#FjS# zNu6~2CN+9}IZC_Iw=dmaHpKi%$73C^-KG0W>gr3*z?Q=vNnHatGsfd&oFklm4(l@@ zX`dP{Jb<|fBy|np)c(wAkkowumw@pk8P^ETIG6PWA!%P@IOloHxgn`*0+)tyn~ZA; z=Q*GC>5#Oq8Jwvfb8$%OG;s9%dDJzBqvy|~?n5|wzEtX3z|r%dQr8lWo(GV+R+7W{ z7^U+!Qr8-ep1+YgCpdb3KkA$%_ceQ5O6O&yt_>VLFC%qr;go@8N*;+Si|d3yGdO~IC|bl>N>&E^Bz*Cg`?Y{Mr3(i;OKUUy3TN#1#Eep zk<@j8OTu`XjOz*)ypZ*UBWYhZ$>BVo()m8A>kdcH_eq^A99`bVWWBh-xi4b#=ZU1Q z2b>M#im#cct|uHlzc_Wh;1t-dsE|#_{Pl*5!1PQ=>OO+gEoSqjM^g7OoLd5WytyMw zJF%e;oabTYypW}xIIHe(s*bPkFH5_!g8Kx{u!PND5VEOQB3zuOzP{gg4r0y?Nu9Lc zPs6xX#!35qdY)U_C++t&+Siw(v=f)(kLTG-+5ANyo0TkuaQ;Vswj9#!=J_piIwW<{ z?WSDDoIR2{>2@5QmX4_K( zk~$x_1Rv&-kkskm==pl78x5y8!upg*>b`)B!njGsje*levp!!W?HdcHI?9|qlDctl zW{k(lxbblM7}jS%(!L3B>R9G9Na}py5-^@5oU8%$G%G{_r*8il8-Yy5UILhp!P=*f9S}nfb%lZ!75e#c3bDep^A$KTRFJR$D>O zKTRFJR$D>O<4&C))*n6pG<60zdLC`+=D^YOUQ_1}rwd{0S&wXi`=4_=|C0NkbR5%u zJ#!i)b<*>z1dJ!iIO+KnJ&!i+lb&Bi4SD_fMrl|2`4v51OgAit^u2j=h2<4L*J0kq z2Bhzor*0k`{oZ)$0^lNcu)ZiHb@So8b~2|!QWprP+{K&7cz{oZ}*zJ{aUr%&BtIC?%C>b`-a=NqGL37or;tv^pBbwO}8j4Kx~Pu)_u zD2$tB+_!N0NY-OO(!OPI>L}(kNb0_WqvvO$ZaEx1KNEE;;OP1Ns9Om~&+kWFFr4o~ zwj6pSb>G7UW5X3}5`H0EsQUpnK=ZU0!o8XMQOIC*>2ua;$IOjdgxgn_wgG
RmO$Gh3sX0;Yix| zBb@s_<~)(q{RC&jaw?R6e!KlFIrJ#@vtHWw3tR+xqGbBEz)6*eo->-1WD$J>n z)NO?`Vcaa^BH(;Ys$a9R~}Zb<5);Lj|&-=Y9L&+#T4sd*eTM`{Aq@x5>Bz(sY}!o(Lpe-h*(SO_}pTQYY>P#OGm(X3Qy( z)E$D0!njGs9fpg*<1tF+ad`yJ>i~P)>X3B0(QwLx%&Cyn9fdPt+$`f_;CxN2PmiR1 zv2gZ>m{TLEGsDGUJVC}CgEJgveMThhI}WEg!kjabx)X3o7`Mo{lW;-NtS=Zz`%X#j zC>)Zy(~^sULsEA}af$74hC@z;T!1k~r>nI19&JflK4Kt8j`te|>vggR|$j>u_q0ON7&K zToRl!$K8O_a@C%)_zor>ec zm%XC1=Q#1@uISVpC%)_zordFT!#Q)@yKq{Ls{`l8adqL`InEx=ljGil({bGUaK0QT z9xf2qpPu8y!v>-=a9n*jBgZv>3*tCOxL}S`!-a5ML%48``v5M2;~K$5ah!Ma z6CC|MbLzBk^n4i9xxmr$VNll@j-HQzx-M{Le1E2SukZ`uLS0ul-+gS{_r;&PZg8p` zHm*j}zV2{w7>~>S^FCKNy^W3QU;VjrgR{?PWsYbJr8jSHa$|qDRu@ z=mlqAhB-Boy54Yc7*CLKAHg|0vHPDEN&7yAGn8f1H6p3&17|@`noOTNT(C2nZa9+m zeFEp+hB;3pb)Ul7Fs^9JJav8HA}}5$b{d&Z{!((IIKyP&j23=2S@Pyx>e2H_NzTaK2SppB_p3hQryv!<-kAx)E@S zYRtJIsT&EGhH*`G=BXP6mw@qvI)CoG;S6=zxWVzyoe!K^&BirII$fPKU5qEmxY2ON zhO93LN&CKlbN+xiEs{F%>;1*&R~C$?$+)p_%J%GWsY24ead0M#n`PX1INuJePmiR1 z6X5JSGN(pT=L;8y@dOz+5zf$w^%;@0ZxWnF%bYWky2)@!7`Mo{DR4n9tS=Zz`@V$J zc4p2EN!?VqG>lti+%(B`VSQF4?bA!HD;$!#>5}UPhoo+Xl_+I5o%l!)Z8fE}S#R&4bf& zTmYOK$IXZH<+wmNBgYxxf;esgTrkHigbU%gMR4I9_cdGu$1R47;<#_%OdPia&dhN^ zaB&>B6fS||zJ*KTxMgrwj{6SI#&OHx6nFmmak&Cc$#E;;R2&x!XU}op!>Kv$2RIGK zt%7srxYcl4j#~rg#&K)m+&OL?oF~VHzOj{6zT%yGZK#c|vgxCD;-6)uV6w!&FBE&?u%(B zIqo+&8^>*jQ{4UQ?bQxACCBZAQ*qobID3wZgi~`|6r6_RcEdSy+#WbB$BBol#OHr* z9Jde7o#Xbyd2-wVI4_Pn2&dyX6Pz!{9fH$y++jEa#~pz)a$GcA5XT*b3+A{OxDbwu zg$w66Gh7769fOPFxZ`jpjynMt$8jg&5;*P@ToT8fhO=(kx?L3^4<1WCdIPM~xJ;z;wqo0q`u$Pev%jMO}#FvQif)g+FeW27Oz|qe~QFj@R zem;u2-{IU(vFUpusk;If<<72{kknm;3pvU9!jaTngG)QXoE1skbvWH8?20dvx`t;Darli z4qO7plVsdoIAecyB?w8Un+)eXfL+lesk6Y@d$22NBz5=T6sOtxQzEIm4;O`TlZ<-+ zr#r*?e37*8AzU2hD?w)d9>Ezr*%c#__NBmS2C^&8Na|AIk}z(OacOWNj<0X8O81G! zhaSU4aNH9(6UU{)nK|w$TpY(egG=DJ47enY%Y?IVoE0vOZJV} z{roC*m(fQ*ze=67e^bS=?S>jjowR=o8pMi&k<>~1w>b19$n;73H^W&rT_ckAN&7eL zU^ZPhBz4mMEe+#V87J-EH0RiKosqOp+P~4yxl$+X-$FiP^B0b!PTIe@f6kmIk~(Ss zX2ZB*2=mlQ`?m;;N69#8|K>H6_2`haPujmJy_j=HQYY=-tQfb+IBEZug!OBYSZJXfVgz$aBz4mMEehi% z87J-ERIOg$PL}Q~y?;}4oV0&)<~V8prsX(k|K`SV(*DhzhgE7sPQd;et6%+P{TxoDF^994GDHA~;TN|MnsF zZ^r00E5%1_KBh}QXH8uJoNgq$;)|rN5RQJ%nz|x5`nhfDis4kF*cE#ubqe;+@Cq}= z<76D(R-~Yx@1}ia(Wm!j(={Ne!`osMY9Hn_Nb2ym7)1iclVlv;?xHa2SYHs5_TlX= z3g^+xX_3@PZ)b_>^!j$Kv=g^$cpHnt#Bq2Vi^9xtczcQ>j^pt56h#8Z;q563orW#1 zFA{G|>2c5wO+S)Tz(`&4l17R+go)YX7X zz<833s|jap$@+qjw67MNb1UYwNa||CSumc4#M^WKSby)r1-E8>AxP@Px9yZ1S9W5~ z9Z8+|_8rk#F>aG__Hg0OtS#=&ETvUw;}QN%|Dhy0~g+f^+h15YYykxl{qgYbsxehx-q9jQr7}53gafE^tMoG zyVep;*PZqGBB^Tyr*dV^9!XtmI5WoMWSkS6-i`GckhISkPThk!4U)Pxa0wVsl5uU} zj6GRj5R&$_gLCf1oEAx4dpHZm(_~x+xZvKbF9b>ZI>Nbq#GE^lx=wIbjN4?K7B2i_ z))#@KeJ*gGeVFq?Qr8(y;m({ANnIB>`ni+7c%I1qHaiYt6SfER{dLrd$3a9#KX*c% zcpOA@O6;gqNa}uvi|EB>$b_Ws3Y?{vut35k4N2WqI9pFFpiJL2ICnTtB<;Hn7u=go zHv~ytBAnYt%()|}OM(mO!R9XlEuQywNzDVlC;~?Vw zRP_;a_DJd;z?m@~C*#E9AYz{l^QGv^=9Bh4f{Va-l#COPgNS`z{aB9-V6)TP0>HK948(J5nTw!Wx<7WoOm2W?2F*I7jRJ=Cmsh8`%E1763)zV;&BkM zFOK7Ea0whI9tRQok~r>_G~Jr)@tc6`$9_}@!zGt^IQqVD>ZJV}{ahe*(*BKpE|5BD z|EB+#&5#jEowR>5;c;t5QYY=-G<{f~Gm<)K|CWSti;R=@Z@vTAc0-S(ebWBT-h(+c zk~(Ss7Ua(6FBnOkw11*$qjZw0}#(xK+kU`?ru! zSzkDk_DTD<1k6{G%=}6FH{<7QIf9V1PujmZ4`EJ=q)ytuSuma^Wzd3W9w13lboV0&)<2Y&m=FV}_{>_u)uzw2? zen&sQN?n2EhO)<72$H%&IQscj>Wbj#=V7TUhI8{`({)EuCm!b)m&1y2n~W2W^NWst zZkG0m$N5DUK8#H_0!f{CoL_XF!N&9fPK%s8I zoCZl9g^+%r-<2K|#GJkkltq2znqzab^By}F>^K8Secp<67(_4i?Jdi3} zlt}9Ev{ey>aT9V7<}Ym{d!O$hp{8WJAs%oQF1|?W#HX#IQ?+N#9!Z_}v`}6zY{Oga)`S#(IsF!Nydr0710^R1GvH^2ub_I z-G%6!wajUe)QP(b(OEE_hWt$Uop_PvPuvZNE?7L!D_la5)QP(R(Yc8SdWDNSlDbiF zR*c(ZoHtx}7uFYnqh<7lm(Q(;4G zkECuaoEhVBGHx849vgH6lJ<>%~y?gd4x!e5l2kwT7nRe_~=8NL9g@0Yflq*;ALzT)bD>i5OFY)%;+cogN zcMa%;MfVo|zxMjW=6~-B`5#_#aqIM0+NcTFS1H-{$`{+Ov&dU5-fqYKhi|I4lmEY9 z4fqN3Fk1NEOZY#u)Z^y=f?4}tISaz!u-CR<4Xd#2)FGs*Dz<0HW5~Miu-{KZo=v%Qz5FNFKvki< z^z*Na*zWjNXWJb!(%z2!JQaBc*-*uP?~lBOY*mB({%d4pTlVvRa#`MXzg+|W={2xX zm_X_6l(-EWF8nX%2#iVFw{zl?gSVIe=o)xOXfM6}x{d9Pe@(W%xq@t7i~YO+c@x>T zHv9cDWsm!6A>*QNi(ZCG1j z`}ND)*OyU(c|;`KAur&fGzEsLOs{j(mjdZqI(d8u=92`#tvi`YtU0 zb4&2H`Ry9`?^^@wg-J=zucYT;;x?=F>qv3@+qciX{#$Mo`ucGrwma?KXWN}+$OlN5 zdhGYX$W&xE2lo3l$Y;op>a*W(?Z)!IWue|qx53PX^p;y{&iQ6o3TP6Nqdiy1f zJH5Tj3v1>-d!er%H(`6zxdB`G-y@$Odpfe;uSeRD1J&&Jzag)?y}j}Nv$x#a_Wy}B zut}JxxV;jebBWt2@wyLw7Jn!HeCzFNMqw@d_gutxk&CY%4P~As9I0k>ey4(4c5}^p z-5Ry2c*|Jf^kpwxt|{)WN-kv@vh7?1Qt<)%*$WwoR5oJ2{{ndkSrfj^|7w} z$76ectZSrS-Meh>V~X6yb>}Y~Ir7u^7YAo89rtbFj)@*~pB>R;?VHjouQ(&|dH$t= z`7YHT*7&;{O|_dP%v|BrkeVyR$sVe#P`{&a+rQ_l;)xCy)y->9dDc2O_Q;?N-!q-Z zWPIPD-OUv>a%ROJ{FuKrmbTdQ>Ub43QKXhERAsk@s`BRS1v=m2Ytfeqj0-#J+E=an z)VpI1znGt|I}H4IT8sRg$ZpF=Z~j{wd^U+`6*`~ntt^?qk2QqZFK52FUh9(;evUDHTYp*Xz2QaQi9QFz9t7tm-p(HK=(p`LbG(1Qx#jMN z`SHhYZaLj~e(LmZv*UYo-}E4_sAlT6rP+x+il#is%d%_n_xEyj7gXq5ssA2vzS>k* zsFmg1ZqGa5I<#UXLz(j(8csYhyGFO|7ghzN-U-VZ^ahLDV?m|&D=SMDcek(_h1#bM zX9~C0I^w+G;^6N`{ua66;0K$lAIVPNvvTP=?!H*M^;I^tzRZG4if-cU?ye^EDtbJ9 zdONU7pYM;CZ5r-Uvu5|N>Rz6(Ci=ZD`30?8paxS#ndlMoz&e--hpGXNep1IG0Y6o=6UrY6V;BLRN za9x@Idhd7-u`hIIFTH|ti@jA;jo3pQj_Yc$j+F7t>f_Rg8Sxfj-D&U3h*`_&n3aqXI!G!ah1|Ew>W-kkZ{jU*$}+%*r?v|A7+nD zo|C&Z`m?{k)z*1h%53SPtSR=EJg&;S2@k6eIt{K;HN=?Gy?5b0XIq_HR2Ntkbxxnw*=f)Rw}rLdWNQ%Di9FEbJDAM^@1C z5vJdMo;>>b0NqTx1$K{H|J%hsc2t}%RjjNt^*G+ZmWR~xktFi@W{E$0*+xBJ; zUd$QX)Tz`waaN4D^%~ejF;A@i@n2hmo*n;zM{VRwWlE`DVQUb!yo|U}9Qpai!~g!q z*5{FOT}dt5*nXk?hc>o$SC#H!@A+yA-D+3xtnJ!zr02pWUf(wHD%-^ChbCSNnk9{azrnss+#|khpwRtMtmx6}{oF8Zo#tPa z-B_!<C^m!(V?6>XsHc`Iow=sMZ2Z@~>|aE(x22#5dj~bpN1i$tD3$2=(@j z^F6Bm15XIAo>e%CGyF&I%dnu!;k)`7^L}euH|Ol!pHFpLHEZUqqtj}=$)mQ1MbWF3 zB3>*Ob`jz;*dNq}*2P1eJ9!%qZQH-?@WZ2*?{7YP_|W0I2jB3ymm<5G!dPk*JFBNx zEcU5<=Uj!B8CQF+4~_0L{C2xxorWgncYOXP+s5+Me=1P4d9C<)@wm|P|3GmKo#I}p zbu2uYAOBTEi(0yv-_Cv%kagF0di>ZsBS&T4I@$LPUWBN$$J`V@h_x4m=Lhdp^z4T1OW5AV)3tZmuEXGdfI8pX3--B>Z}PU77QcUH9S z5SNwMbAED4c4F)JF`XWb+x0SIOvBh`*?HLw{`pyl=Or5uYCKrpSkS# z+*0k^^3DCk8T;eOe2hn(gIQ(QHEP(r+Lg~Q4x7ID(vjR*H_j|`f0N}kdG=F;mfSwl zllk+7TWkIUPv#X}6#Yy0-VPkpNA(Z%P7PKRioJMf8NVp5Z2#_)bLB%KC(Rfb_V3?M z8w4tLmFm^opB_^@@t=6eUh+}+lobDKM?2ar`i~vrcikuK$+){_{^K{;B)TprcY30- zB5AGJvHXAiNq75;$^)f(h28h6!gY%Odhf%X$_diy6<#cTp>3S+R8@JhU74nzKfBiC z-+t|}I#g*d*6yyc&`nh#q1L;V-_abasaa67<=L7oj}2@Bsw-cm2Bta#k*t9MSPDeEr~_TJS#^{iL)Igj=%^}V9^?wlIm#KU7==ftQ@x#tURUd>MYw0p*r{DT4AR`%)^xZ`2r^t(=( z=luTukwJ58g}aW6>I@NQ4$t5BE_g6L-*@63w+(YuMaQ0ekg=nUU+&W`9;cUm`X>8q z&2*c}zob^&x(El#ZXbX5c3|h`Ep=r#G-`OSmtT#5A!j%4-W#8sHRBDQtSu^QcjHUN zoRVTZ8MqzTvrmnGV5e zUui+P@S2LvSiS0Z%9|sWk2zG_ent4=g>}}>uB*J4ednXwt5zm`+Iy4B&O4t67Wiep z!P*F{Q1Mb&8TJ%LSk1!A4s{!AafQ$-|G-n%sUIn#q}xv@7M^T3DE2A$4-_|OuNeKW z+s~Y2c6!8zQg`GC(D%RdJ!D*V#{pGDs)RC)`Zw3Z57!$i}{{C}5 zSNe5t`RTR^GrA{s_47WE|D@kHyM<%jK>^Qp+&q9Ve4kO2JS1Uy=ed5)BTI< zCw}P~cDsV#Xc4PfybG4zoO)*k+5AC5BQ14<%%}CeDhBnmn+&hYO**-~F#sBWpkg(dyFkubf+`ZznarR4|_ZYZOz5e*|FZxI9=-+Zn`2GiFmCwtz zY}vB8`q)WBxk37JP3w3o7PomZe9)B9W6r*7THLHl>cNz*>z$rzyF^XZR_M|-I(u_w zKv8P`?Aa$K?LV|4rpWr@lt%YiLdG+$u zh|Dij1K+Wo$UT@CID1T&;&ugj<6m6+F#Sxd|AZTrA|0dWTVEYZfBj0v?a;EJyDlyB zD>NjoEcs*Q^(%+2`n8TOdY&??V1`R%s|9}E(b@Mm)y<4Hq@KEXD<|aO?dU59T#mkY z9No;PU%lyvyQV&wekgrqVmWU+t=+T1c2CmFq~81L@)buzH%r&sp~=R?6PNA!+C6#h zZx%+5MQiP@OW4Qm$(&#(Z@Ys&ExSdWNiP#wDYR1d{jOfF-ge?&-WS*{BDF`1q1)~J zui707__0A`rRWCw1{aoP>k5VO9Ajd+OTF^5to!ksaBhw@ zbbI8It+A~z5wT=PEmmeYEzZ=;6^SSI=Db)i`S4*UeS_O|+1Smk4X$Fb;uo!4EKI{z z^!p*~>Bwfqc2ZcJB>{(0e+hW`=;x8= zG7C)?&IdeCS=`Afdd|J9`|nNjHe?@v)WlEx>B-2t7oRP=>*v$`-1dTFKIa|o)LqwT znqlV2jg4BLc{y>#lZ}n;I!t#t5F6b&@uQjXOLNjP4|Q|OeAQ>pa#OT_a`6c79CPZf zdEH`vEQ+7sV0~C%VXiREj|+Z#xIgmXvaHz2VFOz~@^DQqSbHI^2&uH+gF8{d;>- z9_6o?buN8R_Q2MSiaJJK%**~YtNx=wMNcnhg}!hQYW)V>^fTnv&j|f$#l3FLo^M`d znz<+Unaj4ABD+%o-d`3680Syk%U)?wFY_pA(11`09y6f;fqf2yt^~{(P zM>4I_)$}~i+qsKhCa>wz>BW1(Y-c?Q@L4l7J^3r|9QBz|dH(aug}rk4cIAxso^Ssl z@yB`IYoiO2+ok?8=XpwyU$QvALQ%;&5f&p+nDgk(*J4{2eZTzZqjcB2?@w&)j(0Px zMG9MxwQYO{53K#ov@fsKUFy}V#@C-MT$oT(wKKAMOhaY;uY;0$^jP@u$aWg7SCF;# z$i|BjjvZV0UhU4mEZScFkk|Aon)?Y2dpbCL{X`SAz(4*-u2bIbORZDg?gnJ02NvDU zPVKm@&5zzs&rjWDxv{g}k?)q?KKyPKSO2at?ZUn)dwJ#Jx-YKZd3v(=k)7j=5B-fOo<*Vv1BUDE43?c+Xc_^#7lxiwczT5+VusA0M9UmN;z?uvkO(SvplyFPc) zg>y|(68tjSE{Vzi&gI3H1F0dMmNp&q`0>#(N1eA7`K4I573jjWH#evXQ$9N|CB8+! zNrts9^PVhCy_J9V^R*v^#h&#aJ?K=Q3-?x4tR7}a`K)Qn*s(|b+BChPs~$GnVtw!Y zmL`w4y?S1>Vf*ZPe&Z+Jnf0P@|AG_Enz!t?G-~>Soa4W2@CzB^y2yO5U96#P7w?^0 zcjUIt2#p?H-EnV*%e?CE_8aEkIoZoDEaTzkr`Hdsr;XgQX!D6mukOBgeNk>?n=|u2 zOuW%->3F-o@hQEopO}y|x_a2F_w!F)PW|@9L)YC6x}QoG=WNBHA8tSS;l=8e85f7| zJTm^+1doh@&0+AcVGw>+DfF>;)+nlb`Xtj)XW9Q~@VTpDxmoUlR) zb1&Q*oM-Ot{p?b_$Mr>aTMH{4oaI*Ay3O&q!^|cF{d@UmRozjy>E~0Nmw3Fdop;}N zSL;_a zgL?<3c4y{STyUaNYSgTiId@M56m^|Y-LY0tC!@z8FIQuOQ60+kcdM09zWtkorMQ{3 zQngd&+QOZB_3lqkc-H==(U6@VBpo}}@QX?{DpfjGec^kZwHmD^NL&7S83zZ4Bc@kn zTbHTZC(NzNkh4?!EZMc9`+^1j(=v+3ctnk8mS-1w`(gUMhj%mYHvP8e*p*cu^{*N~ z-}ll-1Me*FRX*^^A}1His>>TI=M1iH8{6JIYGa46hm8uW#cnP<5^u^K@NM?dP{%$m z{m!qL*EVized}3uq`!K?sP2v#X*dczSr3bDTHKyOPt(Nsnp{i&ZvA9(GsZ(Y>WlMUPImd(1r6y@h2{z4K$NPcLRQ zH5|NG-QpfLd&R8J4T1d%JKHSBU*0J1w7F$+*_E-ak}G;tKe6q>;o+9YM;{%rZ1Ol? z%R1#u@y5WyeaDU*T${Pb?ry92Rf#hKw}&?Ptw0g*?KORZOK~Hs?OfIv>%$vagRT1- z#0-2ov-(|^ywrJ7ZhSQQm3pQuEe* zWaFlJDY;KCWIp}ycJj1<`g=3B+<6vy?dT(?6~8*%c6fNdphfoxSL^7UUe7X*=dJ7* z=@S{aBsI^HvVP{C;`^H?IbX~1yU?e5#{3-rP8()TcUeCnKCjZJ^EYSzK6&xR5glSu zj^{LSOzwE_&?`HAddjP(hqC4z@yRjGZ}yYV$N?$WPjxDqlsaLm>pRU}R=UJ?X&uMgE&zmP|+)kvI5J(Tel$b@S<_-+sRP_lwdef3df?->4<&lLKEY z9&yky{r;;B4)rI!I)2U3eof)Z^iHYib;I&Z&%#`a&u7ownE%t};<2^|^Tr>qlo~iG zx6yLfT}2l%!Zw_#amh6``%IFmb+Yc>YWq2Tknb-o#}q1?yjO$cUN8v zIF_?9J7<~G=4ts8E(N}Nv~9f4wp7n8g3!Hfht;=S8|QQO zSWbt;js8))PUOtezB<0Mi?|YoXVjmTQT0-@#Pk+3^CnLm<+A?#*^vP+hpwC!eQmQx zO3L8)Ut_LrjQ{!G(rh1(+w*=48sGiNoD_%6>(9q;elTP0gW`iX3kT%HJ~qwjlYAgm zH|n-S1OMpIM3c*fk7Bj)p<}9Nj%<~>-@nM^j6>UXfzK!1D4ua3cSP=YsRiqcZx#xV z?k>fBT+U1{Y_NR(^zQ49S4y0g*;DvAXJ*}VE-SJxA{mcyW@_j*#S1oh@$B$9*oGj zbkTY*EIaQ(-!td7x6c1?X3@I97UG1ng|$4avSVoRwpEesv(K>gP@Fb)j>Gcv!}7xK ztP^kL$hFz^6BGN2H}W#^M!sk1Ju~LPlWFgqi#c9$8&4~^Dcp9&KWfih%XV!b+``wa z$MSr>o9U3cK7EdGtF_wMqS;KB_1oi%o;<&NEUV(z0UHnHwfER?tyxaW%n!RxFG^p! z(c|6APe5bkf2^UtME$4yXFIKBHf zftQBO+gq|GDiya%)$WR1oL2Q6yHm%z>$)uXbM@b*KE{%3sw=YnsqMe4|^Z1yjrZ zAHV40VF_&iOLy^TO~|pyBR{XbB&_qzrM16n`0d(Xs%w7Qvh2XN546jc>)+ z_=A|LRqxc^6Egepr*X&o*V*f-A6;Cgxy|>;wCUGAsdd?^BUk!N+7NT}SNnOBXFSx$OsTNsn%!o< zqO}38KHtrqY3MTH&YZ#m>+O5#m(pi822~ds13tT+`%{Afo4Y5@Kbq3(Xi7P!qk|?Dx6XgOrKr-Ou%j#c$9+6usP|sSLi3G2 zuNF+cq<>avfa}q*%aV+%_FnS1WWAh_-#Gr+?1^hrZ>}6y+~?;&?VQuozqAn-YwU{M@tSRZW^&AbGYsJ^W$}Q6`r_OcbB(5dPw}-8Rzo1_FWPAa*o%ugdbdDsHxWaj>!e$=|~!Ku6@lZ*Aw9Op;H zhgOJw+OCN<|IyZI!qb|CE2pmZepW6vHnMQA|E71GwD}9`jy!64Qemi*R``AL9If}^ z*520XFXCOIUu{gzT@rSqT+H%IyGG9aFxv98Zf+xO%*(Ymj3q1UN!2rn6Fe%f$eg^V zb&T~B!@Vv?pT<|-=(;Xu!il;o>I%!TaJyJ;=^+wqNiGZtrBesZ;fei$wqxCA*0Ju1UoEVz)Jm7;A3Jl|&im(Tv6*(onxozAUSH!sSCiKGCofhe zF83&iiM^3qTxNF1FLxD+D}3FpTgj6Lu8j2C8-Hz`zj!Bg@CkF>()#+A;+$sl9g|-j zepDng8{b)K|4KYhQ{K$#@uKm99&u4>ACBKc|M1p&?C<>^0s!lQ?S#VoO?Lg=jrUs)ExoI`6pL)D_A@9{8hWV zA$wa#uDIiJCi?2Bg8N$zHR`l+xnqj?PK}HedcUu))QA`UJklt1#m;Xk->!ep@bb_% zDNCMx6Ft?(`^mWZ-MU5ix%Iie_(&sREJL}qQIYVcUmtD#Lw4d{KA-yjhM69)f%|WM zKdWTe)aCf&pg`fzJ2eLM$tWlM)fZVp?d@8Tx7MxmU+>y|=j^egwDq4?6q_Db*!pv- zsZnOhB))nv&p&TuubCN@^GC;YD>!+ycu-``t?LpCCm(%UW?FjIu>5jxS^YG?SZvF4tu{tm4%C!2fMjN zsp|Eg`0YngQNN%2(Eaxjnxx)?cI;dee7x!6#UE9v@c&hA{Xt1*TYO86dYM@Hq>Q3^ zwN|!KS_vgk+ita4+Sb0TH0jsMD@#an5&5-d<+i(N8x^St>ux{REig+{3;{JQ6Cu+? z3;D4ks2C^+U-|uLd$WJOd2i;-oqO+@Ip=)N`P?&i=FUz3V$o6ft%utsEqfl!n9@=s zpv+Pq$;Zu1E1o~Kgq>ods!3+4cggbRN{8tWZ7e+D@@D!3b=B=&chB0fW;}-jrhlB* z0oj&JD=t#v2387@af3w1pl~Upbo$mDYVbq-7tm5ZgOZc!X*!j*hgAcPCRf+)$1)bu3+qAjSgJa(utEFX!eHB(c!Ceuk%2ol|G8cHEXS?E99TO9m^EO{FnP zayJ5*3?^TVq4msG#6XBnNzJx}FeM{nYw$D^R3n>|5R!r=WIo5ogcL?Zf{YCnNP2s3 ztZ@-Y!L3qP_Wc^yI!WZJm8z9FzmdEI3yJ&K`uL261hd3OGOVKU7_9LKO!}moJSt+l zUe7C=nt>wdW#}dpsn(GEKE4-mB^S{ndB$FlRYX1DQ*xty4XHZtGsR(eDye}7lcn@T z)fy%PDJR*2{gKF$eu(HShOy~7*knylE(^=R`jh!r9=*GZ)bJl|F|2Cd&FO6DN!ktd zHKaQ`9QSRfTItwrrfOs$6ZIsUdLJa#>!x6R2b=?02&h;n{`M_gN!LychyrWW5zi{J z{!l>0dfJe|(TqIH!x7h@s|Rw_J(p1V{=``?#Ha_a&Qe-=XY}bP=}*Q|^oXG)A;nCv zH_)7xW@Tw&Nd-SEL%b3=qTRgg0`rj|YmXU~OG(tIm=UX_(G%b1_Ect3;e?K#gIY?1F+s2c1<1J$iP?)6&nnkYeek)i;EG+iUN%Md~zh4c37@efog%WmR^wYxJm!Dch?pl-v+0x zAf_Jpk*(F8@)MaE<)#9j`J*2NQ(Ho`8k2a{r?MmG7Etwq2-`uOq+KNA!kVWE$B6 z=O`GV;jYQkY35-U#J8-Lj8zoDIA8Dc-@`fFIzYavwT0DC_apz4#Mw|E+1m7I=RMD# z8gm@p)6AI#^#xg*jI=UWn2Fd>PZEh=M7jD9s!L>Tb<(58dWgyh%HpPzcBP(=-d{NO zdf>!4W>oU3>>DR+IT8weSj8BvwLvI52yRF_7@%j%6Z>3XOgO`nihb^E9K){12vZ?_ zU;=l#s_pYWL$MoK98U?r)n6Mj!`Z^5m2jc#UXsKQO)*o|7T;$mRsDp~L_e>#9U~WO zbZ;J{jIQiF`2pdh8lJc(e^P<3&Y@L-&5m-4PbTjcXYZRO8B?#uC|z0?0oj~CkpTlM%>L|T6hTrc>!OqtZ9RQAAP5mX7FbZ#&ne9aLB;_;E~Jfq z9&mTn`v~>!ra?tOf$#BO?sO-{TtEcWcgSxytHbjVAkfsObkX=jcYhV!8knZC$9v(G z4qe}4;phfD4aQ|T>V;i2Rc|eowMfC-RedWkQW4M=B#*wU+V-Csobn8J11PBPAO6Zn zfB#S!>!AVz*2klhD|$$A?wI$Q@(-GOQCrV0+z>kdyO7U7zXWvT4sQCdONnntg3Svg zrTLG`^@_wTYr?j+nR5?rU#*)7Ee>oAyb+lD=_ltghi4)4KG&|EJ$1?CU3aD~_~E8R zGq&?39|a$8)6c{*2kL18HidHVVW=enmC>FmdjX7Rr-I97bK?h?53Bg=Get0UXTI%0 zF;OHwt*?g||QF z+uBd_abm<`TmnM(Vu*G;WP#>NI?cE6`%6EF25wFkbb~95PSZ%mmW6lW?2hz9@;F^r z(;|haKO^op3^9Wx(h)SwC|qU{k{#a=m>Ku45U!{zisAgqiiBq(Mq82C_UmeQ*Mf(A zq0hB1St-c+&WXZ#5Lfd&B(X6vDZwYwk6fE^r71U&&(rV6;LlTPF23fl1>*_rtl8#>UKf8^?mGdS1PXyb`w9 z{&@MTzoE|^-ef+m4>Ugrx2q)&OPF}G6cxMVd{tfk<=&<!jy5>lbsSDerspd5P1_bt#YS_p`6y%M#G_oaw^At|`>I<| zdB-`dtQfcciW%-NalYmSo$jc)Uc$>6ailer7j~v$>JN11cEV9rN$5n6so7d8gtIr0 zQ;w{yf?eTdViDxDSR_EUUtk*8o!huLEW*K~%7)tvy^sX-vj8Y})VbDE*Cx{F3|=#p zBPy2mvK)`iXl$k>doZMPwxJBoc7Ky``0P8YbY{W=E;E*Eh$C1g*rwaDZB|LEIhr+{ zi&L*4TBMb9%X>dud6c1Ox^V6-->0%Kumt};%1VxF>Sb9+vB}-}Ok4pwo;3H$r2kKr M&2WFTj*!9mHx|*1pa1{> diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat index d63851f..ab8782a 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.bat @@ -6,7 +6,7 @@ REM Filename : compile.bat REM Simulator : Xilinx Vivado Simulator REM Description : Script for compiling the simulation design source files REM -REM Generated by Vivado on Fri Oct 29 12:20:13 +0800 2021 +REM Generated by Vivado on Tue Nov 02 23:16:09 +0800 2021 REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 REM REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log deleted file mode 100644 index 370669e..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/compile.log +++ /dev/null @@ -1,10 +0,0 @@ -INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/data_ram/sim/data_ram.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module data_ram -INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/sim/inst_rom.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module inst_rom -INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv_clk_wiz.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module clkdiv_clk_wiz -INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/clkdiv/clkdiv.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module clkdiv -INFO: [VRFC 10-2263] Analyzing Verilog file "F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v" into library xil_defaultlib -INFO: [VRFC 10-311] analyzing module MiniMIPS32_SYS diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat index c258528..4debf67 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.bat @@ -6,7 +6,7 @@ REM Filename : elaborate.bat REM Simulator : Xilinx Vivado Simulator REM Description : Script for elaborating the compiled design REM -REM Generated by Vivado on Fri Oct 29 12:20:15 +0800 2021 +REM Generated by Vivado on Tue Nov 02 23:16:12 +0800 2021 REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 REM REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log deleted file mode 100644 index 073aa28..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/elaborate.log +++ /dev/null @@ -1,50 +0,0 @@ -Vivado Simulator 2019.2 -Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. -Running: E:/xlinx/Vivado/2019.2/bin/unwrapped/win64.o/xelab.exe -wto bb1d9b6b857a46b28863b191d55162ea --incr --debug typical --relax --mt 2 -L blk_mem_gen_v8_4_4 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot MiniMIPS32_SYS_tb_behav xil_defaultlib.MiniMIPS32_SYS_tb xil_defaultlib.glbl -log elaborate.log -Using 2 slave threads. -Starting static elaboration -Pass Through NonSizing Optimizer -WARNING: [VRFC 10-3091] actual bit length 32 differs from formal bit length 11 for port 'addra' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32_SYS.v:37] -WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D1' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:138] -WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:139] -WARNING: [VRFC 10-3091] actual bit length 16 differs from formal bit length 32 for port 'D1' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:152] -WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:154] -WARNING: [VRFC 10-3091] actual bit length 64 differs from formal bit length 32 for port 'D0' [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:222] -WARNING: [VRFC 10-5021] port 're1' is not connected on this instance [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/MiniMIPS32.sv:123] -WARNING: [VRFC 10-3823] variable 'sll' might have multiple concurrent drivers [F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/new/dcu.sv:108] -Completed static elaboration -Starting simulation data flow analysis -Completed simulation data flow analysis -Time Resolution for simulation is 1ps -Compiling module unisims_ver.IBUFDS -Compiling module unisims_ver.MMCME2_ADV(CLKIN1_PERIOD=5.0,CLK... -Compiling module unisims_ver.BUFG -Compiling module xil_defaultlib.clkdiv_clk_wiz -Compiling module xil_defaultlib.clkdiv -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_output_stage(... -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_softecc_outpu... -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_mem_module(C_... -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4(C_FAMILY="kin... -Compiling module xil_defaultlib.inst_rom -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4_mem_module(C_... -Compiling module blk_mem_gen_v8_4_4.blk_mem_gen_v8_4_4(C_FAMILY="kin... -Compiling module xil_defaultlib.data_ram -Compiling module xil_defaultlib.pc_reg -Compiling module xil_defaultlib.mux4(width=32) -Compiling module xil_defaultlib.mux2(width=32) -Compiling module xil_defaultlib.ifid_reg -Compiling module xil_defaultlib.dcu -Compiling module xil_defaultlib.register -Compiling module xil_defaultlib.idexe_reg -Compiling module xil_defaultlib.alu -Compiling module xil_defaultlib.hilo -Compiling module xil_defaultlib.scu -Compiling module xil_defaultlib.mux3(width=32) -Compiling module xil_defaultlib.exemem_reg -Compiling module xil_defaultlib.mcu -Compiling module xil_defaultlib.memwb_reg -Compiling module xil_defaultlib.MiniMIPS32 -Compiling module xil_defaultlib.MiniMIPS32_SYS -Compiling module xil_defaultlib.MiniMIPS32_SYS_tb -Compiling module xil_defaultlib.glbl -Built simulation snapshot MiniMIPS32_SYS_tb_behav diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat index c3a6376..3481572 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/simulate.bat @@ -6,7 +6,7 @@ REM Filename : simulate.bat REM Simulator : Xilinx Vivado Simulator REM Description : Script for simulating the design by launching the simulator REM -REM Generated by Vivado on Fri Oct 29 12:20:21 +0800 2021 +REM Generated by Vivado on Tue Nov 02 23:16:20 +0800 2021 REM SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 REM REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou index 9065b5d..add3b5c 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.jou @@ -2,8 +2,8 @@ # Webtalk v2019.2 (64-bit) # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 -# Start of session at: Fri Oct 29 12:18:47 2021 -# Process ID: 23084 +# Start of session at: Tue Nov 2 23:19:13 2021 +# Process ID: 26072 # Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log index 6e1a36c..9e00253 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log @@ -2,12 +2,12 @@ # Webtalk v2019.2 (64-bit) # SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 # IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 -# Start of session at: Fri Oct 29 12:18:47 2021 -# Process ID: 23084 +# Start of session at: Tue Nov 2 23:19:13 2021 +# Process ID: 26072 # Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim # Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace # Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log # Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou #----------------------------------------------------------- source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace -INFO: [Common 17-206] Exiting Webtalk at Fri Oct 29 12:18:47 2021... +INFO: [Common 17-206] Exiting Webtalk at Tue Nov 2 23:19:13 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.jou b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.jou new file mode 100644 index 0000000..9065b5d --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:47 2021 +# Process ID: 23084 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.log new file mode 100644 index 0000000..6e1a36c --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_23084.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Fri Oct 29 12:18:47 2021 +# Process ID: 23084 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri Oct 29 12:18:47 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.jou b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.jou new file mode 100644 index 0000000..16c068c --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Tue Nov 2 23:16:20 2021 +# Process ID: 26756 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.log b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.log new file mode 100644 index 0000000..40d4ab6 --- /dev/null +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk_26756.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.2 (64-bit) +# SW Build 2708876 on Wed Nov 6 21:40:23 MST 2019 +# IP Build 2700528 on Thu Nov 7 00:09:20 MST 2019 +# Start of session at: Tue Nov 2 23:16:20 2021 +# Process ID: 26756 +# Current directory: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/webtalk.log +# Journal file: F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Tue Nov 2 23:16:20 2021... diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xelab.pb index bc75e8e03e2ee10472466e5810c893b3d4ed6d0e..f2e0e3dca823774ccb8851a94d0c966d56d9f6c4 100644 GIT binary patch delta 179 zcmca^InQRp4i?5ElYQA^Swy)Q4JQk7icL0Pm7J`>*~TKl#biENj$Lo^4wi{Pfi5N! z^U3n;x^S+U5s=$A*@kg1iE1382z$Fi0(8yOoyO-Mwt%aBzBB+F!E ky!js&6C=bh+0F7izMPZqiW*OzFKRbAN7Q(;fY@<10JRM-I{*Lx delta 121 zcmbPdbKP>o4wlK@>=P$Da*0g-z+T2=Y_VC6-Gh^E}V%_2fOD>-t>R_5I%W{XOT*SYF?7_ny6X=jt;t z%4ytnZ12g9b2~-nj&t~n zTu#FAIbBP4OZ+xhM9guLoz&!%@xSy-nbM|guaqgB%XVuvrCHh3X81B?+Jv&Rk&LgC zx6ba9!%2y1=H@gjTR&wQX#CdY5S?^ zIp%&;p}PO^*>l$?l$*2ZaewONxrKc+4{y9qA)^9k!JUh($GCiGNUVUor_8cdoG8(hgzL=%)c{84NWBIJV^Yq*U6>7{~ znJ~wn@aNpS@}Bq4zBspHzVrT!ALbUm{k-3~@g)gJ+C%E&n{)_C;YFH%ky|8CnM1< zxjfImCHFP>kCG`US-ESO=KrhW!e(hl=$7o)@_&_F_|X3< z={)3>Jc~rPr1KCYLWt?;H>LHuwr;&KT$Glf#!B+SR~c zFf(qlFFjhJ&~#r%3%&Kcuj#*$2N(G6w@Bu`#lF)2hJ4E-LPJ(WhE}inHx&B&IbWX8 zvnzbvGskZ5Wk#uK`#1hg%6w-l{h8nG@C~$vp`w$_`tj~a>(adu*1$)Rt(zV874)G; zX7?k$@zLSshVI=L6%(3q#23#&dVlUqZS1dqD)Wsy{D1lU8K*N}FYKS?_a~psY*ftu zst2b_`0wNOro|M>tXjtZt@~AG?sAkfV=EBes_4HzcevrI!S(!pUvlP< zyZjy8U$N&m*7{GL%FH_B-+x=Eu`f1%=F*G)vOZr{sKej59>*^E%lN40xeVQ(@hz`f zt1AkO{lj0*=L=uW9e+yy{c40>?V9w*{*KU>Uzh&Hjeqq}zMKB3sJZl}|A5zi%jhUg z70UEQP05w@O^)#C_S582p*h$5Z9>An-8*Q267w2?!zqNAqxGBOM2 zh+2@z)xEzX>d^oZSE6_rhkhEBD+kqi$Ap<*3%@!gyz!$j@mFEfOHrMoeBWe-u0*wo zwOjkz^{4`N;WNwoqV+`x7bPaMTaM`SQOqqCnouD6vCMt((Nn@o!OVWSqA%-<;dvvz zc(%a5UxX^hM&}95C=lH}wCsFTT;}z{(PPaOddgy%H;YG)@cEJ_jVKw7|L4dYQ8MPQ zfdAMJnL{ea+~)V6J(>AT)tFHQ{FA@W{If~SM|lAuC`B^A?Hsc&%0K(N%r)I&cKQ4@ ze#jj7V9cc&u7bPpHCGAYRw@))JSrwWv&M{=U_XEQkD*OhV%p)?Oy3_d??m|zpUKSn zE9NDi3y=OChL{^+So2Rz$nW3!lWQuH+1(er%;$b}!yh}`U;nJD76?s>irtSdGCM}c z`hEVZVRb-`*ce-ms?f4?|)!5k6v9U>KayX5*t`7As6@7c=D|uqi z#7H_)JPK05*t&Tod0D?#K$bu0Oy==wu|w~4M{!Rr_hyRA9MC5=*Itt{XH|;p=+2t? zedV|%ZT*vf35|U^t{r~OJo0oL)_w9^=Ik+XST~?{Fbtn(gyHFNaaecCuUvPP=i;z# ze06YQ+;D%~c`oMuNpbt}MP}*Aaai}hu-afs+zt1OyQjwW^-undsavPUZO0dxBc{b+ z-Sy8!tb6#}IIPM58lF(0MT2@1EE=EF<8F` z!j{Bx@x}dD9}P7s7vH#Kuc5cFra^SA`_kBLUz%$@d^D6;A--{mVYhH3LUbMJL+MC& z%eoG4o<(^9UFBE>sg4dHF*@fCA&#EPJSLrEsgn} zxrJjPMAva)RA@tKTvs!A}~I%4=-|W^2(tm zrQ+`>JkzW5B_1YxAV>_q*&qL2zde~{E5*k}Wj3r4zucEurE2``QIo!{8IS+pmicYX zz^AEr#VC^)C>y^p8Xpg~m3NXusfmG?d=*07p9>W7FOLpw$`vRdI$SD{J2ZGgAYc4G zyhTeHyL(?aP(C*T?;sGmP%01`bs^db-8(Bstx({(!0n+%r3114#2hS9qjXesD4|T? zw$MY*A$_JBO9{tNKA~{3&pxBzV^7MAsjKlx37yjZuisZSbYwhcTllXzchPFj|Fxf_ zJ&RfS@s-oO=6<&LsXo5kRx7mexj@ypZd}pX(AY_VnxP*iVz{JF{}z|v>Ogf6y?D!-syAZO^!Nr5V%LFJ)tjd1wthl)%NRE?j_`A*7kTmHKuR*R2B z_=uP256!6*e|sn~Zy+`_6aVqWg?c9h5<{!r^CkGVl?{!Z94J`by~sZI(<1ga*Ra2C z-b|S?IT>2btQ7ZN!6(lY_mgL``^mHZwpO8!CI>qCw>A%zni8n)U*0@)aYmp}sQ;8e z-dt@^hU)4M-^)6%stVpOlZl}K)~;`3^iUFxFeJ`HBjEyHuJ}+ zfz5c;X&D+iGp0}|p>7~9^!D^Xf`4O6w{ZT@=<+e~p`WJ*^7=Z4u1^o__NS+~os;HP ztsd*|mEtBf^mWawHZ$;s-=B2fzh&~xD|^=ca64n_WGn_7pKu>5R-6+k}8dE`xQrNX)6moqP|74!ajK2S90%!s9D z_6h~wi}KfR9h%+gwhE!Pivzj+iLKeZW++f5=d6h2P~zOc72oR2g7X4({l3YewhICw z|JkrLS11r0e<8*j>u|Wj(8YnGzB%srkB9o*5tEQNrR4ZNW!sc=Pk>Zh^o4CAMyQ}W7O@T_Az+UMivPa3H@0* z#~t0=@2_lIbgL##PBuxweVzP>H#((eWox+26F))C&ztLE}Uz*ZOMP@jt#k0kv7vZoSdOKJ!11m zW0QTM;G;PT)^K+Wk79VIXXL8!kM}gX8;+-O?0fFFdV218yqfKIX!No``8?@xg|A2b zJQGTU=1j~{F!nG+XWNR{u;5NoKx|XHY^q>94fLr(5K0&h><&&#STqPyA4&w z?!F3Nn;AJ4;(R$*Zrj@I(?Y$g1a7bTsuJur!FAi4;db}V6nF36=PJ^hEqEzVG%?y| zhlG3P)W^*bmlvIJJ(6&5#pMkZoe_A&zq+0Gl*ezx-G!;}1`9WE(TZJh8ufB>ySb-P zYRZgpz1)KkH%oHLj2tQ6MV{(Pp@eGj1$`w$S*rq-{Bf!O-suh6 zz%$OsI|Oa{9W3&aDiz_V4@GkURb`zO9E%+vp`14Bb;VkPvD+(4RYg>~1~) zokQ#E#Fu_}{5?ZT;Vu~wNWm>#<0k%huJQPL20$zMBsD~xb5-37g^S}%bEf7Ri0G#$ zvEenOCPzoeb=-5PKF*=^PP~G>I83ij?&O91k3SyDSQRKEDABmxk9MwHwXrj^xN4pLmC7uHP+G{f_wBp-bxm#r)0#5ec)IaJHLU zy-4VR^??$F@%Y`U@Wx1=Q^+g45ZdWLfzS^-0wqJguMZUU_j)j*dK$A*9t=HvFwm@c zVaM5?cqNZ$qe_B|Z#w<-I*o82p-UoSMk zoaw#7d$T`Kqdc2)R|i z<=(klDCFJt8|j<;i2H7>c4*iexb0IPjTk7ggjcveH23yB`bMBk;cN;!eIk6b={t)L z(h4`9*(pur)4WNTTzLW(%E0zj3*-cB_I*~`aQu*u=T(AYV?orWzbis zKYdAMvhQ~?(szNreV(sQao2|3xYaEsAjm$KP=lLtn457V18klu?Z^!jqJ}9EYMrKVO#120-jx8J-_qO|#435Z3Ea%m# zKbToxuEQe_DZ4w~84}^0P48LMbFXpdH*sH$-+?(cMrJwXy$%bZxmkD_$@Z@8l@?Jw zjlL9Ux`PT;$bNehhDMlA(tI^7RPyUUvryDdOqCUxkcvv!!_`B%?k9HQbs}w;D&&b{ zyxXww?(NL}MKf`@YYwPfE^>@)dV?;!mgo<97e$d=9 zeX_7=+1!#fMn?ED=qofbd^tDba#qTI&rU{qFVMR$?0sMpZmaRTuyM1WjOfq{<=mV8 zNp|?leT}G`eTU?yBD{y`O?rx6_offc-Hi?xBD0cF&b_^%xof|?J8-vud3r>djZByf z%}p4wC;K}p_2~#-Vim7&eQ2%^uLvcJL(1-1cSc2cXVZK3>Clklc$-rdw&+_Q5gQ|I z4id4Jg`@ZizS{L=b7c2=CZb&$eJRk~QQa4W5{0T|Z%!B;VLnOo)vy__6D5ngUjMBJ z&WiM=BGG*=M)NJsRo54HYhT(J^~@7TTemTxhu_1CX20sNW%FX2_^fPrT#$%%anHK1 zEOkP2Kfuj!I5J^56Sh7ZzTG}>pOj!kg#>)AM}>YtCOo|l2{kez5;B-j2%2|0?ZfSK zGBV);6ZU0M7vVchU(&dLpMv85#d{&r zn~X%P_E^~K9{rv-n|o^c_=vt6>75MC-H6Wa?O!|lnNuf3coUI`zV##k8q(kMrJv6ybcSYxzo9yHi|>a_Gy_I(IJiA6lniGEqB74eCsi0TRTyz2d? z@;XjAoc$+*8q*?t8T1vJMqm7q>|YK~M*1$$w-1_DbGUx?tC>Ch-=?7%BmA-c^y%JO zN+jQvy(@XfzpnT=B;x8M&ETl+`<@aT|BLxTq&c1DW0B^>yU{tDvvc{(h|Xteo;;Ih z=WN%P&5K0ptO#E>B)SjREcZpCPVok?Wpj2qvmDW0@K$U)DmbKLKl6bubH5GYe3 z%k^b*VD5W9qUU6qH$ES6MQhxX{c6+aMwqYC+zXmkyWBNrbHpdji!k>?BF0Xb$0I)B z-s~qn7U?@iU)H>ci8EYtHccnbk7$~LL^MsC&!!jtOVj!bB79rvOI#4qv{$3-x9M!8 zISGkqdKj9v>9A|g=1yD~is-zM=8O>U#AMtI+1(H+3wcAtAqV|xER47zHoCrS?qFw8 zM89;J&n}D@HnB0xiOwxQwQYqKIvp?V7Xc-0Ovi&fSoRu~VSA+f=6=ZvQ6m zX7iXQEROK*qxb3yd@q>h`mz}~E7DgViRig{F$cb@eOwW*XExrnB@sPW)7x!H#9~vM zX200Pr4i;rNJQf}Xjp7!^zp#v+`-=1;#mAY+IbHDb?@hBXF2{G@6l%b_co6{!+-I! z6-4V`W9jG$qQm{o2y`p~F|VfI^16VSmj+_qToCetDCmo_#Bv}eb^x)=E=5l(nitoH z(Xk*7vjRl#5k(v0ZfEo{5Tlbp`Mia!0R=hD5#a5f_?M^@zD-808HnvRfmrY}5DU6L z_+ypZ5ekn5V%`e;5*|y@#t;~NEC^(H4t}B8hiVL$12NhG#ON+XPb-=i*C^Z=MDGd^ zy+;&njHGa5kQ)s~J9B_E`|y*vaAQ!oF^JJ!ik?<9Z!U|D1%(@f!i^Qh@3gkYAV%ka z0zq%neR(Wg4is(-3O82tw4!+vEIJkxZVaOL5U8|Q`J(bV=e4{iLE#bdqCa*KH{lM5 zY}X8T2Maz9V&Yg3^VWlyR|hu-^SXkVHx$IYB_QUN&2M=vK+Nk6^74YtbRZLtgOa>q z{sG}8#{bGt5T-~Y?Mpa81-2-BoR8fYaAA(q>bD}x=g6J45 znk6~}q9bo9&*7ebXNaKcWvomS5X;;r8Z3H8c?YGP6eX0kDl0{Afmm<9=r2%^Yj0Z4 z<|{p@fxO$1Tk^Bv@6nz z;{ww=5)>{d?TR$_8yd{(2x{(i>j!G#QqY+J#6Dq!7eHLoTG3HO%V2|Oz6<1;ot_|i zmw-6J#5#`C(yO-{M8|Ou9nPKR$OVeV`Gfzs-y~q;mI^Nb(Yygf^HD|f)wOmvL2OqG zpN;8g4Wc6r!~w^E*kgt$vmW|09D@(hG?xU?+ziC%I1pDi zq$SYUEHyxEcMpi|+KQf1bT5eBZ$WGq-Nd|2Kx|jNsV%NMh>o#AAe+ntvB`^~eTv@E z%q$H-bc_PAbZb;2^#^fDOF(S03%}5D2*e)WgbC+g97bmNeh@9ArELeX^fc5Ztp%~k zDf~jmRS;59zIck&s{~@ZyG4BzeFM}y=vnpyX+E!TmHVt+!B*C;BZ%z=fasVF;`mEJ zZ1+E4-&FJxi0!`ZWbN{GHb-R;9jTpl{`G*!c7sGA z5QjMfV!N9ln#*>v=p!JuYv0w{jRVoK7(_=Fi1iMF*e&?AF!p{6cLmWr1Vr;ZMLz+t z-Miha-6aqmfd|Y{1H^XsfY`3B=qX0s^KUPZ=5Il47yY1_n}FD^`a{<45fB~Efaq8b zV!PKsZ1;}nM@8#)H*b4TkmeCUnm2>kZdng&_X&vY&VlGi=xL7PAhxS2x?jAhug7`ascwz0F%4L~myhz0ZTVxZoq~hVyST zknIkDXt@BQ-E#GOc0yADB7p!9V5(A7R0=|qCOy2Sq9>g297kE24dqKAU6IE1c!V6od>eu zKcb{3t>9B2S|)&)w?Oos@@|6I_@k$c&VkstSh`hd3<~cm2+zM;v?q`>S>Z20;cb<6 z_tRE7Fv_SBD7>v8I>v&+y9#3E7e)INy(9RHSsH?983p1>T8}pB4`P!gAU4?rqT>*V zO}-Jujj`zcAUZ}%+YWMjVExmcwI*vpY;pobiw{o-o8;D6Q9_iW=qeB$Zz{T1bXj@T zGpySlm!MRC|%JHKx}+e(KDj_mmCKx{nvIitlOuW!&f08H|BA$p>f$q&MD zhoUJUmU$Lb)6-^yDtWXWM6WZ+ya^zBt4pg7qPL^8hdj-@LL((UtHcSQ>Ryx2L2OcH zvNh=l;#l3J^%V6H4G@hLO%ffLtoMI;r&#GfK`dQis%dpa`KMWQ1c=+ZOxnjFUWji* z+oqeN@CD4vuC}4-m^d4&ug50nsu`(fOjqqL)QmMLR|QSyp8r z$vywx0&*n{XIpq8h+6wOrrqRC3x)sDxcY!$S4qj#?SSQQNtHbctm;EBv6S zm#D93jA*>*0}!j^T4+s*iQWe>dPUmGMbxnVm=|nK%|t^$wB%mwIK#Y^3;=P{Cxf_> zIUug&O%NU170nXu6&)0P?-I|ypCndYVr4?2??Aj4`YyHTCJ?nzFPheGnd1!iM%@eI zsNaJ)>MtOUnrFE=3M>y=xF|#>mJ%h2?iDo?O$KqrmqZO;vaxo97|r>zX`g|p?Rf=% zp2hLjU+Ptx^_^*cZfY zt+C3q6002t?@N$38pLf~1d8^wmq8r$eGr=*Q1poC3(+^C%d5TjKW?dO67OAOWmbzW zfjCzBT8r)mQJc2Tw5QiQ4&DZ#-WMQ_dKtt~Z-6*z;%nvzmIpFiMN~_4m#Dp{i)az3 ziq|gcb)&YRs-E_#wBj2~I|HKQ=tjqRitqnwZL(S0gE&k#5NCY`M9Wx3Cy8c==84vd zHi|BTSf%G?qt&1wXRY>ziRmC}jo&n_`WDAY_trE6#BE&*;;3(cYI@PnL2PnD(Nm(c zqTfV0f^S)wfT%r)W%h~kW!hK^L5!Y~wr;Cwi?%rq-lJl)KS3Nd|90z99K=zRL2ebC ze=QVlBkCx6Kr}=&QnU@kVQxyx{kFBs1Tp%fw1qoNy9x@w|Ev3st*$qS7H6m9jPh2} z1H_e#0&&yFgSe8FAUf77x>>YU^seYL(ea%+|4P1VWyXlcgV=5lhH-i)4Q>On$-4@_ zFFGLlM08ekUR3KNtC9|4lS!f)2QAtQ#Ax0_p60&)8w%w78$@3*jOf!JJI=G-No7Nvht@anDl{)4)8Qw5K5HHCR5Jz1B;;8#T9QBZ*M@3(`#1(%h z@lVkWQR6SI%yv=qS2osE5Stv8w)D7Z(@r?fSZ~yyKpZvZYa2Ds*XYk#Ye8h0`U>AG zY9?we>MiOkS_fj4i_)BLtlb(AqhFd9bf$i5;?E#%b<#_h@&KD`6f~Xbz)wJmIj`tfJ@zZ7yM-76)=ig)?XI%$klT9FQdZy?d z(R-q=L?=aMf3qt6L9Ft$sPqMkb_@a;j=E^#qae=zlISBv2V8QTiQcB~192s%L9B8f zRMU&*{oU%_0b;bcs7#o!{z?*)MJ+^ALEMkS(#BpkZ4-#4vqVo^vFLsf7ZUr2Q7sVb z9RW?k^M?Y}{2;@}>Ixhpc&i6r_@PLJ# zBcd-v--s@Yu8HowZdF!`E`c~|`VEWj28BQWPW#8ir*Gmfsr6?40>oJ_gSg@wAdZ^o z_`;)>2QgYjR7-T1sJ*C*Xpw{OE_22Cd{(eKh_jxOR>g1H6%ZZYNBNwo-l&c7ca?C| zo*)j>7sOGgg6Np7=mJr2iSQNCHqpDHs2o=2J`kI96Zv8+S`)?k2ASaV778Smq#zE4cvTO8!vvy2u}Ij(AZ?Q902QAXfPR6#o5x2NlkB zo6VX8;s_6bXvq}4qv#C~FIb^~Iog2e_)D}fr_cLamhpSdKwM_NU@o&11#zACf@p50 zXe&{w=zh^4(Qr{Fh*e7EHW~ops29T;&c6nEOpH#j#7m$V-X%%RYqJglaX+2}anwa1 zHd&_V3eh^zX3;*;A(w()lYIHC%rwzwAkO;G?G{}DqBc0cY26F>oS9yg?I3RJ7a)#$ z62wuX3Yr6d<4!o5r(n>qfW%^=TB7=*(IBpPx3nXoQFmB$Er=sLRLHcqK^*@tqo7k3 zfBygr?g7p6R+3o6R&o!BWm=f9{GYyo1s zi6GAUlC)2hSEHCY>VoKa5=6%wY40mKLK#Z0Ul@(PMH72>|UrUM8KwNQjS)+C!j&)R8iE^g>2%_V&@;>KzZ`9fqY}8Z` zhj{?RQAdO57zeWVe@dJwnlD->+9bLHVwna>)})o_cMzjxDq8d?h+3UWHvX`na4Cr4 zhLwHJTyG_dK-~1VKwQbYAg<&Th>o+0o)=vf{VmE{#j4y9CanJcAQ*i|%8G)(jsh-DIM8ubV9 z{+nw))N$=m2YC&Zy;K}Zt8OudZRXI zW}|ikahTp9UXlqQI;JW*TeLv56cj%H-jKLWbOXdPeVZF?0&&!OEle8=qSmUVY4uZl z&LVHr1t9L~8z7Fl1H@6k4FcKZw8G~^7e!Y^x$mj*FjuK;kH(=G>9u{4&n%{740CpU({1H!X=)6qa^MGu}pM3 zYw|OQT9NjqRTRahTC^{S3t1sLtf@Fcfk3_(ycn0c_fJ13J^E$P0_4Q7X1yx zc11dywhgq{+pU6KY`1EISfwF|yY(>0>k)JwQ+R-AsL1`Bw!_y>G*5I4#8K;ZHEIZ= zV>E~ZhNOL{yrlbGhj;!}1JW`WM9UOu?|6ysnb^OZHHm+~=PdEo`8bGo#dr{_Ob2nD z8$cXzi=uCfc0Hi;Z=b|(L_dg<9<(xpL2NQcRQ@50b_FpS+ugLjpzvKGI;?1LNDrU0 z)LY3%Ag<&m5X)QwaU}(MTEU_qMoWn*h^mR2iCT$fg18@7N$&aAoL#)m-5 zJ?$u{x<_^U`NF?#YZs`Zrxkm`=&3Ma{hvsT?Qg>r0fn!zsEKI2@~(+;4KPQ3(Ve0J zAddPnhzssL(C56&^KT-Mo3seTl^g;w@jDQQIV*}EWLhf_^LB}jDtcUWT~uqZ4fDic zoqtI~e9kN0Cbb1|m|h?bvjoKX-vhnsjG>b- z)WX$4E4(J1K^&$ph{LP}t@NTFgIMqch$H+WDmcud9Y7pEcDT=3<$0@shVcAr1Z3%< zAQl`8V!?T$or+c&VR;Qf%xf=tTG2N_Y}|jO&sps?o(1BPULJ|_kBxUgh*pA_w@viDq9vZP5q<_SFMql({Kr^>)qrf=3B<;|Kuk;%y`X5^)0S5V z#JtL)E{e_uaqW{wS>9$)HE$QTf~xcTe}QN0No@vV!EU17ptYW59%!9M`$62o6QK2; zmV2~$OMrMn)CMj0qRl~-Jemed^5_^SeEzxryaFwy$Jo@(LEMjZpw~Ui4iE>t4BFsn z6`wUn8xS3vL3Hc^(eXEkj%s-7sLcriH+p5VBv#4rIh#Cf6^QHn3Pj62V|~tMFFFuJ z?HK3{PwOpvs0*aW*5+JR{9C+&F7T)&WuLDJ@;vDiANucObU=ThVf}tx6*h zt2`lXytFMKdV?QJ^vyA`D2V3fAkP1=v^mOKC+#Z`$IAb_RjCN#uC@VjFBd8L4at4~ zS7xqR`iWi>CCsyE3lPUjm-f80_oRIzEn&WSD}m_kF6~KBkm72IyOnrZTJ8mAP6lz~ zx`4R4VIUqu;}u;A;)?fx-twND=#adkl|kVN5QoXV&=QkC)H;HAAqGl&QF&XXol@R) zY2_E0HyOlwLqP5Y!}+%c$TjUz_*W3y#lB#cnjmVerHuj68HtB8Xd^e~E4DT_A3HD-iSgN=ujaj>x~%@>+_XT#ECLuTz;4 ze+6;Ek}sO&E)cZ=(w>u+DeZ`~sAc9Y4x+ccv?ojpI*TQ4G12)^+I0}uUU<2!xDtpf zZVTdV)*r;%Y@MRlMQvX)$9NEj+2U#5=iiSd{srPSzWrrOYz|_(howycG4B;=2c`We zt>7zmIa5Kb(jUYT=DmXRk7Zt$cnn1ClC-j~n)zN3&1ur6N_!JT?;&Z<3iB2L(R&|= zcUf?}!V5v%>bF7M){`LKW*3#0d!=b5L0nTG(HhZtQSnuFm-PhEF%N`c-1Bd*#FG@E z?GI@QYs}jO#KzsF%>)H0z5?Xhvp`(&5fG!7LEMkLYwb4c z2nu^emqnGx^?<~=EGIf$2Odr;ypN{m@=<{BU-wvskN+DvKhfOtLs1hHM-*Q`nd z5UX^N77S}R{}xF+0HXP{v^!omttN;U;vo~tr5O?|!ko#xl zu-%h@-1LP?+#+qSw7^CylPa1odJn|IEXO9xYXIWVgQQK8wrx|;5qS6hL&_P!u*!9gco;l&`{W?Ml#&Hhrf)tgpkvFHeh14eDJ zXmJp=mLOiH-qPkO?=?^J&c82|cu|Q(-!k)^AQtQc;`MwH#5HYI^c0Bgu1hPQX<9Of zRfd7+ot~-pe>)`}Q=)&XnTvsFZU>^dpR^E&V;xcS42WADzs+{F8i;pUBM_?uyGtA- zakJ=8QN!(K835vKwqDvP5C_cvw&hg=QR^jbl(hBKyz@`uRVC)zVdiEa&e~JjY-wwy zosf1B#I@&s$5vbt#41fdyvrU2xqtowo3dQti=rkw&5{n{O4dqyPuh79uY26PmUlOZ z?K(?)7R0=T(sl}7`OqviKy24a+6ZYgrDcKW{YqNS1E!S+afDP5>-Cqm)SX6F^H zc*Lso7rhDE>K%HYg2JDFb9`cnB|*Gm_knoD9+fsID(z2v|B`x|h^OgY7+ZMzTCV|{4IR9Q!_^`sa zeQr%kg1G%nK-}uSAYO=c<;|D2QrbCDjW4X;NYSgH*LnV(mRR(dW(9GbeWfju_J*|6 z(r!wt`K5VVgShrF(n8Yqe~I&tO}>{{;49NAfw=aTAg;KZq6pG@oUv(bwnMuUUTnHc|zQCASdm43CgKLTQtbP#vp zS z(eaqHp`xjvAZ9@F8xnVjJ_9lOkF-1&tY8%oo75L|0MRi@+C{^e@OIS zw9-XEEZ9t17Z4r8q&+JNf#}GR_L1ncqSwP3&c6be%u)r!H8qzuSlTGjTt(MP+av9B zX}?MH|8A9wg2GF3HJ*Q6fZ;VM@mWy_M9W%fd!+p$?FNWdid?pK>({p+7xMTlI z&%d=2-v)6_$E5urx&h+FNw{uJDuSprl-5Psv(jdPSb4p)twG@t5X+pE=DT59eh}AG zRa&yN2c(UYHW$Qp8>Q_KeFk#7;r#nYVxE7@QU%0z^+g>(9I%hHG168_%LK9UQE8{8 z6~Ae(g=InE^Y0!YOQ*V`_mcF4wCAP00%GYbX-A};mv&8byWCz^MmV#Jjue2{fYr^U`igD;BUNC5ak?w(Sb3hb6(G+MbaqSp z)I{fkwCkb*xvfkRi1$ZhY5k==1L80XrLB>+SK22YdFS6bASe7s;XCq}mJDLM4j`5u zEG;N)iL}+CT_9FID(zQkPJ+(A!U>kx1jKfoKx~&LZLG8xrL7h10kPfZ(teZX&uf*7 zf|wUEZBJCq- zr=?w&R^WDPQU$~vY%Z<4w2{)LgYf*jFFz|J?oi@q(teSaBfm8%2BP;)X>FuECT+B| z`O?-)dp{`ggv2Y-au%>Aw7 zyH~F}h`0Al(T+km|0w<}v2tO*v%|AI4&wKGCV>K;wgmK!M>|3MhV5|>hyDe`dO3?& z;}1a8{u13?G^_=kXAGSkAU6IJ#Kwh+S>vi8Hf|4MNr68`@SKPEFq7kAk zpdI}Ee;0skm$!tqD-UA36cF2GfS9)c#KucRJ4N|QTC@y^V>JbFtQnvzZwF78#QDc? z`9w?XCRzmIejEj{@f8p+#BHT)z$zdPcpr#kJqTjuQ6M({Mq0_z77ca~J`ZBMgCMp$ z4`REhGS;pfi0zty*sc?Z?S_Nc?h9#!%38FQXc`FJ-1BcQknMg1vE6kLx4%R=Yu5n8 zc5OgxHxR^jho$8$Z_%cr2_P=+T@bsS1O?gd3XtvYs9^2tfY`1%i0vK&vE6=Ywa7La?H>s|sSfdqHg16U27ArA1Y;=$)b`L2S1P#CD&6*zQLV z+r?M5ZskF4H=KXGFTiCT$vD(|4Q^PpXP|Cdpjld0H_KuW%dC}l z0JO_%cLPLogBnJIL2UONh@);$^d}JOT~nUFX4nyQiWxeiK`gyp6sTq9_8>M{Bdu0# zbBqVENo*aX{2)5&izb2CW0#lbz5n|};ZAp2_*D=KCe<~%6U2fKh*pDG@Rag?SF}hy zb36fJ-b87kdOH8ML*x(FV(VLC8_^um=c1x_S>CgveIWj9^CE~p_bPL@<=q3KHbh!* zio~}iekLupftgEzXznC!khEpewn;lD&1vWl{~WChh|5d^VL#mSZwnE0P1NWfYdk^p zqp0}3HcWdExAh5Wi=}Om_M!5t|F*22C?0B(fnpsWjBab ziZnMz9S}!Y8P;(ACAYBfArMFS8$@%bmKGfZqPcd8X?;N)VS=c}eO9Fhh*h?LIP}LL zR%zS{8qdGuE_!Q!qP3Y9fM`AsVl+=1Gj{~B@dKhmpz!#h@c3=bI|#(a-%5MBUC_d@ z?af>VMDuhI8@~pk`2vWI{}c^OHSZ!2y%$0B20EB`42aqt9m5oKRscEmCm@((^zp{T+yI^hXZxAhgLDjuA zZ3pp>5S|CI-Jc+SD#$y?v?3sCb)?-R?S5C|`PWP0C?$@Uwp7|mX<5?tOZ#5hFVbQL z+c0@R9HyeQT7z-^QEVfz2Z$?vN?Hbpc`rzNMcO;k_DVY`?I&qbLu{CwAg^A~DKD|Q ziOzk}I!YTTZ48J5hNP{N_P(?)rTs1~HqE@HK;eJ?@=l5EJ<)wQdPy54ZJM+d(%zDG zSlV~e{+5<=s5LGR;yqJusLsC*5+70GXlXN~t&+A?+9%S!msVhywW|(dyJTq(N(&B< z_?*NA(l$!lCGEJhU!=thwk@kYLH>JH3 z1hU{45>HCICN1ho%PS7z(A7X}k|M2x@&-s7A#J9#P*}tIH%Z*C#G}%_miDK#xTmaf zX%L604B|qXOY5q-<|Sahno9mG*+zP(t^@vn-+A|N_^Wy=QC+%q&cIk zNnQ|FQdwFkuUfOgJ zFVjkC8=k@WM{&QzPn7tJv@6o`jJ9D4f#|Izt%0;I(t1iumo`>faIwT!CGM2=fwb?W z{VXkdj17|u#9=B(t0AqGv`*3nQFG6~Cne5S;v#8pNZTRpb7|j7`%9YtSsSJph&N_A zX^o_%fP$R*5s3qo_?)zv(q5Lf88pBPS1UBa)5PC5*$?!A7kvxFch&nr9Okg- zVo+Em!xE=~_^pPG($0fedg5546`+=0lQSST`3uA*&N!n|qV6E(eFbvs;rzR#aFOwT z=R>bxB@oMW2eI)o5ZiqS;`_hbCRpC>pzs|at(B;gqJ5=31scHfZ?428N_-u}Z9NZS zy9Uo$yC8@wnJ;aLC`%MQ(efSxaY=(g2fbx3nyBsHs_=KB%c7V`X08ij<3}dj&ddi< zD>22WCW!4?ik?z*7s%Vyp!4Navph1*Xe5Z{X`;6k%`x2?F9fmiIuISZL>CopFvA?t zGtE(Xmh14&zj{D!NDI&*Z)=u-hIn)kwA`cIv+ewA3}U;9(p~|5?B%_w=r4*^onzi! zAbMBK(fhyM5NZBY;lT4|X$s;xyMkyQC|aWEU()<@ZAn!@bhHu82Jxm2z9n(L=(s2_ z&l*>sZ*)lX9f+m>6jfMYc|$>La$Z_=$Q%KZd;V3II2c6BCJdY7;AJ4d`-xOcVfRu>Q(XMmP_(XAj3c)*SF z{QFLcz1P@1e^pd@t(92~+TfMRvCgyrh=1;K=hiTH>5?sXL%Js9HySMWKqu`kkh;b3ctMq@%>J{_pQuK z5dRLpc_419f3J*#6Lg#hoUjZ{LTq)`yT<(n-1bKgQ8cC;ryfcqY~4;G|NN~ zqccRCL>EB3S!;h~j;_be@i2%3E&y@BR~3B|^tCr&@FI{C#-1>9Zc#1KlOX2Z6czZ| z9K}S*q9BL^?gr8O(>Lb01j2ys`YV2G6V?N9#VtYjH?r{6ZqN{qzE$)rh#$7jgZSjM zK50+RuOPO|{hd*F(P+>~uigq!_~#$%fGiVx%F2ucvCK3O$C@L0LlpbHMQehpd8OM) zOP4lZ+9x1Zt`z*iaD(VNh#ObojFs*HV(BR$nwKfMLiB;C#Ebv00+3C7KU+9SG#kXVpBI%sXO1}_7JLE3dwvb*2d{C-U##){AodspqGR|kLBshH zqkpx;A|NIvikgUepSKf#5s0Oag6Q}f#9@8`o%V)l@|z8_59B$5PJs({wJ(BbE_%^c z+zG_ePk_H+=vFN5e^E&9+S@BLrd-_1M}#9QTk5Y5LyG=C?G zx@=kx5F4)r(fbdG-uzcAuZXCjXu%bne>8s&k>+}Tn7J*8jXQ}(iarL>TkKEsrh(Xa zB8cAUqSr-nSIryj0%YSgAe!F=(fq#XM^WRy%sdlB?e-OQCqUS}wfatyJhIwaz!vFr!D?pmphz^L# z{bS}~Ag*{Xh>cHx=shKhzG+%d5F4)p(R&5N-=_mlumi+0G0`j&?KB0k z$^#%)c~~@7bR5JgNjXr3=ie|OH)I2dW!?v|%mLA_qOLJf;h%zMfOu4ImUco~omi{a z4aA?3KM&&gKgR|w9Eh`Ua}dLQK>SAR0%;qieFb9U%hJlnn>QIm?+_4Q&fb)E#`3WK z+bppXhy|NT8xErRH4w-8K+%gJIsyT!awmvdduh`}J3*{+%+tK{&!5vQ#Xy|89f*nj zq=i5n=7hA|xhyXUL~lnBM;It=fwYa%zReY7pMMMIwlcLrEYkzTGEYf+N!r`eu8Jz< zu`10#tTGtHDwCycS6=X_#Ha*YT?&Zir=)EHaX$_ydIiMNdGea$E)ca&(q@ZxgIMJR zHTV3B$!C^CP_e z%Z!lrB8X+SO8Z%P(FM#~8^i(ArLB_o9f+0xRVIqxt> zT@VLMl{OBgqzYT z7Q^{Rv1u_YlOfs+VwsPm{Q;sQp}0AkfSA`^+B6V{`9@ko3CpVl@`esNoq;Sk*hFWc zw9V4K1F>Myl2+zU5ZC@Nh*h4J_KLK3r2PissL_d0_-(7+`PUH07oCnEzUXuljS`(x z-gRjeOPOOfh*e&a_L=A=h;QPHl{QC<(m4MpJ}PmkC`TEylmu~|tw3zj1H@4u6^#>p zBDxM@4}aOH@Q1vi`E2jtcO7S22{GVm!-Wc?I#c`$5g`k zM{^Az&22%{o&qs(p0u6HJ1#9pWy>oKqPGo*^?FO|4?5`W+ZILh2dkK+x~PL_fM~jC zm1w`{f~a9tyNK_Lj)OSXccQ3j)Uf_0Kt5Q{fp{;hscuxXhEaJC%k%}&u~yNeH7)Nl z=%lyKyKC9bj0Card=R6@-6+q$g0(GN7sT*rQ1}i|^r)gm>R7ZAh-1|Taf74lTC^dE zjXQ#v*G)94ZqUS?N*r9zv?-!>qW48-M85jwC?k3p#66lU?I?)DT)ityL1*mUCWb(q zaH;5B(LW#-Y}~*c{TiBMD2QwK-D6tAdo8akh`YMFk=>XlLE(S@sD82~elDuq*xJno zaq2gl7~R#>Xd;MXt!QRiwdO|6KwMHQ(Lm9<=6e5kUY4mX%)Ast^DCm=B40~u+ziA4 z3#S-8dY{oy5UXTK`&?S^gwWs05^IQ_2k|+6AH*i@S{wBNv2=gYbkPA2cP4imbELM7 za`?xV7J^XOJ^!`{_1@A>P}%C|GEDTsN4r0oQ8th?JA?Gb$m3Rf2SQcX(+1v%k( zAj_=kVAP_cQFjo_JR+JP+5=*hm`>(c0OC4#N=xW$dBZ^LwjkKWFr%wASqtK(54zv9 z5Qy4Y5Y2tM8T~4XdcYj}qxqoN+&O&bp4empH& zEcyz>CdGT0qis)fJO$z<-T(^!`H%M%J|Oy4RP$jg^RcLGFKaRd#8J2PwkF?$*yJZr zb*vNr%IYKbn!g@I$BsvWX89N**Oc>7dl9Y+;+v&ZX``gQ4`P`+`xt#Ks`!`aTe*F|vyEUzetd8I{7MX!Lkq|+c)t~}75jr#}U z{9|}BM1~iDSnw|pqYVaG^hMEX5cBqkoWbU(31YoQAm;TEtx+_Xdx%+5LA1OfdIv=F zF;RgubF=`_(HX?NVWJJn+XAZYZTdA3-*`?L8s%Kz`8Ny5@EH)p9fn!yJ|LDJAet^Z zAvz7>CxFt!&08NtZzIv8qVGWA@Bd|vFv~q7jZ#50-!DoRWrBE{T?26!?s+OI{5wRN zgXp~sVsuox(F_oy^Mb-HqRSwbuJ^QAMvb!4<3Kdu0P#$Wdd7C}K@fNFJ<#jC(?N7J z8*PqOAa~Dk{=ERCC3cJ@-UH%hHU;tG%my*<9EfZB2gK4jo;4~j8Vh2TogfagSJ8WM z_Jn`_+YHDi%Ry}NH;7Gw8Ae$k?o6k#QO+OU>c)cp^ypO(9UDO$YY&Lu^*aZ;>gC-8 z{pC@Pacca~IBUEI#L^c*ES-P6l}-e)bZrnzKLldwK_Ge;gXrA};#ePo==~bxd4o=l z31$v}xC{S}sJjfT>gc)ve1VYQ65QS0t++$c;;zAq6f2Sg6e;dn+^vM*P_($aQy{p# zxa+s>S!b{B-_yNk&z_lk?&L<=R)o01k&t)jkay^icjzNbnWfGU$6bV$yZij6Sd5WY zk=&L0{ckmpC4EQP0q=&`B>JD0ohV&}_(HrJZP|fiOeduzV{KfXahBaIy&i}AkBtkD zw}Ejc*ubO^myl6vDD{;VLVO=Ahj@a~C)&9UhS*~wblW|T^NKmalPtYMIstKDyvdfW zC2f!nKpYoyijAu*<(g{uWJicg7y+?jC1dXAKal&pC8e5XC99-E5Q_^L430{TWAAELVURPD|Q0ny><>_ZSqA{I|pLLE{IEbsM!A?Ruo>0EWiJ41+pXr zVtOmY#bjM#)6|7HuDfEXmfCsbh1jl$)JU4EaWR)!MdD?SmDE9y@9003?y}ql{tj{K zdD0cB&^-+A0BKbGxR0CO38)Ats+;$QN;vbhbfw=zR5Z8ZGI8B?a78?X{2?rpa!gFOaZL^935Sx^cYDudg_P7nP$8$&N_fm}QHcdN-C6gf@ z+;)h?hc)iBbXO|5!)iN2tepa}b|K_nD)zt9V}Ng`l=vSjE)TJ|3&i5zA?|aiG+lZD zanbLfJZKW&Yq--cvpo=NpF`g7KjQDQUkbN?SiD4fB<0#|*8n(BkBu8C9hc(m zwQT*pxc}I0j)J$OMEmRmR2zun1}Sz_vAc@p{ny4-g!o^@=niqm8zG)xjs0HC@r?r+ zyae$IUU0x-Sr3|qNXH zDYhHpp+ADSDfb$bm%}#6Q(nEJU$C6q}}4xMKe*c1y9BiWRzOOBf*S zfxN%}3%F$Is?r4Myp;5^jjIXq_y<6H-4|@Bvb&XydBrL+L9FPd*eD0&D>eb*DeO}E zHpJt~b=9V+260?d#ri8YTCwGdZB^`oV)wlm?!U;_Y{CQ(U#CXWJn4><<+_dQDuqk$ zr4l!6Tu+F5p9k@@4*RqG{1>5g?*FW$3dEA>imirtTHz2+;kL3-ZrW+phPaV#5XXfo z_LpL7Z|eR#s^B#Z{GeF0TQ*@Ph!Yl8Y@oDPihA2Bs!0>23ns^xEW!r1kfuT0`(B90 z^+ef#JC>~jai3ij+osq_h^KV{;-aJ6wTjG;cmMsS;3S9x7b&(|vD1n@Q|y~!$?sWh z4v5RDqF7_a=1X_)>Hf=l-%7ek8>A1?PY-O|P-!c~)4B`sv|>NBaakZ{dn-0UG3UC1 zFCiY+2Z*PT`H@Xi72;cQhGH8bjys@OgkrB1i~HEdrGD~CqJe`H+b0D+ zvEu5|Bt4m|Ji9Jhj#5iT#TF=bPs;Yf=KEFJD1G#V`>*6n8#qil zEPaD`9@$>m!}SZqrFMgu-J#e8#nQdDafKm1TO}c$R#$(P_uoX2$92OW^!YzBe$v1g zZ!DGtVsTN$>MIta*dK~bQ!HGue-*p+#<2-sDp=^PwHqMKgxGkcVh0twq*#h~R@+Ki zCcS|8XO3~+d*|l(ii3Oz+dZ5Gc_7yp!RZecq`A@kN{paU)lxRNpPuMq2S* z_g{=3mM#PFk?N(`IK_4=c15umcxl)!BgEPUiuF)zv0^(FbDk?0Es_-%hd5y^hzHyY z;_mh+8xYyXRh1@4=cU3?Y+P4}3!KlG|Nh&i;1dlD2(W>LAugu2V#5@htJo>U9x0YQ zs?`>R*f>P7fsn)9%?G)={Scq@3mW)JvB-foVP$EYbXM{O1$aMEDGPD={U9!3m16rH z1>Y$cH<}GB3$eJVV&fHCq1aW$-YAwSy49A3*tn-+BO(9RasRCXx%X2L_kK$QzbY0x zhD}&knkn6oV#c(+{|s^Y!yqnUlVYd*G2VZ^ST-;@#DPC67NXcJ#WpB*PqFWc<&ACg zRf9O+AjKv_eAzd}#{RkYs|a%MPc$$nj>VEf+(~n3vGh<%9M|^V5Msr6h)dX`*j0-; zzL@cBU?zwI8!FaQu_cP_QtX9d(c@cf35bpBD>h28xe)ih2l77u-2=JzcN!QRY_SXw zC+sNwExne~C9u7>fmksM;+Bpnc2BXy3Gwq^4$Pa-2DX718>HAe#f~WUS+RtPthN%w z+E$89QEUaoy&r@4vO6z8?%kKzic>+1wgt+(55T1YkhmDxYZNjV& zW5pB;mG(=~QdmV1h(A5%et-DXT@@+wAxAz$btP8oTAtP#cnDVFO?N%hgjQ8 zvA&9}RBRW-z2Ano_o%74P3%80$XBd@7Yy)KP;9hxN=lH%D#}6J`yYy(g!n1vHN*vG zOluXTAjW$5W4!;yD7aGtFDVu+ofW5tSX@uBUlm)Z*bc>>DHbWcok9?l$9>tGrgs9o zU$SgLkgxkOh#$yaK|GlcDruO(D!xP9duT?h-2}1X3&iZeOqLCYm<`VC$?^SW^!*NT zU6&#L?k6;hP4gY%0t;rfX$C@U@&#fxUpC85k={t3AoggO-QUCg{I?V2hsVOnw-Vw>uY>UX`**TQ19wRKq|4HOQk=rJm=K5){tj`zA<`l#LV5!6 z`-t}r7|(rFq872@I1tmxq4;jL2h`nN>U@nm0&!2LAf8tCqPF)ih>N)jaojD40?01mZsDOQ}m(tR2M1ajRnEezGO3kv2j6-SG}65AVOk zC9T~kh^r2VxU8oT8-G_eYAG9+S?U0B+!5)NvKORlQbZ~2pY1*<_)RKM+V<2L;?%Lr z*cmm3PP-@58saRQrRxxX>l*>_RCATJib9ZE;rK=yePIwwj%wgpWiyqtCePUU0qtZEu?PAxluQb^q)|PM-{0-t-M=L!^+9Z9{xWRR8n&HxMh^O#MvBJOD z%=zk>N<;o7;Qc>E!6jZWz;{+kRNtD^l%_~KrJK@2h&!&+z+S`!P?-C2#%gHF2A$^p z*A3)>^o3Zw9^!fIQ}(`;yOB-fKpa=Gu|4x)5MS7D5F2-HVj2Z`*(SRG0-IXn9uU*J zAr|LoW~u@)I}YNar$b!yX^4xtt!zMZ8&?D3eDfi9oa1ZP!d86>;?yZy+Q8A$a){ZC zt*l}K#MljpQ&(+m3W1oN26b_d{~w5pJ^4?R_vE z;y%|v92YK~mrA#@adjb%Yb5n+r_X-}6?_WqbKAvhZzY8x#yUdW=Lm>P-2tt0E24$i z=eqn5$Gz%ciqp~NO9L_MGz7VmZc6_qO_w5fvT+F@?xe4DSlLsG-GNfLA6`;+w%Xnh zmwFz;2UP$47Xfl7?;uVcuZ!(u7R0r_h4`5^a#yQ}2eBdxwAVd_IuI+ybhE~jA&y%H zaapG!@AtnayIcAu#NscCW$Izs!Vt5Mdzv!zvL*!~HfbTfS2osfmJOB)I%0o_FGQx^ zHn5D;Mj9f`m$pmSrB71jK6WRLf!Je5U-rQJKlJwiUrP6NdNjcH^c>3L9%i+H_ROz_ zc(sN@+~;9vsXOkzVqX+XGswmjf;g_RV%_~Q-hWdST&0006}zigz+js&Im8J|C{{pO6@^$^T52WDg?L(5 zA=U;Dvx+Px$5+PaYby1X#!5@2f27aS;6LmN{sZFjA3|LIg5kEgoe&rOz>T?||Duer zU@nNKFa+YNXDB;Y+ATF2Y2$u{ICXDnj&xG`0I^55QM&)~kFxK1N*i zAx?cAVsU~$t#LMp**sDmX_mAII_b{09!l@_Z~{kLlkyOE+!*47Ev2E-bB+6~PqK;}5G(3STO6eiEBIE* zG1*EcLR|Gxh|9XBY`_#7*8t+Tt2-3C2eCHNR2!EA@_&DT_jN^(-vRW1IQ1VII9ggM zeU#EqvyUQKA-?SfKz!SshdAE@hzUX;Ke=^KdWF*KA!fHI`vv0e+Rh5_{#}pB5dU3|O%Qjy7h;q15dS@q z?-2hzk$AJcTE|xkWN~YV#oZtl4}n;`24e9pi2stwQ;4-$=UBEl#B4{1*=5SEfq2sQ zp-=q$SLH9;({YGPcn0lrpZSb)EtVJJvg$yY-3w%avd^VD^X%zw2(jHZi04*fzRlNc zK3;z|nWo@Eh)woEY!Y{Ym9&udL1FF!4?}E{b)hv`192lKAod7YWU+Va3^0tmZV&1P3l36wSw4WD8!wdRyOr2 z8#ffEmvvjQAAa%v z%NA}obUTQ<+XnF`II%XET1lIvXd5ltOj<7mY_e<&h#LukxRJA)uz#+r;bzk!h@ae! zZ?T=6lVWeRSSo2O#C!4x#QExNGtGxM-;V7TE3?Cs;~Qf19fLS9?E^j!>-79&6VWVw3(5 z#|=^Tg7jJAe0z2Lkazrh@%nqmzt2{<2=b2qUu$WpFE#w`)GzapIAM%d>n9bKu8V;e>fB%gmp@q^_ z$UA<;3LUq0O(F02A@BH=y&!$oINu2!Kja-h!@P z4Tt=-4vya=j$gV8dB?91V7o^V`=ewfghrHv5y#M||!>hK!MUZ#= z*R0(+DfV@XrIN-%-tj};@!v4bhrHwe&the6nugrO{#kMiLGSo)TdWu49Y5q9e}u)- zLf-L9b0F{dA@BI_*nIt@;gDO4fB(biTPR(HyyI7_&|PcS6!MNA@{V8G3({we^WD?& zL*DU2-hcn4;eA`-B8aDO|AECmLfq#MDeXgx{UV)|E=W-x*|?q%U#4{s&%F3!-G9R$ zTjPaKES>qODMVTiae+}rbx|+W*b%r=$ zFKMK-2V&#HilumI6=fkdX#sIuh_X8<|NVDc10O(n+^=?(UfJsu4slsUUYmwOJcTuH ztlb9bf6_Op%Ue7Cl@RAE_)hmoEH?o%w$SF0!iu}LF{<60@Z$rIlH*EH}p z1Vn`^3>ja%w~EKZu)G+zpbxR`CyRVh&x+es~mvsBD#6*C|%VJ^fayob1i4%s-)3GiJ2 zSzIN%>68=!aS4y4=s7G_5#l?-fjCQ_oR&QVaS10NE+KO+Tf$7p`~Ei|w-xu7zDx1* z*n~-?Vp1Q7O9+Fwgk^cH;yuJAe1*7#2Kj6Whx6h7hwB@BVw7sBy91UdCjg{`<2#8?BVpLAQ}8Wyo}%OLJ^4a6qC zqPEWt5a-(oae=4Zn0x3`s+bMD46!&TrCwV zXR(nGC)`}#Cfp72lk6ub%)Jv6SFmgrh_MQat&oybv~gu2EX#lYO#->6#FZ>vR4NOx zU1f;pF+tgx%0{ki_k3@NONfy2SFs+mpwsT6Z$Nne`+x3nA7qm>Rc&Bih}nA5Jcv_Y z*0@K?23NC+l@OaGuWl8iq$?0NoWl9p1`d-BL2P^);RBxW5enJwk0Yq2>}wqGpP7vhA=>e-^#L0t4>h^G}; z-?B*{#tQml{QNgl>7WKSFdxKa4S{%EfekI2RmumkT@fgc`*MaVJ4)Ht5YMe$BU{4R zM!5gDn)HpW$)6Aho`Cp5T!h#pZW9}q7Gk!7G#=u7hc)hsvXPrw#Vp9};rL=Svyy(& z5r{jE+1wV>OWFdl@g9iFir2y_`a@j)a){5zLx|5u)s{Byf-Cp_OV!GPjUc|BPa!r* z)Y@K%-ykmQmDIkCjXNQ|hxpR{)Yd9iL!9q~bg?b&Kd%2ef_dE6u1Gsu>uiYaGPXB$ zlU71pYKah=x;@0k`ytkr?O@sraS16qnw&}?OU6Mg`K)xxPBt(T#HHqdxYSV)kM%Lc z+TNW_yQGg0mpZnK&9@msU;q7=psSTkmZEmEfnB8;5SMxbV!LX;YMj&u;!+1fTiQ5HZ-iKzub*id#3jV)PvQP646eKct%w zdn6m~mwW$J2iat(8}xr77zMG(b%;$$jIePvAvUQe{U)7)*d+Q$QwfMYdMeg$Bp zIffvcQ3{csLu~RE;w;7gv|0Xw*lr!fSvE_TB&Wq_o2DJaX;wpAOw2Jh zO=^hKWRfaLrywro0>oKTjI~(?LR`!Qh_g(i{P*8R1%t=gG!-D0EK%&DVll_txcCs; zHHEn7!w{Q%hd4{Y306@YV#OH9`~B}xkR|7!lkOLy?@*YlfQeR84PuiS5G(dVd{bUi zEMStwVnA#c0l@d;|+5%ETX`!?V@^2IOU%{zX z(gNa61}Zjbn#KNxxYWyv{ReS(*{9p?!XeJG6=F8=49gCOxc51b_xW#;pYBl~l%mhH zfm5WF5XXf{=cV9TcFER<*yQ)wrcV(6^t99*n`Q7E-D`&t5dpJ}lbx0fDCX_i>FIm8nz zywsLo8)Cb85KrMO#6L`UyHwx*`j*+ibP)ggAq3)od~X`WWgUaKti;Q$q71~p6lkm1 zc!&?-RERIhHHfvDS6Ho68)Wfs3eJOAyaZzLONhl)SK3aRLEK$iX}EMydI531!mF&d zDui19{a2fWdP>JN@TOw-r5LNN@c@X&`ln)Rr7y}xUt<+{rAmay6!xD2WaIQwd1)BLX%0f1X7vWExB&4KUMZGhqwUy%STP=A zk4;kWCS>{jZ(5M)8d6*7AjB8vj$*MkTjOAe$J!6#u?B3h#uKDP5PPhU4sF5x$6(a0 zw$CaMSKW1+>9rJfyH&)LvP+#Hp4M83v&8ww6e?BT>5X%I;UEWI++`ZR+cXd2AD8Y` zEY2Ql(hXv5ti7g85G$%Eb{68Ya_+Nnwg2_Yz5nv{OC8biE-TS7eGI}qEw)3~ov zsslDlYl!1MNHGptHolbqAnrdNe@_It!c7p1M;{#0hUm-=wm~Y}{q(3B-z5lz;!h$1PX~ z;`*mSY|`n3>4y}2(khZk#iidN&axBYER#=}vYa**hrIi*EXZ}Wk>*33<_g4VMxHU< zfmrcaihI`1GCjm>4(Vrz^Ua5NmKPkbqx&Hu(m6|~g_y1f@g4mu#0mRAd@yrfuviHw z%>4r1=c2_jUNZeGRlI7koj0QTvh(v_!v8Fo3gXno6{`+$>OqQaQS1@KlXphYtnCoTU6az?LX7ucaqzY~u#rFLsw>1D_mWmgXEpAp+ty?z#M*7r7l?;mF~YJf zAa)xX;aG4wf^7GfbX0l;aq7%>?Ti{hjDmlEBB7P6Dyy7scj5 zJj~5cY}}Zqrl`+smRt}QT@vCf<)yaLs%N67{uDS5Ni)Wti7Od*QC#mnD3L7G=^Bx3F1z=OB1DQ z8dveN)pmneI~Zc^AJPKpI^;iT>_6!jD@pa$rl|z+g_x+=RftpP`)1#x;dFVJC>QOp?w*T;Ml|3#=W*i#fjj zAd5#sEM6r&fw-7}fI#n?)WQ%K^AuujgQ(Ud6k==w#QCO4>!oLqcmHJzw37BgHqCg5 z)69c7%@S#kls1}GRDoF02x3JGX@GPX;(6ST7U+08d9HNP=$5Vyai3=(p28D||FTk~ z7}lgD#OyB+rw)Nwn>wati$bie1#y8+LvgAGo`N_{1jK0y$Fh?C5Zg_My0}-)2Z$Ag zW7{dzgJQbR%m|1Tb0Ln~3{~RyzY$7*RJuqUo2EX*X+}bvW*)?8wm_H$ySbz6CuM`< zTDBC#+WHV{r$OHDKf*vZxvha8AnvYVJS&+2@ipEAvEn|&slRGmqWIRhEW~Uhh>d$H zJI_&ilhSV>Hi;i>P0B%>rZL26dO_U#d}TK)drH~Q%EnJ%wY4FC58QuUK<;EN#3mad z?mZ}>l~jVbtacD9rbDb)t8oV*F6))D(GuA#=^$onK;D1p;wQOoIE5)2u*{(;S32&3g@uoz%wt3~^is zh~s8KoG=XHxy4Ur70n=K`zp2=TJGPH<2wv;!ix~!mH$bvq|(W4n#Pb@>pQPRpPjI%ztycDmvcA+dODu@9 zY=gnyIO_TnDIP*S;KYqOjdH3IYrL$(TfyJar5Sy%q*yJ3<^SBCe z>K_oNPMz5nSRUfIwGd}H3h`Z1+R0)AXFyD^SL_MIFF*OST6PG;b_=BS${vGwGWV2y zCgsRxFGNkqzbxE;>p(Wy332MT5Es)ryD1#vxKD~D$YJME3gSNNK%Awa)K@wQ?Qk!% z$T_i8@8^FYOL9Pa-E>FAZbMv5++3zoQa33K+UeF_h1eu!Zaa@`5PRf>*rQu+$AYU6 zWRojWP#%j_g*f$4i2Gas@%{^kcDao|L!2dHUOSneAXfYgaS3%zj_+5aFHSximM--oO9W3S`M(H|YO3F+s64itSYF zs$x$R3o2;4OA2wmZV>lA0pbFWDEpxx?mw2}ev8xcL`3!NIf`zSOG{o#` zh}nC}Mk-><3Wm6>4iGDxKS7rKt8|j0mM#P_+Z|%@IEe4wyAa=Oxr$ju0f?`0H)*I8 zRNTg8rTq6_BL%xcEbb+Zm$HY9y_d z{(*RIw-tK`@mtgSZ0w9?A!CG3_AEG5}(aA(eFhEmknFvZa$i++9J%Nv0ZtHO&UV{)ye>6Uq~S}t#&fR+QkrSBOrbTic!mA zT))K8i#ZIEt4tbMB32Py6^ZV&(cmy?7lNxdKzJBp2x{*vB7d_*(W zvmIB4Slb`s2eKuKg+V-pGmyjU;4{c+GSs*C>?nu>PeXhw{(v}jss{FO<$?HCoC2}p z3&e534Q>*t4fPD0V8e8K`5TAJm;Z27ey#>PWz(xt7{4uJT++63`^ zb+|3=Kfba4)4TfdxEP$%$|j~gw&laRtRE6Z^hOWyIa%OG`ydEf!PT$o2I{Msq`A+EERsY zY}Wx+8xFB{8-&I9@4pC;w^Z(dmTm~~fg7gSH;4zEWRN}8;~`FX0OBl96#E2m$H@oV zd_O~+Whvx+{yPYI7elB`m>gnpTB(HOXj}!nhq#2JQluePTO2yz-UiJfj%za1vVS@t zfBn8l!5a{dFy=5@YbJiBqKOmmvGR0~S=VEaG^#r;1mtHWy z_sa-d>ka86#0krfG_{bTjIu?yhPb8mirt5J=(+y1ng4^lpZ{eVZNWEEk}LHx3E z2jWYTexmK97sMtHq$ZOrc0p<~*ADd2*<0#4&2~H;Vs@?+dwQVn zH}|trQ;3V61o5cDAb$BtHp8Bt3J@1vAM(Ec9p=*iUGGs1j8H7=Oe-z{aS3ImQ4lBm zN7|?C#aZ@Co9_@G;8wG3fs1DA{#$_{r#>vzm}3KbLoA*Gaa^XqOk*JK_xP}?LJZul+H8Hrl|q3cq_z`xbrPL2jbpSEU?%Dh_S~IpP7FaTDIGw zKwoe71uL@H{UYqY|LTEk(hB0I_yG`iG8WJL)W<#6=TLWdOEK5j%qgKTHx?rO0r?k={VxZ(>Jtzf zpM$v1$ZJg{A?~x$-=;sHzHZ}P5F5XSI7^guR$D-73H5U;hC|)?{qG{BS3`UPwn2RN z28Y=K+d!Q9q4f89i$xB%qwWE5+|~_&zW#2z-#6L<$3Y9-^H{YJ_aFDU0YNt23$bzJ zP1blk#0jTtHr;^u$~N8_==`pP)WDjH2ENAe}S0ouk2)HH!6D(a@?8ULEK2(9sc=n{sF#>F8wMg)srSdEO`!b zsR{nESRIIqc`QZSY0D}Fv2kmNvkZlJ9&>l%{v&rQctuLN%S!%$*m#X%uOT*$xyQy$ zl)@m+d`x;K1@5)1Qx4=b4#X9{krM2)fw`sHQfFy7#93}boTd7|w&)%ZW9uM}`)R)$ z^Y1_T0ZSi+n0^ZJl}&Wej<68KX(~Y6T{Wqm)I{ni{VENRhCtr^_YcUagAUoMb3%-D zhPbQ}hfPf&E^rRSKhj$U@zp*6{oy`vpP=EciXX8r(XAm~NJAZvO%6aTz6o)6FEsGI zvPF(sadU{{CP*cZ*<0*Sh}m7x2zLo>jt9Db^%vlq1Nj$?{a*&zIKc^7jbfjmJnltP?WCR2D2Ox9hrHi^90PeE7nOeIPrJqOPT8JPKrGG=G21}d z*2?x*b}7V}!<5|&aamtZIkv9A(>8T%hzC~~VsRD7|L2kcz5x(l?X3_Oa~$GgK0vI9 ze8#fLAZ9B<%+|K7xHZb|k)CN>qVqOiT8Q%%kXk{UZ^U`re{8%ALB0<* zY2Xg&fOJf{BHfgpNH3)v7i{J+5MPKe#hm|vd~c7qXj%$!g~uT-@F~OvM!95rN+8vS zm<@y2S5XXI_{P*8?kcSj_#Z(01vVK-9@T$c!L0n){hzlGDaq6KE=Nm1} zlOAbYwri+mlQ$rX%U!qicZ8Uo264ij5Wly(t=M;sD|^G1)dk|R#zLHVGQ?SCOMg4! zM-43YpEZth(~9dtJl6gYm$ek)geM>_>!o6GZrQjx5KpTQ}EkCXJHDOS7c~(shW9lfJSh4#X|3Q0ythaaUj4xO{J1`R~6nAlFq% zsx38;+DVSqwA&i=_%8RE>1AdYLR><}-D`)@SJj|9_{4)@Xlz8%UQRQ8UtZy-+nUD?#1 z?Z<0nATFT}#BmcL{>1Gtk(`eLtG28h#?k!C=ga1q44?}PYry}KIs zR^#%2wZ@$xJ{5m{#r?;|^AKd?y-FW~*!Zqg?3*>N0I_j9h>b@=teB~B7a{IA_IGQX z19JP~|NjB9aa*N3L7Zl!bOYj!A3|&#^urowgIG}<;<)}08?T4B<5Lhfd>7iq`!CQJ zXX(h`XO6V`tt1=;=g z3PCn05ZP1%;#D$8u^EaTRP2^waiUmleTZ+_?hxOy10XJDz2uw+xtiAy+ocPzY1&Gw zAx^jt;)Ew4PIwN= z-lvx!&hi*yMXnfD@dM%oo!W_MC5<6o!E+!MpM%(>bu805h{cCv+qfSP$E}NF`T}v> z(YQA5F~m1&P&_UR_g{UG1OJsG#kb?iE47p+NT(sb#bN|o;~Ws*Vr3w{wL3xF(nyH! zu!&GU{`_x_(&r#=#|cd9AaBPBZJHmDx8p>nFOaw6#5V3R#2v>>VlPBph~u^-ag6T} z1gr@1Hn4?5|-?Y<}$Ic1P#BVA3 zq_*Ot5SJB{#*_-;^IaR_EDfP$ZsQ(ltz9U@>1_SAA@9|O zIL%!tM|xXoXNU_N4sltlAXco0xU75`Y-Z;tkOxu@;`@CS#JA!TWz%Q0C4@`gA+}4B z*=DH)ajD;>>RD`DvaB|)7=#DYfB!WExz=S6Ck)PJssr)C93q8DH>EV$?ZIpUaR~z< zKA4jrKA77fZupX4Jepe|pUfvpr_W*2giGHcZ^yZ8np%*zW2t&>8<#APjVlK6tvDUx zEPEV~dk@TO!731U+)r9AU6c~%vmMugxP)F1cRUK>j@Lun@kxjqz6AL8oajkI*+B7L4PE$}S37vG`iq#dX3-M77gZNfF198Lg3ZWbCzj7c~IKUrtr?~~O zNy5UWW)R<$4KTY9_yppzKH50P_XFg*29>a>M?pM~@etpX_Z9mJaheK0 z+0;Ft!|u6FhgkbW*#spmTL@xy4CHu8#{I{1lrr`?AUDLzrx3(x8bB;*5AnUxU$IzaEn8X|AuWaYu`~i& z?w(Pca<(idFId72wuYFVp!9Z#JAS2X>he}x24Zbbh_&;TJqoclQU%NAhPdGx5NiGR z-%zF3DSeV@WFu8nF~s5q5T~B3>~3WrD4VX5)z*Yq+XLdF7eNkJeM;$15U0*p*@|00 zEFKLpyHDBY$|kO2**Xws4ux2|T-gX^<2Y3^YV7KGnnh$Eg$4 zx5jxO#zLi8${vE)?MfpGB>hzMIqKUhWO~MSN1IBzyDG+wvtj1U!92%|HDdaAs$!sCe|ba z#8%ZfzmXH>8bY6HZ2uuh=Z5 zmqYvAlH*W!_u6;?aS6V*RuKeo!u$}k<&~WV@wDbB`;W=-#cOBjaS*2ogZLAicMz8q z+}@_n05R548lmhA#WpH-K(RLvd&CX#&*y&sdnbJ~FZdGT`oBw2Geq+pa8IEk#0M?} z;xuESgKl;Pbja01hzoqJaS1cp%*mz78Ke2%|NdXAk3^6YPFH%V^b$JYj{Bfk%1kz4 z2I#QccrL^RZiN=Q75_q<`6zV69rqmK%jv|-9L;+`(m@>fGsIAzRC`j&Ov-- zdt|Y3p%C|e8e;Y$#QEM!)w8-;|NakyZ2S;9>aH+pwrIX%u6jcJj_xmrQy-HqLadFO z-P)yv*sd(ZY%A!vJKrE>xB0V7UkCZXrORPWNJYm%kXAS#52<-BOFIx7PlCAPFo-wd1&9@QG%hf=Rn(Q*LaYsy?m_&&Efvhe`EdU= z1Ucb95Lfs_v5ycJlPa&NEW~krAvPHb@vr6%D*G5>?MsM1Uuc`pHnJGMSD8vO0huChh^i0_|ilr=S zn=1{mwsTS3e{44!LGErT#CE$OwtJ_NFA&=$D`sj7c@ru&72;WLQ8of%w|K>^o09@$ zyFw7#b%i)#FNp0XN!OH(P%K6XYnKaRZ6k>7MnT-%6o}o{Lg?nd|L%e;c?_{#&`+j1 zkT;=XLm}>Nsj{abw)+mTUG$RHE(65PRf0I*N`IEYlM2Qx70vs8^%lgnW+`nYBOu1^ zO2^As>=DG}zf&x@ti@75yaO^roTZEdatX~9TnTZ7!R2gV52;aki}iunZkS>V6k7?g z-9}|kLhSa$i{bt&RKeOUfVi%2(*24Si(JXt#f3O7r(%U5wkxCT*vj@o%!YV79)tMf zouDe1h0~M(SzO50rys#aSB;(X;4>#NvM#dcN2{l`b;h=Kvt zEEX5yViG}l+>aCW6>AFd_`6GUp~~*KGZ6QA8R9G-HSP!GF3|DSt#0jl*NEo*q$(WZ zk56tw{PD>*h(EW`G<#DSKDhX!Wc%9pvp8@;1z@|Na}Q z^b)0yFpX@4vQg?=aaPFNG34!7**}$Cr0jlW??ZeXpZdjVet=ZY2G*oL#DPsAX1hys zHSVCsol*9#6tAJpR|w*K^&wtx?HrI(cb0lf1EfEt3DO*Cp>!SM)WsXwUDpy~Y#zkZ zD%6<5{_BB!O$I_-;a?C-HbPwBe#zIwvMnKAsKXWe7h;pzO>NvtX&uD*w)n-L|2+g* z^7a4I%`A2i;sRqfw*}^axWIf6CoCq_kY;JzRfs*7w6NOOEpY#F{YhI|x&p)ryF&aO z#w5koY1~_g%gWKpmQ@|%vg$ybrLoja+GgV%-zSjk+TYrWgWK4$ibBk`gE-+hh|5~9 z*eQ)m*w&U+5#q~P9b#=uX`DOG{rtCE10x`=|BVKIk^P)stFDA<|rk?ao6y zj|JUKHGj2i4~UQLe8~F%vJYg*d5Fh#ReB&jlYHH6T%Z&zC6$^%oOwUQGkU66ey4}6 zt9nlx_>XiI;$ogjxq4Ye4~SDw`^}WJw<$Zs+PqRpsk|ru_rD4@mpVz^r8N)__z}d$ zwffke`az8S199A{z7~tr&lC^hvJ(5n`!B76nWchKajBB@vos81yX_E{FrvSy;O~}g z0P%I73Gt)Zp5Gn2BJLo_pMc~XU_Y^_1o5Xobs%0Pzd?VvA5hmp!(9aov>(yrhWL51 zI>d_6(sGD<-)iF=-@i)VgE)1XK{j%m2C|1 zlW{vY>)wAul^&z?bT{q){I@~b{Sc=QTU(IZy+90JiItm2*e3{>IIt&vGGQYJD_oqhgjp{5F0mw*ti?SzRo12XFzPX zQHnm)8YhH!m<1s=ZUC{OgT^g`xa0pIHvR&!alB#HxB!H#|Nbima+(IxT8KN|3bFBJ zh>bsKT#P?#Tos6ohd^vRA7bN;5O;h@*&C4e`R^0RCDa;j6E=a^xHZJT_8bXycfY^+ z3*xWUFF|}er5a%swWZ%6zOSZ0y#Mw=+*}lAq%jr5^v{ZgC^lWOFvV^vmT8o|WlKYR z%T|N9{2|g3h>Q6b@^{1jUx8drfj>q=2#3BrW8fAjDbzlukgL?>5Bw zo{rVq=@o+9Q{i#eq!Ppl$3T3fnvJ&$bs)qGbveXkZHHL#4PwRV30CnOVnw!z-nlux z&Y*X!5KHn+GKE6C-Dgg=ai<}U3!P#*4sqPxtziiw{=^(@nJ8#9zb1hh3ngMagXCW@(HN+hU&9fcn zg}CDykaw9u+;MAVXG5GN>wH)K`>zkkolIC@)69i9%_eCVbkcoq99Qfd#6L01v(SF` z^DD$A3n4D+iee=f+04Bl@BZ5X@>`0Ei>-Jz#ARKU{s-|`(=M@TvOxT^$}&r>T}_C~ z50SbfCihC3kF9|7@qS812o5<($Pvr+m2ajC_Z+XCxBT-NUpD~3Q^R-_d+b1aDG z5e)g??%4nDAn)%TO23A9ghN-FPC-16hpTLwG^>@BQmnCYk0G{;zSbVhED)F42g=3! z?~b4DE1T|bdkAYwjfzYr`v2x zC|@CL`{({!Y{G*O*LriSP4f)mGy&U8v7wXh3zkB$ z^bj9q2jZi=5aNz+Lp@GF+0lQ~1L7cgg1G1z(#IH#m z75ggXIcVehNYfyG1U(D!r`q2kE-URJ`@wV_h}lqx*;SB#(YXI2l#X-Q(s?2NRJ%3A z;t9%bhq&Wc%BDVIwIw0e_JmkFU)f#CzVc^z|D`@^#g!mVJrrW`I%O{@8~>PPOF`UI zD~OFJE4y3S#}H>ucid`g9(SzxcLbRZSNbNzsRK_~wk*VD{R%NVL)o*+epfczNvjYu8#D2XWkTi0`2*5Fg;gXRYEV=(hXxcY&Dg1+jMCS=@i# z`W1|N&We*j%oc_AxzlWhIL$eTf7$dvv9#x{HXp>=7SbGujW-K=&s-39ng` z91tt&OMgHdH%76w5ZmogY#+48oiDX>-5$N%5R2~%FCE~!0`bwSf77Pv1@X}vsn}kKwPzuo%y+5y zEo)p4;-U{h-rv7G^wWL5%iT7$hPeJ=5LZ1HV)0Fly9cpd)Cf~s=^n&KFZCUpIU~f1 zigz62UkawYYmZ)Mh>zX^hzGnH;$k*SFCZ@8chBa_A{~WTaTnt9e^|`%)x2-%ju6u` zAWpap;#v5UHNo3y~G#gsmV} z^nM7gP%i{hUru1l~H+ks*-x-KM zEW57k11a|#+wnYzP3|l96yg#B-vuV;nEY7c3Z)vi!ixu0W z*crtVy|;#DK{9O@|htx;eL5eMd*zSn3Zyi8)Un85KF#5Y?myusV(G9sMu79yW65{1jKgnqFB2W z5I0u{Vz;gi$O(HvY&S`|rfh^_F#@bzE{L^_AhsI?ad%T7wp$Ca-Cc+kk0F0I+)Q#U4RCt#^tA$FNumh<89{h_jS|xUA-it&HJVyWp5Mu!qzrmc{x&Y&T4?1&XbN z*lwe;Cn2_bqFABW)@=dgF3a(KGy3kwv38N;TD!Oq*Pm0dLJ-@PQFd%Rdm&~+-k(}R z{K0Nee4C{N#M(-3%)S2xC^$j`w<~r)v5$&H3APD~K%B6gVxbV9iqVQ4RP2mmu@Xdg zyn#s)*o3K|Jnr`b?G@_+@%SAn465voyA5%l47vcijKwQ@F#L>N<969?y z{ygJ3#GhxxP7>YwK}uQ3`)LQn>?CFPDf?X6cu7?Yd9{#NtL#2z{rBHkG2|6P zEFQ1yHi+kOR@pZY&m(Da%jSbv`wPTue`O~_-hcmZqtaKDeg&~OK?*A_3bAn=h}qwi zouzEJvgeiksBHX{R$IUUxvoYKr|zlrRAsj*dsf*u$|g-^wfP`#$B?&UWhX1U(aYlg zyQ1_fr4yvK;-ZkZW60aFva^&8SN6QJAC--t#%c>dT-G88|Nf`{8?b|5G(O8vbXQT* zM)$t?>NHl2-Y4{_XNh~L8Qg7UaOQOTFy#??>n*ubF(a^T+( z2d2wl11mzDuqVWE^B|6kpV7t@f;g@<#Bt*xj*FDZ#$|%sagMJJ$bo|)4x9@Wbk`a` zvy~Krys05?YRH>9i;c?!aaG;xU&$)Iqx(uUT%Bz3P3Dr39)1hl+}IU4nw?TZ$kVU_ZF(;W|QTyuW{KR zzH{UI~hdmJjAJ!=8f*l?M|HmV&ftZv&|rW#OMmK-EY!lX+Ol; z{}g-Tk1-gK&x&(HY*!3owlu^qNh1`S3vmhiATA+cev4I>hCuwy&_;;&$A8if2Q1@0 z=|v0J0S|!KcoM`WOCTO_&Vtdse;2X_#0l#`+Pk`|pef zK2rL-m&X4YppZ?I9b%I*5S!Ff_E(5a=0W^2yIR@95Nod}d)J@k3Lk?!;Npet6#7BT zj)ge&dWc887vhA6rTbEfB34@n;<%O&U)avd4ljcHkLh(v?^gOE#Ky^sT5%?b%lZjo zcBr!Bl$`=`OBWS;46!0kF>fP|uN%l$aU8^FVK>Ak|ASZ(tGGP@Zz0Cwm9SV*h$mC@ zC(G7@_{pEova_H{y#Mxs-m#Xl^bLr`0i`V)P{y*UAZ9BHZmCEM%F{z z$bN{6z67y0emUHKEFNFZrWsS-1}=vH3xU`qq_Jg(LCmg(m|fk(vWFmMpF_+(Z^|t0zZlId9RcyUJ}Z{Kxs?=cVe4-K zas3k@E`Jxq+N%)T?Q3bV{~*SqwzB!6wnmnp|I&d>SB1F3s%@;eJ;dyIh}rRNExQV0 zc1Sym&4w5o+1?ht65^t7LR@r=5Zr%Ub>0w-hH{_$Do^K=1 zJ6__)KuqgT5Z4w&Hxk4xjC?`T*&wFL6U9vg(Y2Z+TO9=AR(cAl40^EN&)!{xX9yal0FAw+HR4!fgrksSH<-J(M<+%%H-E1 zT?T5$``X{&0*fWBv_$sb6vR_K3dHTN0P$4s1hMxVh?9T!OX^-K>G`F4 z{|j3t@+633{!n-Cav5{)8TQX4ff)Y?;=upC zBm1wiM)scs;z=I_;#S9l*t-S9-bU{VHF!_z+JRVCWi9T1Y>r(kvcx(mtP5gc7Z3+_ zS}*C-Ag1#`OjmD^tsVgJRJ-1nt(FCGwYnho`UU{md{*i7Mk)Lg#KI$+#9aWUVK=-Pp})pj3BIuOKk4v6WT zk0gB;#B}mDamzq-Dci9Ho{-r<%5FPkuVX;m>n0Gd_E``+Zh_cwZl}20Ai6rcWHWVk zOWF#=bQp+R9p?L3;u#>uefEfZ0YsM$VoQ3aq&q=O-`y*l{tVkNA`=m0HWLViMSIWx_l5fceIWL>I40EeOR4J#VqJr5$Km}Ckg`gS6vl$+N_-{G z1ET8y;vxxO%LaRcxWNS=Zg3BX8$1JIZ=vJTTmBo92HyWg0vY!LadfW}(mW2tbOng% zif<*|31XUdQr!C>y5k^r96u%L6%f<%r}gu1!|&usI)iv5Q$ReDjUXP$7a%tO0pjSw zXC6Z8JDmbp4P)a%59fr*C#>Twfk4ubqf$XdV$z66~u*K{Y}zMAf_ikOix^q^mh=` zD!!`{SGgu}EQoPG5S#nuNjeF{wA*!Y!$EXU{4U#{2;%lPfq2qSgSh=aL8b%uzv?$+ zk^BCT_#qGn4gqlsLvBhs9mI44i0OtuB|QXUy7Dh^yFhe{{wHod$a(+w6_8^t-je;7 z_*?eh62$$F1abfKKpglXh`k5@k@OshY4Lw0Eq+_lIv}QQsf9{dAVp&Na0x@k~PSPhq?0ulTxV9j= zksx+VuOORV1Hz`w{Vx~j>>9*f7q2MI4};j8rL?D#)SUuxk+8~Amk;8UDpe$H4dRrC zs!G}$?l-S7Aapt(nt`~ULbCvmq*fZ zJ|N>qYl<8SqDup@C9RgE?}L~g2QfWHpM~yI)*$5IdUJmyRbv>_`PMO>H3Qn;_@@cL2!vKtqYog4kU6 z0ZFSik|SvW;*pF3@scbD@j$kL*!w+*lYeR~=^YT$6A$`C{{EoIDqd-+5-Djch%Nm< z9M~^P(n%nu-I|CS4x)P%#E!Mmvi&2V!1jHvd?5GVC`Ot)g4jG7#O7(SlCA_X9Tz8V z5r}Rlh#foQCH)4(^frj;?F5qs-v3o@Dsd|i<5tb2`6&>a+c%f}4+HV^uLN=XM?pOO zmq6@@Xd&}zwUo3ah-vwUaQ|aVy5XM!jbwZ37CVK3BydBAbBN(i_BGKRHy=u^^^zf|xEFCg}$trl&zn z^M=b-?|D|Xngnt#HpsdEjR&&%9Ei=|j*!teKuiyf6!#N|uH-1`DE6GBwLwfD1#w=z z6iE|7OskH@{g2Pb7Ncc{DIn)+gPf}kVoTWbGVm{ubG64v`U{Az;8<}DLEOUq<0O3; z#Cg6-<3&b-C~twdt*R4bTTg)a{Vz*F+~8&qmpcvOB3D5Cj)Fgw%D*7)2@re7(wY0; z3?RQ@B2D9sjFDz)dRWs7n*OC}fr&D@28dhmf;hRErcY`*%s9qlHD09gY7og zJ54WWT5ysqTn@zE8Xz8Vb4@#I`lL_eaT?Fmc#)=?G~KJ|5lw&5^naQbd{GwmfOtM4 zLEKhzC&m4*m&U_29_Pe`T(4^S28iEC`mUy*X!@PHnsa552S7ab=NE{Z45GWV5YHao z|H`Gw)2AMYdu^=LRcR)OPq-5xKH*lpDx=qeIC{nsacLmBLT`vG1ER}Zf%_j%$l(llo-F(%hzkz|ajT=0<|yq0ajPZQO2?<`WO5EDFxls-uwGK{#*3@-<&NXSAaO?ZKeH6w?G`z@dN1y|4=qq6~ql* z-6if8h%RNXxEDcmz61M3ehH$CJtnRd$Z7so+>0Q(^||6c0@2mDD6RpB?&s^`u7T)^ z|6am;)1SHjO}HWPG!Wwve~6m^qI(y_W8ZvJ=ro9@zx@BC!vkVRR}dD#8gJc_bUlb^ zhM@-oamByo?*15v@h}jVTL9uBD?z+CYm`1wx&>lyg@2^34T#@%)&<1$SxuMvG=5v- zERC;d`aey}|0|eqC&rh7I0%}H_p`%B|;w`FuI5Jx`>a?XdQOEg`j zX{M&XYWk<9W%FfnB8ZbaftdD%=|xR%Xc`{oO!m2206Dq? zh@%Hf?0Qwx6`JnS^cPKk*R)Il*>rOdC$|T2^3$3w3Z#Mi-~;9NiYg(E~MIsOfS|w`=;drq?tr zRahn`fH?VK5GVTvXgpWrH#GhT#CyPL5bpt(L3~zRS1MCP+#?{?y$NFPK6N=-cami8 zf8~poblz@8fjD{)h+`TQlf5Q_oXvoEB19_Py-_O1hQ@=lX- zxxGNrcS@y8NV8X|J&0pQfH>wQ5PPR7tyIbeaSNB#RV^v5l@G{)^FbWANhwb$yi`e7 zZs1n^7>LK-7sSznKs@#hAihn{)$|V#*Qi`t)~F9Mo5t}!=wKmNC#A_Cj!swF4&s3MFtCtZ_R`irI!Wo7ccAWn`2adL7X4cz~p)p&-+n**`A z|LxNBxTg7Q;*m1n7xT_#`+yQM4Y^%h*lGX+>jR7%D)buHz#?NXz zUgMWQd~F{A@f;PcCZnr@xS2!{k2nd$<(^O)r?dgY13Bn8-2bit`E31L<8swyOiK{c zE+94!265pzATGQd#D!NW?Nz!7VsEJ$(%TKxn4f?902z zd<|(H=s;lm8$o;me+c4cj)2}Vsn7L2kc->|aZHi>r0`x)pwQ(7v97JAy)}JK)7c26Iw)zp`(afx~|x;%)Z z9|Uo9FHN7;bc&{HHQk}nR|nvo%fE^t`5dn*IsmtE7EHdG<^L zaq=<{Cw~OuS6a{dfP5ld0Xff1r7{nQdmO~N-5`$n7Q`|CYFet1bljuVSZN4|FU6H0 zGY|K_35{ik3qTxw6vX8&g7~wL_dF=h1L9WeDz#P`0^$+BtZqJtUpri?=|?8z{V!AF z!x~>Oao`4WP1C~511LEk0ATHcN({7sf(R8Aw)4aIowjAVw$Pxcbb-p zl(Zs<3pWPw45om12Iqo!1~b)t1qvLT&vjDJbwjCHl#Ff%;^@90j(!Wo(Yrt#eMMbZ z6FD?w|D)^=$n{V!MLA0RgWtyI39xWnz`EZhO{98K*Y16PB%nQzox0&(6o zr4k**{rU)cdH*ZkNt&C3covdCZ0@NvS}Crx)b#*y;aTe51hIFu(jKMhU8Q$bSKR;D zd|c&45Sy%o#_BK`Op;WcI)Fprdy*}3nm8l>$&s18a)T5`I z{)Hf({)i`JU=)a(8LVz1i1U0(%ava2WqJee|CRyS{6#>TPrbPyHeXN*drI7)-m>s{ z5PK{25%&Oyy-`Y?mCE*$y2yUG|FQXLl`nwUJVj}lQvYOWo(y7dhPneF_8w6>ue4#H z^d1JW*Y~f=@IlgCK`Bz{{L^y!YYdjtzZAqL>oyPvUQ%}(#LX0ZMyR^dRNoDSl=t?CYeIPa*^MWv~}(bD`Dh|OQB`w7J6OG<^F7dLT?Y-Tfv zo4J3i%xeeYyou`OgE;Rshj9Pfq;k@DXL+h`s03-2}1s zAEgQt;hgt>ljKOQfp{cCUzC9}K^(YK-BAz+eywy>Y1d@wJqcoOu_@y21+ll*6kLB| z3zgY$d=g&OyJO8%aWNovG*jxSls{Dt13wn{`b1Z zr!@YVG17lDO`IpAJApWQAc&*i)AR#P4{Pe4FTIsP?DZOA^Ei!DHJ%CL8_I*~A{WSe z!pA{;PdE<5f$xC$JX#OpWy(}KqjW>*e&0fAnFZo!)Ab;Z&INJw18GwC2#BwP3F;<; zxZEP845cikii>1QJjhJJ{cj5JK;Tb-bs%ox7>HZA3E~#YzbcC~Q0lETNa6fW;u;G4erc%-V#{+NwxogBvLD2bpFunl_fqL-17b%{5HCqzr722#rOxN-uuNL^ zf;jq5bqAMAI{%H5F8)J8he52nrBwY**-RG@w?7@k%`5?NGb@xb0~C1wcSj3nt&o;G zATAQSQe5G;gpPu^nd0eEm!Q-S#4|G!#LavO;%4$dJeRdr;r_=(9$qCa&w$vn8N`;O zAhr};Ez8vhagiY)-rd)O*n0xRuMPbJ;*^qa%UOs}@-;gO0OyHS308PdJj{0`fCAsGP{5{Z~=(Nz752>lc4s2dqsh@QdeB5HHaOSX(Jeu&n*w6pyCBwmrgarI$Qt*8 zOb70NUIz=gx+o1+S`6Zt?I0e>RZSbeFU$1=vF-&B>sEuft#wL2g1EsF8_~h{e-8lJ zvc<^23I1AL(k5wn9>g>AI*1+HKzvFa193U`X6f*P*zp*Mmv{<@b(x!S|Kn~hKyu+? z88W&Rh~GXn3dA%G#9uP9Pt&WKhHsIy70BrYv3I_v`y}E>Eg+a~{Ynr2J zzNVg!wHM^{f}H!`3XO9#&IfU{XOA|6oMw=-u% z)B8E@e@?T;SsGslF|GcEHiMjIkkhMamZsM=t$tK{K~67-y}p$i@6q_W#?_B$GstNM zISXr=rRjA|tADAzAg34P^fEQ~zbuWfYg|2Bnp=RJW{}gYX_ltfHLac_y@?>F7v%J6 zx(DQAd|l(}UrBQdkkbre^HLDsPVCb3x~7%Bmb59z=><6pgZOINqv>^@#?_BY+ydk@ zgPdkf4}*9E3HwIU#vqPP0z{dvX733}ka>kkjnM`0Ajhr$Agd;#*m` zC5XMrAoecOG*i=DO(RZfFUWcSmke~8HO@3~;6|CNX~ZdQ2006ZoL)^cH9f0o#A)pX zvA6GO-2a?rjdy@}jZcG^MtrBuAZKBa)2nHwre`&cIHSEFrxz6H^|=;loT+iH#IA_5 z+6;1UjdL}Q_(7WEK|CK%ft+SdGeI1ER?~=F z>1_#eHVtxmHO<8xnr3S1JF9WTPudJ( z^Dq#f^Q$yHrsmT$VABAYQtDAYQu3AU@%?X!^aTw>6FY z6}^1_*AM74gV>x7;&Pjn&S+ZrH`#O|h`oJ4?E~j%hNfAXp3$`M745y^lji=AY@P$+ zQ);&segooWz6bHlT++1QRY^;Mm{tVwtTxoN8Hks-gQPy!K#iXTv3b12t~5=TX}ST# z=fY_aw_5U=ELR7_tu_X6UV^4ig1Cjj0T;Oc`G9Ph1!Bu`5EnTZC^Sz(SDu`$8X%sn zE+B592Z%>J9>jT*L7Z3Wy102DPOenYwSCpm(u;>x!c}wKxpT+&@14DS4Vwr4cTs|KK@;%S$J*JtqB*MmvMFVzd0I8%dHWYDySNo;7FCrpj` zc9CGw5HkpO-k_NPlY+lE44UaM^5CYo!eock?1k~->)OGt&+qCgUD)NyKn?CM^6IP% z<@MTabxcu+i8M91{{*{Qn3@pN(bPoQH9cVD!A(yQW6nR-I#FtVfk}_H2i<@PkF(7k znA8N@l*B7M4}anXw^$J-xutFHgUL=b#wSPrAXH)-yQmpVYFpd1hskPho5x|ikJzR^ zO!}j?c@`$Tt8FI0q;?A!pL6unq4FNLix$Ep_q5F$FxgMq<~^9i-nQ8alhM~Udtp4u zw)q?;Z6J-0C;wY0*I>KoCzzBWwz&qAJIpr!z$A^ZO;OxAvqssbER1WkZEC@n;|fHskRvdlQdmSB9?LapfYBNGG-2p zJa~q-z@*Q#Yd$tzulO&tbXN~Mw7u{{?$HG+<=)lzCrtQI|AN+TZ-KC4{;bySS_SGB z^Z(r19bL8~{#41E6lOhDyZalqamUmdfgt%MJD30?4{m6Qe?}X3FW;fN0{rq*eXz8q z`2|SMgXUqF+`2`ql?UEcGwUw1;VyFw|JxL7ItF(?n3SeR@)RfDh5 z9>y%T&DguTmfvM|??lvT+dgdgk-n;vTZN;G4tt;XKfwiG5~x?S@&OxDY`+2mi<)_q^te*eL?xTN@e=1SRX=Xks3 z%FD9NZJ5;kwkeMH5g7+;Qw}EUplv+H9I;J9nB3286I&R{b=1GQox64OR$yXpHmVhE~FOKbD$NL!z4C&tv~{9o;pHtV5Lir+-ODcXi((aAK`O z*5&%jG~Q>MvoINTZFAAoG_cJL7pwheAYKa*% z$Tq8taUMMGPwp~5z<6J@yDr0IPqxiXm~ebeBDlOO9B&4*ru$#&gfmeR9}$vYx8n*h zxqjQ!gz>y#n+IUz!OeAoNn2sp^fWc;wiy7Evf4Hyd{DXX*lGey(tEa<29veUHuGV; z@7rc6O!_9ZPOJd)nl99 zFg{N$TRj7nUfVXKVY2VH%}X$@Mz(nc#)}UI!DD?DCOO77D`0ZtY_rzX_?p^kt0`)3 zn@pJO&bB!Wlhw~Q$6>;sw#^SP$-``O875t)+Cg~^y{n|3gsS%vLSe~&?>&9;mB!MNtyW;jgZeA|qNNm*c< zX)xY}wpn1h(rmLFCVX*W`_tdMP1R@~QPqOf`@Uf$*v&X2(#peAXB)Ihs3VbWLG z<_Jv28rz(J$$Hl|Kf+|c2jkNZpI4z0H`+yi!z6uVnI?2F8?Eyp;C9)4U=Ky!Tr8&%uc%|9VR)%ybqHVVs_j$=NOFVV|&i& zil*qGtuDZ%9P%eWhCjrAziUJ(>&u1QTwRz{e4-4#MxtQ6M{Uy*Cij?a9)U^z(l$L| zJUO-*XudY-`N~$KVA4X&BpBD%cFjzflo0bOOvX2M%}SWWQ?^+TlXS*5+sgOD9)Gaa zeiUVgm}6%2WxM7yO!`gRT!0B5SkyX0g)3nBM*h=};~dup=eq3F3zO8*HeF#dy4z+H zOn712OoDOY6LIi5EQ87FWt$x^NyTh)puQ<8ZmVn*0W*JO&h*<-ZgfB7#Pv91q+z_(|Ci!8z=5R&x-aABngQAqScF{Q) zS3BEWfk_Q9w_w8C+ckypMf~&-69MDxVAoWufoQ*)7`y5{Yw5bJ>BgJG^ymT z{e-)nZve{W!58a`cbUa^nXNDx?TcCGVJ}SLBewb6)P$ICVbVI=H9z^F!n@e&nkfn~ z|CpMtc1_XBs0lG;VZxK_ni{4i#59D-3o&t(abc3W*==o5lo4XOn$eHhHN9a{Ld+1D z><}{s#{0P4<%3BJF>@>9&0SuI@}nrJyWRFSOh$;=3=`hNuGtNf5@HU)WQUlqVG?`V zT|dD1GD6g^P@X63qQ790d)cO76`aOCwkZP>o@|?{Fy2A7sRxrDVw%9D`bODB4?(3& zv`r_N-0QX(4wLw&ZDzw{ylk7rrsk+^K7>jA**0IpIb7v+9n?+?UHS(RmB;a zXPf#kY4dFp1LIm~n^rLCw``LPlk{FO`_0`5Q?%DEdchQB+2&;!*FM{%nXVA?rs>*m z*Q_;NpV;O@(*T`k{!pll zfReX+W6dDv!8_xsyUZ7+E4;Y1>60)?Wo`2_Ojd}=gGsLtsF5%2{|n`*Xtx!shN2Kt z4#rc-uJM?f5c7bkschH8o0<^wFpR4TYn(6bCqX4UipSaqCM(1Yh4ECiM~{U`4Kb-O zxglmAOya$E*HV~tF}VNY@eY)$nq8CulN@3`hRF&spTT&l+g-~ky^nh(1 zf=Ov)n zifuajpt2U&ss~Kc659-bNnc@`kucshwwVZ%i@$maJ{f1gWNop{BAB!twprovp>40N z)}hGtsck-jNjz$seK09s+vX@t@^`j51(S~7HWu8_d6+!>cCVnh?!o(?H2hAipt_AB z&)>EwUK3B50wt`P^2QXmO--1rGPY@COa-o4zo)(Y6@| zliJ)i<6yG!%RPdJG1ZtyY%||SvoRrVYQ;AUJxDX~QoJ2%5vD zYqV{?F*W#y@PjqyVDj)UF9*#P7*BD0Cst71f=Vl8o5HnRIM=p`fJv%kn`$r__`9*- zocgBAW1AS5l$y3_1(R4C--#J)>kQ>-XczT@Nl&oNV3@R~wiyi*-qJRcVRGBsW;RSp zPunbpaSaZ9>y-R?zX~dQlwGtDCT)yucAA<=wmAqBKFu~cre>yX&cbBOx6LJ(ui{9W73fbqU(n?*2rH1hsu1yuT4yJ#JZYn^RA zg7K`k%|4ji5OWkJdxKqb3MTD++nk3<+(_fR|G91kIg01wHca{^yRCR_T(ix#DG%ex zuuV;v^bpg?)NHY95=@O4+<)p8dJ1Qij3J}YC_B&Q?uQ!Ic#b|%r~ZHhh1~d)C7%L|B5NvX&2ox zMIoke9n|cyYa(DWLQFMNv)itzZ)!qJjH&rJScCOjnIcQMT%AqPo?wy7)yvd`n8Btd z)2Uz|@48kuXVz?V5=&*&$|zsX1cTEUJs^pB*PJpnA?AEty#Gl$W*1#IMIq+48T6%HQ@kE(LQHv>q-?vUrl|=rjZ96BU6TOg z%MMX(O%Z;wDEOy9H!~>2^ffhK+cm>XO^6w1YVadN!8uc5azo5~m}DP*HYixM%oK%~ zHKyoU zh?#6^ez0q1n;IDF>pzQ4QLbII$`pl|ji%DUKn4&B8=q)f= zS8cNgCgYlI4#Rl!#C(JQHTL-dqs9yvG|8?r{H(G46@mTa0zj2`ktn z(z`u#oO^A-ut@DL|HVZ2Ab+z-?g~|!L+}pzZeWtqI{w>omBX6?1&~|L1Wms5(|Kn-yT9umw+nWTZ zMtht1|C;8mSvp$dXm5@SCo|V6WyeVf9Op2s?x!uiHwc+*Lua>zK%5_HW)|8 zSw1e-zu*;jQ+K?TCq(*Bz!mU%{rAjrKUM(O=b2g9a!hO!|CCwSa`4b%u+-Su?$-YO zv)#>0$LTg>;=TSmv)vW_wdS~A)xE|f#Q3+)aX;s8I@evIU}Qpqzu8>;B~nzJfBIZ} zuWFN6|9f-YO{+u)_YxZusWW3^qWu5Nbw{?8LywL1hCVNGPX8;S{v%>z{J+g}SMjgF ze`0V_LY~*raZUa!;{GGzqfLKHUu5EJ{K%9yzSY%V7LKYNLZ|IcbM@&6eb>;2CL zVfH0{*8Kxl0v{iuC$Rr@3qOj0|48 zsHotxHYzIk6pL!&)pH)zB>41 delta 148553 zcmaf+37pM!`~JV@%$UWoZ^=4#AzNg!j))0mETKq4w#dGg<%}iUSSI33B1A=HE6iAu z6xoVI*^+2cN(d>c|MmS|=f0Zf|7)J-dA)kP=eqCfzVFZH`#GOEXGkCHslRqz{oK8# zMmSSOjqTB?ULGgk^SSS+UFC;>Gs|(D&G^;*U$2^u6Xzr)SMSwhL=R8l|0P5?&dVR? zcFr7rzEjycmyYJn5_6mcCn=#*;^d!(B+h74zF*>uj^&e^&uCu0OLKgglscvSGGx!6 z|6t8?K+WEV%TQ4!SdHLj&%%c6G9pA`eThjZ^ zZxvN4XWUc#a#=s`%bJ7u<@$m17e$?ph_A;|tD=|0=8qrj#tI~+wke;SIPv|=LG$0N zTyy@^{LlOM{xtv20+;-W-_MUNc*!4sa{ll5CG*F3ekc@Ou>6=9zmph&-ia7G-gS3L z?N~mkImStBj;|6j&qmKLRJmecFA|**Rkoas_CJ5P_58HTIrG=7{U0sY*Fwuj%J+-? zUlk9>coj2|=vF)&<5gT=J&>=~e-w0BFuC#nD!8}N{5n-C2G%3dEx5N43sy-gpVZ`k zc{3Y(-epL1y_t>WO-T4(-c?P!g`^?T^{#57h4lQ-h4h@i?XFJcn*OhP=?PxF6ePO! z(i5_;=kE00j{mD*&5mBdqK~j(&5m9{_u0kQ{f`MV>r6gSJ>x)iUus8x%GaT<*ZB%( z44mximBY6)w7j9eNXCWfzA=#sjh^jmuh7|fzGnY~>W6%t6hIy#FirtBgw(C}(_MDGX=t@^{V? z?)dt{@qWK=XGYul{&w!K*!4f(@UK6TvFv;QzMP?n{)i$O!K?nVKA*!UlKHE@oX^uk z-HSvQnCX4tr|z8mpm0AIZSxvzLA>QY4hjJkL@uHqfjc1I&} z=ddqEoXGM;=uDO`ww}-O#g`ZU`C{{>e>kJuuMr(0d}lL8--u`vZD;@FpAm)aU}Qx3 zBlSfH&nzk=p|C5)qSex>)2ybA>uvEaR(Ekt2M*ozptTMdF_v86D%Ieh>I>9?NK3Eh?wqpLsZ= zYxSsS3;S0c&G@2O)WQ6K5R_sW?>!Q=H^SfLvy2&!M!oOzCmqXZ(>3a9O;^DwUE?Yt zoV22$v16lRGxE)e3ik6SoCrOCBkE!Nn(_6GsCOg$%RbLo_D9rmp9{@yhvBl8y%haMGmKF=BiNBvXKQdCg+R(E=tmdQW22u zh`u+!Brof;%E*EYB7r+@;m24 zJ)Vtu7{6w0cs2%WzWhbTkO?tZGoWBf7&cD~!=sa9LVkbBH}3L^W#pO~vlLg!H=#At zVut&7p68h$AT zR^8!+7_8bPZ`B=MiovQ)@>ad#EoAxAk7uO56SKK#c(B45U9QJ8a|g>C55MM7iVRNt~2F1_DXrqb!Hyo zczgfNay)FQIhB^0Zc^A%I&^3(ZtHwCVt?{yEWRhUU_{2>wPKg}roDAn-J-goX8D7spk{ z`dhlTPlYxL-4N;C*>;_5g9sLDUj25SE$Jh1deB^7-~EtP$0B)Mj+bn zCmmpf&3A*orF-+%7H?mcTxj)#O`IrvK*oORRT3bbyG1&;aPzav5vci6L%f< ztmQ&4$H(RlEq0@&?m{%4(VJ`+ikOAKdDuc}g#*!{;gthrLf#5ITYop&YIdMROn4cI zp=GlIwIa)6w?ji;i6~gteH1?Lu_VsUUHOl{|4y7S-F=3&b|y~#u-^xL@|JFnX(s=a z=zbzfa6b{nZ@E9zW=^1k|Lpyt<#Pfx{Cn>Y4V@n-8v1cgAb)OTho56+Tp%=eT8?U= zI&%ZNA`+TA^S3`xE>t2d5b#%S?mCKunxq9P`5wsVn-+K*S90@EySY(CLucy;VnPk( z1@ifidufG2-6}=JhWgJ7jhh$Pcg<*jd<(Z$LtopBweth-`29}H zf65eiq5K7$G#sYsSSI%Lf|pz1Jri{6OK*hQ)z$xu$0+kbwdpM)-IBW69%R^`N`dLZK_m z0>yo^Lq9JM%=8awm1U}(`0R`6yR@2Lx>k|Uj#mPCn{>vr>*7AUB=^}RZkeMV?n6&Z zoIQQ7YeGWJ#MCsGKWbh+4x5Hu8&N(XbftDgq4s$*nwL+&D>}gi_XBbBf0D+Pk8he8 zgZ!pjaNnTP?};<6y1VYU$?@(7Q5PBl8oHlI6Y+UAuC+Jx9e;RviTI3)L1v!K=}jNg z+B+OMx;)YSgS61;qJg4)La1h!L-X=O5>r!-Cm@&COj6z{tI#=b=>+$RNphDpWKT-X zrtoY@`;XNQ!D_p>2LY?aRg~uOY<$pfnwb~bq2&d07M?#gSS+-rMr^LoPwgWLhDrwl zIYLKk#1;#s9gPZv8rF&p1bc6J{{NJq^3}6j(tVDIBZyaJ~Y9vmEB+6 z-R-h94%9T$`~NMt4lvmjuJdB2EiYu#R&hbb7+eJr_N4OGm#40{}Y z2=RHRlnM=g6ugu1^PxYK_#Dl}^@u7+G?0(XYqcs0=L{-tVak3F7`J??(T zX)`-VI=10rg)OgSpBAcBD^T$6#Y*^R_{2RaZg+2Z9H`v8IsCGwp|sZm#p7zr$C3d|Jv5C7fz0+8{7+yy4e3js3R2kb@^C84% z7f$Ty9_1qQYR2Yv)tDlltLQ_#;HIw*3<)I`jmnolx%A{-<$IM*oSh@7r`vQysNtGG z@lc&N0_FT?AJS_$XKwdV9mY?Q(0i{}rBG<`8@R>itPV_x{=eR|hyOosI=y2a=3N1A z*}_BI+X`m^r<3hdXAa2`s<$T4%%9L!{yeeC^j2=m=?AU~6bvQgjtb;*hw-inyi!BH zd{O0|n%rn`8JvX?fkd3>q`&dMi_IoC8UQWtC~Am07i;jANyTO0%*_2X!k?YRAl|DK zFG0u&ky-SP?x{_36I|#B=orfTMxb#1|MSubWv&X8ib}?1a`~SX}Ce zyjy8@?qv6Tq^3~f^$}XPHgI2TatB_{xRloh?u<>(<5f=V5c+f-PExLx(Z%n?R@M!#@-WpyJd6<|K6+#>zS~=qdRi3(3Z?Vv66Q< zj(1swUu;>6k9p*u;xTbZ#9>JewZn*^N*e<8{FOWX6OT<}JYOf)UcDhu>dv@-ANqJ! zpHtMUyEE*2bUy}b_I9ASe|qOE)rT-^NM}~xcYpMqrHbB((Q&E#+rGqi$zncBb6l5D z=}m!qLhauPl<;53nvjG_Sli();eB}rvuCs6>5r;#-Wb|a9_43Nvur0(u}w0la8aedh|E&4UEHaRqgD--B|TseAB(}X`D4?2z^6BFJ}hogpO>*>5YFf%S>k(k9#t_mTg!| zHYegjR$o$_H_zcGy?H`MwxMu(FBQ%k!+1)sQ0eV~w*JK43gH!l?;}`C&EBD<+XM00 zT_88JT9(mrwl`m7-ixIywhmqX?G&!+lcilgB%)nfpYRLx-RxhWUHWG6rO=nqm%jTp z;{{qS`<^lVvUo4kdpYdgvI9M{IU<=^eaUEmS(o+WnN1Q zR_8aCO4;vf{Gcr6voyyI3OC(`oq6&DjCLVwRual#{|*mQi(;YkZdUqW)yf;gq?Ex- zdho;ScPDX(YtdwTxM3!&!KZ)b+0#nx#y>ySy@KL%EU< zcV~ZZbs3h$mqK5{F#38u5M8=tmF#yfW_T9wWqL1%z3zbyo!f)UA~S1NGRnEfet4)x zv*>1}VydEgVLcPp zhZAt)m%G#d@15~8ynhPERr3lbkMiDbl-i3gx5oUtukz?DzBKysjSe+Ai0^9gcf*&> zeS18s&p{#~+6jmVSrnOA2xz@8YkW{c|ds zlEs^VME4bvqE|@ilCC%5-w*TNlu*9U@WE(3ZR=CqQHzGkBu1Alc~ADXF%xNvLk_wn zPo!;w*|J$>pPTnO`7hoJS-nX}bYCZ7FTOY7$bII1<9JRT^2RU; zzZc-Wk?wdj`)_=SQ)R;|;$E*fenY_fEa-mYCV5S23s{P zONV^*ybfv8*uj0pl!TPsN4750vv^bJO_3Xx-q-AO9nKy>k>1ld3mM+-{)@;sT((|(7 z6^TT&jep+#>{F+NYs=;+IH4M+0%c;C(Qx7UEGHqcarT2|&dbs*9}>}R**s2)cYLKw zy54LysNei7-V}P1=5vF*k3UVa?_2bREZ)oXIxn!VcL}Gv=4=}8&1z0YB8Fc7Lb!1T zjxY8oJMWkUSsEXvH+ccQu`O}ox!!D^YUPDlya`A|-+T+bp)*FD54@cx*wJyEX#5lD zjKcrBuYySDdHjE@N89oL`1v=qcId!7_xFgImj+_qJ0Rwj!JA9wJpf|fP!OYEE1Dz1 ziw04;7LeiPAewhGjOZ0bd*JS5^f?equL8M0e2sMWfjG<+P<~HyKk1X2ih6pV^FxU8}_1?KyeyPHdCd!2ULO$iCW4sv z7KnMbKoz}VN)>WPa{oyLRr2UDP-T~b&O#s)Z-J_K;XH-SQdo4a=vff+oFYaAL2Ob? z)IjtssH#`_T@bxL-{Cs2{z#`%Q4{NfSf;6{r|321RlCz1O+a+C5cL(k24dqMKy2Km zxKX#_=uh)th@_{ZjE6yBIWsKUEHR=gsm8V2=NbdT-RN~JHJLSw$6T~&`5q+-c528EE zTi%l(j@7V&RT(UrBgzB?t9i#_2N0iyF-&osMIOhx_=Qt92G#JQNg(gsI-5bYJnaUE zFR@}c+=hH6fjB}R5G{K^;nLWuaA^=79YEosL3Df$3J;Af zrK3KGjweBMOaaY_3{*A#75JM0ZQ zj{<3)0HS$2i0xjx$8jF;3Z4V8-7OFuadpgbH;C;TiTWzK8AR_P5WUyDDAph8)UIpo zO5bbk+Jk5r0HP%g!~vIp*lvyJ3q>p9^BcWQK=k$k(fbOBi<=vd`;V*J6>pYPAX?l9 zOiOMM+m#fxRCFPT?bdf}=n*&jr!EQPHa)w)?7y zwJVTdj;bI!l0Y2raS*!=1mX4Xei>5uI}qFb4WhYxQ#1Djv0dByt=%{f9m_#%vKGY3 zJ46>0t%r0vx&`qoEsH=bJpf%vGeO+K?I2pd2GMZ|6zPrsm#8w*866FxW3jZ8AXa{} z1qtil4}^|V{+4Db3PMe2jX*5e3dDAgiKZy}8HnwE0MVN#(Y$RyY<%y7)@>N58D4)V znhK<4J&5hz1+m@7qTdv4(aPFA0it&bh~8Zwwp-WQ+MNS&z*`_X;@Y4;EqAxEc8x@R zL5yw&(R>I*^EE|lKVt>6)3Zf(MIPO1M zY697=5s2*`5{*`L4~XWkK=ej-H}Cx*wyW`kwd)C@V=Rb{WgvE269lr|yQ1$EzBk#- zZ9z1T0MYz5i0zj4uy#j4YyTgS0ud~9hfoOgYMDsU_mVMIN zPf(;+C3jz=dLTxpg6LfeqW8nT=+CgfpSAl1^nh2U>{DiG0HP%sM8`l7+l?2!uILRA z+vV+V-Z~(9hk?As1)V1bSi5;3THXY)-C+cNPtgnzo1B(bY_OHSD9Sm+nluE_(F4SV3<9yogdyJh zANR{u3jZcciJ@kB48-}X4l`;6;$s>IVw2?{HdzZ|lO3W9iq;!$j$m^j6FZ5ffLP`| z5F5`LVe}@5jZcHv*f-J~c|fdJN|dN*2t>z9M&0{wvl72iV(C%V?k7=Tv^8!B3U4bY zyse<{wu)9M`WuLj5@XEq7$_Lt)@Q6;D^Pe_L9{Ffg|`(H-d52CMe99lj&2}27J=Af zz*wW1AokcE1hUE3AX+Yg*yJx!<#84r4WeVQw38qo&wa(m$Z zYaGT%r=P+ffoM6Z=y#$*x;5H@*!aB2pJI)xfmo#-h>PnE;^GFm1Q)(cSNMAnE!W(z z7mb=|R0qT|gF$TEaFS715LY}4#3~y=bnF1J-Y24)leChSlg-i*#Kb1jFN12hTF_Y!tn7tPf@qGLYUY|Cn%he20`knx zFll3@Es*xIw3Q(IH~}Nv09Ev;*);cStUuBj2IO30JkixAh^B~AMaxC6i!Op#rPg$} zg8R=MGfZm+vZx4{+d@tCnCqUFb zTHrW?y#XsMv{CN|ahTR1jyecL#|TBA6{U!#iIxO~D@5OeSmy4KHEAgN7Q|@bbc^l* zQLFf(jo(W&7sP1wMUI1SuNXWP81OUyF;Wycxn&H5dP=ObdNHOU3ys5L-rQdiLiqNbumQFl?V zr9msW3L?uCSZ34>#B+O2TD9e-T?f%|{uRd==8f8Pg^k)B#9i$R;;1u0bfj4nzyD$A zEEcU0Z4tdEidbo728uF39JSG_7M%v7mh_rwjbC>hyr;ovi$Mc<|7`(s)?FaZ`YnjF zUR3mo=r_?{qC%^zN-A%NE&NqlqqU|*t+TvqAiQ_OF1BB9qYegf zSI2-j>PsN@Sk9<>|GlonHKL88{i4I7LL02i1kqj)M}7Ehi>8C9^?1j$wi_Mqx4I*p zHJ~83bw7}^eg@*KH$a^Awxa$`mKP(+E2DjE!8l?$TUn{BMjAdq2yhKUD2)V5?g z&S-C2@7QA7S_i~Y8-X}#GKh{oiasqGCVECRH%z$y7Dzk+Vwuugtx0v!7a&HXw^=k3 zM6Kv{8^4oiB8btrcO3`swlVm45chl$DE$6!1&}M*1ES@i8}=+mM8`#6iLQ%oiJI)N zDoaEwK&*Ej#8dIt4&8q>-ZRT=(R@(&RDfu?B(3aDa~u*4df#!L@rFJC;yN#YSmg?c z%Ph1j>Gp+W1#~JHw zYZ{2#x(38iH-k9p2~ZU;?<+;W6$@N}7N;!UKx77PS*~5e*WJ5M_c`rO08U z5+DxU4HW+TJ6hr@B}RQ}mRz9lsQ__xeWksmyu>5s_#QOgTW9mn?1A+Fu}XhX4KF%7 z2;_wG6@F2)RP>r?yJ(jv>Zny2BH9Y#s0qg`Iuk^#!{^j+|26%>aVB`vECu12V9MLo?5iT)7zj+^%mQAtq`5UZSYDd@E;f5PT^1H|w(Y44si?afo}iE+!v zp0-gdf;ego5JznfVwEn6b{F*$4LFTzG*6T`O>_XnGWox>yg1Q55Tn0Kd*h60Ilr`?SW(SFgVqVGjNi)wvsRpyEofWn`D zj{$isE_y^7~+GG&N ze_!;IqN%|vjx)s@;R0x&M>(!qnLHq_q!x%H)Kj#PsF|phC|T4;^ahAkE{8R&fBCN_ zehT9JXGJrvS@Z`GS5oP^QF{;zUI9JljsNfsTgU(q>x}?$At4YQOWbJCv#e0!YSDVp z9??NjzTd3OAkhdA+ie7K)I-voo95^)>U9(SX;}%8miIu^epTYK-_6|Q567A64ZRq| z2et)7$1V`p`7Ma+yr}3E(QigU=PyI2&@C%dOw=93GJ8b@ZriBoAV$wgd-G4zUi`~( zrg@|O2I8oN{E5h8K%8{~h}$|1#BF^8#4_s@-7MNJ`apC-^p&Vwq*Vz%1!R*k zqB1!w+z!O3KgzV8AkM!`bU@Mm(LQH}w~&uOT*x;dR{0skh2)Fz>bdt{5g@}QL}f*H ziJFKW5X}H_KfV|JtmxgbHfkb>J)Qy4@dYSI{8HhPIn5FeqUC82^X?27WrAjU>nxnh z)>#`wM*|So*&Rf0FGc%{h6IJ9MYBcoMPGnerfF`YR1hCT{ye621yL)X*R%rpd`_x2 z>JShg$!w52D(=69K+d`a#3t{B!;#Kz(E-sB(FM^ZQSJOzzfjH`2AVzD5>WUhQ+Kak~78ed$nR61ah!z#G z=zb8JjJ?COQy{MC&Z0)mK^*HmXtuYw1pF(0T*%`fR_O!s_B`m!0Mas7;RT|XM6ZZ4 zMLR_PVpb(t)Ca_NuYow~`_is@dD#C*C#krZJAr6f2%=?!v~QI+uY@^jmGn7t_z*!{ z=bIo_*$Cp<`U1pdeyQmBlDPkv_=CjDqNq|_anIJ}wN?Q_VT51`e zGuIpS2M|XMMwPWDc|n}DHi%{76>ThPE^006A?ho76T~Xz%NY#Nq=xCzAQ-(d~w-+Q--o2&brdETZMs$sL%0&$r7AddPth-IEs^eNF`(J0X@ z(LB-Tpz!D4gql`xCWr?lUoF!f15qni+qC@m_?-FPsDnY=)>$Bqx&XvcGeI16hoT>f z_TPj1kCsm*elPl2RI84a84aSNa$VE9fT;Bq<-6CSLqHsVt>}bBgHCKcGZz)@0CDP4 z@n(JyMC}<6+f5f$zt5s`Ky0@~T9^7h=LK)KHi5WXM?m4vzo&rQuD?KR64}6-h*ge>PAOWvp$&L%L*0LUA=0v2bO6M41{#^AB8XaNPxjmHSZ0T`!4I0Y6vW1BMV(t& zGy}x(uZ!YZTl76py0?%LZMYEJfA;}d@O}_i(i_AP1}HjIG+H!4^nz%S=nRNe%0Fa1 zaz1QYeNg!G?}ILSb5&|<;b|bQWVh(DqH#&)s3FSP&b<9W^e&LL8^j(*MeEuJ&62l+ zS?Ys0^$F06-dOi`wCCOd#4_DL+^rypj^`9j6+JIX7p*l4IvWk0n;@3y^oUV65Zf&V zaZMT0epFs!Cv!XuqGJw-j@8n>RNl!mnfjHnX zMNf&o7F`hiC5n91>Lr3$WzM6z|CT7+;xP-40&#>&T}_(?3ZDwmWku(9^Eoeh3;7Ae zg~UE?RSJN(kh&m_5Nx1uQ&CIN!=hfI{-U)YmMPlZs3(X6UXWJ%3Da(Y==dSo=Pc&^ z*RqGr+6%-L4+L@6G!QKd60^!}AVy1ynu=0IF9m^5d8PM&*!U9YWly^Xy4#~peSP6S zxAirslBYH7XA}}$6;*o5hN%zYFik~0!-V^9g%XSPH_P21TIz@%5v77S>Mjr;&cp#e zXPGzFYas5?77!P53B)|#(^fggC4Tig0fapyer6hw)<7ls3GR931YM@Xoc5f z1c<{-19`&)o&CU-UicRfEw@1&A=gl&dqIp21#$jL!+g%Gp0^E%rJn$CozH{lSPo*n zjiNJN)Vu%M47bE?ASMnLy{PC>5F5`N;d5T|8m|Mf@h%V>p9XPBzL8cr4~UgZi4sTZ z=YN}(xJTg=qKHverZI>kwv0x!#oUPs?XXBwHJu>#)u|@R(W|FL92QH{Q%?^-Uhwlg{zM>b7K&1 zhz=lbaBom$FYirIMUQTR*e+(AIqnB>=-wdi#{tk=p5rtq{Q0-gc%QSz6CVW8@-&E+ zBOqGNgV?0_1amwLqP79F)~j+>S{uB=)_K}TATIL{5FL*PQ+&>PFFXrG@g``4rwyNI zmd`;P@ORMLUbOur(^iP0CY#m}w9?BPByGC1w?XcujrAXt_?HrkPBHWSAl~lXq)nB! zOxht3_bv808=)MCBRm9RmA=xRcQxLBZ%X_U#C85A?XIb&H3PBWKoAQ~leR^9pGosi zv#}b2Sh*eO9dBnwOv97lX1=d*)O1U1ElLv|6UEQ4yg?w2wM^PpX+KGenrV6QAa9tU z(_Z2f6P=f(9hUZ^v=XV-_+Ahj_megr#MPaZ7BS0~*$u>9SmTj*|NSMg&TK2#2gJk_ zX>WkI=^skFp}c%^%v&GCypGZ)NK2RY(Hz`=JT$(!)~+~+YkC00GEYdGA#H`UV<3+D zi?lLnrZoVuUT+ZV1*HWyDDi;AKR_I-&hu90K@hj~X%NrM7DfLOHJfLS>7tKCHRoHj z4+vwqKmSXY_%=mo-$?sQTFn>C+zP}sjgz)e+Fohrq!n6V-s&KFlR-gl+(;l-HxCr) zy*O5Y7~KQniqC^KdeQO=Ejm)PM|2y+Ce=fhhrgLTtPKP4LCgwD+@Zwd(){U`SOUa? zEuYY1^b7lNPp2G#4;;0P_zQXVU9`rMcSRq?J?a0VqR|$7aa67@BUk@#1EACv$W_}%zQ71&vOWf zWo9b65yVsRiL{&23aqdy%|Y~bUxE9NbEQdqLy1R0EO<#;+)6Xo2hsZ!h-0l#G!w+F zJ_X{gI6qj|c-3=mKM6%eCQnYPt;fw-*?gCe~%^8|=_i%nnNQZ?y^QfLQurX(`ecNjoU*J84C?nYT8GEAAz20yX#kTQ70H5^qb(zunee z9mEwk0dcIJAnr#H#ACKo(fsc!Pm~VgF#AE__kZ6=%(KIuQ)Lhn9|N)72x%`XFH_n% zX}6_Sea{|eZxE{lL9D#mMV`zLB>pTddZ#7c2co%ywCAKPm9`JWdgrATe&4(`Ky2I{ zm`r);>i)u5HU|4vD~ z?uuT!N*|iJDTvx|X|tuhC+&o^z;5$a0MXl7+E8gLb_Xpn6C&4s48+xaCrj)e+mDJM z9<#n6K9WpP>_?_G0kQE=X{pjSfxO2QbPh@M?KN|85X}#O_&lGGHbdG9X-7c3HFE8< zDiuMjk_2Lv{?cCXH1GZ2T8U>tT+<)Ys_i$e1&9TQfLL&*wC&3KTw0C;HdYf5D|ZBO zr$-;a{l{ar7-FRN+}9{^zqC`*;tpDw-l8`|CqO)A#Xh#YBoK$5ByF*@;3pC)MD0CDZ(Kv=MQ|IJo-J&619F^I=3&tWq^D4Hoc z3fk=L+pi#wTH#Z3Gyze23d9FCS=uI0`2IU2@pmN_JYwcXAeuW%n+oEA+X>?8PAVGt znK?>FTR#LpD|M%qPbe~4Z`X^&(rhy~vj z^*?3Np&&+6M0cMKM}tlsAj8c>mo4o4CN1hq%XOD zxc^w@;qNS5>U#@s5k+0F=;t6hhW=o*1H{sEel+c_i$DBA2a6Sy2NJ z>-Ca0G6hA@4xdBbNyYd^TiRx6yF{lHy($g=$=z_}vLJ5C24Ou7n?4yw3)x*{^LPfA@QIRkBhD-8gtXyl>xC`ytH=GdPy5EElt{LX~82B&x3g2 zoZqcUE>Q(gxSh0i(w>zzRkT#m&C(7@J4el3|1F7m{;roOEWRsc_9|TeBCT*m&m!+)(vGhS{$3<5_tP*qE+LZxOt0V29pu}W} z&q#Yk+B+b&J1p(A=sJj{OZ{o>YJliyBdv>QD9Cl-{+l9kNmz_@_DK5z#4^{U<@n3A zMj(DKY!2d4ejLPMhDe(s?QLlvfWq(p&Pu%EirzQUJbzokVxoIMEZt4oKoA`>r7aY# z1JQ9@+7BQ)qMV>VJaqxbs49q-j?#LAXqhB!j_7p|9fzfT4Wi>OX*qq?qa4U{1f4b# z9|zI$thA}3r64xhEA0e`jvLba_;0N9K@nrxnr%&y&$fsC5TmeNgF9`p0t<4 zg!?Z8$Z5_hd?T^wA~;&&Pn@K zTE5)Yu7s#A$g2``5+(L95&tQ2v%Cai=}c++qM&&=zbF3E^V*qYY^9dOPl-Pt+%vs(iTX2OWJN}r=?w&maCxkr~nGT|7$4m5fFFbX=%?% zTP$spw1d*Vm3CWN;X+og8YsMj(z*pDj+8h{+N;vGOFJg*CuunfTayx?@D56ABdxcz zabXSj-vWuNl=!~1qtbqsR;-9MsS4sTOO*Dgv{BNgNn0sxldJLmJ0kJC5}iA&Nq!KU z)BAW%iu-Syhi4%2hibP#+`?S=FU7KP zH4qzrFYV4^7JW?glIS#ujqfOKjpIRV{1C|Ni@*N`$i}lkY`g@-#_xjIxJ(JtdWv2U z9RsmlzLM6i7KrUyfVj+lAa+~k<$3S_HUrssyXcIlb}5TC197Y;K^$uhXot6hIZ9i! zg=mau3y1?=1Mxu=j>G-O39H1}gl#~a@F@_-dKSdSi$HArm$W8jEIL&5Hi+$h2C-e9 zvbG=PK-`bu13Mw)+&sc2_}c z7hT2L-34O179h6k3}U-<(I!RrNIM_aaQ|JCSgoqHyC1}YiK70ZGs^o}TApft=RI#f z5ffL`Po`9owYs zmv#}vQA^ecnz?HYqd6d&Uj=d2LyE@Mv?j$s%quHuC|V5SLQaaR)-vy4kmn6L`y{rn zZI%@vuB6gEMs+}J*G2R?h)up$-c?11*Rkk_Al6H)YxD>x{QDozc<8?C+Y4er|Gk!2 z5X8pyMKeImdrew~w38tIHfE)ImiM%1gXl&*z5iIEXM=Wk=?;h^yRAU6J6+KUfa zw9>=o?FeGyw?OoM45Bw*+n_bRqpi^_5F2j+(VRcY%vC`&FOgQSoke$p=)DS}w^e(K z4gk@+7UV5B=xj1{s&p`OXAsTnK%9CXh~@?zO*4#W{ckLl-sHM?4w z$3ZN!3B)poKrGX=n`x&+Pd#qlg&=w_f#{9tZr)Eo)cjA_2*J|A10e3gO%Tf@C7Y!` zh$9@4R=bClc^yRWQ4qb=dYZQdh~Bl*@;vEz-TQAckmmIunm=I}(fqwE+8D&>P!PB9 zprXGkTCKN5r-4{`BZ%H@itYm8_3wV)+b3~YAG0h4(efdvxtCX~udV435ZCkyh*dU- zGDYu+`t`HQ3qY*AH3($sw5P1}Mi5K;`djHZ(LNB@bOXdPe<~U|z#IWlM-UwoL3B(5 z-Oc;&B#{3KVV!IjrPYx3ptSbVo|ZNq#1)66EmPjsfkA8Xfy6JB_?hh6 z(uPT!Wm?c#0_21lCOQYCeJkyEX$1${FqJ^`HkI~>w87F+q%D&6rl)!L-)@PYEAg^4 z-w{>wAm8dnCf@qN-d zNb4nSg0#8PR!iF|?I%&G5!NFf#2!sSL7wHV5_^HDO^`Mh#ACKv+E!^trF{va_cv+I zNYjde*tnduMnQ=WgV>~>w4q8&mG*+PwbC-AeJbswv}@A-ly=7`4uku@GLVh$4~vmb zYYZZJV?YLG+%H_KWgjN82tG1BE~T){)oqTYK-o`8WJA_@i{#vZG^Pvq%D=UUfOR`*}L#P&+;Ds7~+3DVM~Etj@U+K1B4Nc&!zZ=4Mi%n9T) zez>($f- z#Jo2_D?P_yX?aqt@@qliZqNf>V$?)4-w9%qI8js4IOY8T;vYjPFv%SCL3_P=t)z_u zG4DN)+YRgg5y?|MdW}-D{rcej@q+^s#3-s%Wk>yG9=prGV(& zE$tkL-d`21`n-9c1aX<8p4a_13nI&GSNP6(rj-S8O%F+XTC^U-{rFtk529P5s`IUJ z+ZT+2mxR6r*6t2bOAu#$9>gYj7MfNb#G$K-+KT3Y==c=GD%V3sC)2$>a__&3K$fZU zqJ?LQmVg+2M|57%L5pmwM}e5P6~uOtFWCs~7WciSECLfi!mpajPdu zTQ2$*#5Dz8Hb*H@O;Iw4BTQLpRBoBkeIRyA2nu_NR)E;#I}pnZUT!oAM02`muc8HB zF>jR>=E%F!_UJJX>zxT}xc}~Y)x_Z-Hs1M~-#O%MSNGR#yGDZ8co~QO_H`i^t$K^5Qn+7&S=qkqctFJn4t54 zq4TT4jW$@5tss{97{vL{iweGN(Z@j?YvenoZQtm34tv}G*d}|3LqV*v2^9YO|Mp5e zBg(Vc$_xO}G6KY%St@OZ$e&>&R0h$}K=hDkJcvtLo{9U9n6Smf4j`JJ61}MCc@Wo` zywx1TL3GRzZBaC0n>mVaH%HHR{SN-CJ#Yn`9kw-hfLNvyh~LW-K|JXXgYNb$dq5m| z{CoBm;~fyk`ciZo#5Xi8cABFTD7-k5d;iT>_`JeDigLeiO-h1jt|)2_V&iEbwmS{t zfIlhkZ&Ca%izb4?@Bg|2S^8szOMYNlc~MIc-&A#$mMoe8;xO-nILwbAzPsxDq19Up z;$PvnF$m%7;rPeldxS#-VV2#90-0dmK}`upy; zxmJok0dc~kAP!jUfURU2h`aTn=n{yIYalvW95ly95XavSqW4pmc>i6O82_<_n}QB_ z2W~iMjYnyUehgy4^U{74<@v;_vFz7Ue%`1s?%1?~Lf0qPIk)kC~%8h$m$^ zh~B-QyHW4`-={#XB<2f$_}^kI0^&+4f=+tdKM6#0x}r-(ABdulTV9&zH4y8q5q)}G z@Bi|hun9YW*ksg6vjjmLa5ISin&pRz9tEB92F!oTyp=#~S6$Rzv`~4$(x=T*7eq@# zQL<<$h+B9L!~tW^n4klXW@3BYF_C<($b}E zl6Dou(yh)L9TJuJ#`dk{w^n&5h?Q3dfi%CT@NUufqQ>9ZAD&4Cah(T2{BttV-&>W+ zpq$>&jX?ZUKu>`9VK$_^H6V8vu>N<#80j1nmAGKDRsiwI)&b!^4+h&z&_IvgQr_nv zt|{LSHcS~1w>nX@9>lynKZ1DwwE%J#Hh^f^3S#4ZpmSckCKs*oXb>HkqSyDU zOWyrg&O>)i<3aor9s5Bvm%3)=Iv|?si@J$6gXoR8Zr;uyHXaC~cerT5b=`lzK%}|h z4Kt^KXnqYu^IM`%M3sLt??@1b-Up)hD-gZkilT3t7VH6J3J=?7w!L83I#k04g5 z7jz=RWl}*jF9*^5x@e!MoG&759s=SBTS5GjQon&%CAZ(I6cp7J%>}t5;Ql)eWSNQ) zR^~|%%RB?(FhS9B(Jc^Hk{D@K=7BiOArPy43u2XvqTD&4@%|eHZ0=nu%Rs!Vk4U>M ztz(oG90SVfIo<|w{G8DitqNkaH;Bm_YIh~AGuUgeSlU~nGawFlQ(D=8RcQ!f zl|dlpO_!Dd3jh6AwL(nume7l3CI=OFJy>ZxB~^Uv8_?0mLffL97yz_I~aN z`|n?#l2|m4Sz3WObuVdiKrFLL+DYYIlU6RT4bus7Q`wqNZSEomE)lBpa08Q$P&wgICTdQhe?B@c@XWpO7{~+6rlB zMFoOItV}f!%X9*<%n)g>C~v#8iy#hI^A7Vq0iyOIh--gG(Jw)){99PV{a2=_i48y; zVT|Yv5X*ch?GlJ(V(v6YeGv0HN}CMgFngu_p}a!H;N|_-7|4QMT+zE;rh#aFAH>q9 z6wOiG9Hl{YJSgo+Y0E@MK&}=sh>7E*y$Rwle@UxZ((+n?IKl`J z>&=mtDeZ_fU#W0C{QU<&wtED`GC>f_yeRDhXl>jpFLD70I?7k;_U)pt1=`s=F_p}W`^!AZ9S=zgz3T4gF1jJ?bFN^z+ zvyO+zGLuEGh^~rCl(RBrL3i_{fcRPQI*9dVlsB3SV&$e4>>(}!Q44MW?(oW71Mz)& zrHU4A3}SQ&h|vs1KT)($C5yHMv2=G3y(<;{LeWc%y7yn5%4Ti{qIoQcKTb-QwoBSq z(qiv2Z$%KjNg#R$N((7(qqH-iAQNv%tWd=Y-Vb8Ir$Nk{C~YR_fVXeQ6s=R$9BoBI zMX92~o(KZx>GvohU4e4gKmZYk=!+w#hZdV=^ueHBFSzUoE|Y8bTuxr@X7Hw{S3 zeub~qw8TQSBEmmlcnri7umHr;n-smJ=)JWq+6lxTPAmq|`-P&{+$itA`uAA46^NVO z0mKb1U)Q4DKx{k=#Jn-0MWQpxn{%(_tri^+eS2@v!cp~%%7~hYf*|hEDrwh19H!`f zM$1JRAP)Gh=qpjF`r&#(rw5Q_rZ+Il^B}IhOhePUHL|=>Aa3j4#&$5BCKl}?x*=+v zVB@a`vD?w6E_wIgqxYNm8i?!M-ORLyn;Z24aZUY2vqT3#^yYcM9B+u;1=0I~=-dOi z|0tGeVdh>SPIzBSqsfUz&x7bVEA57~+oG}$T3(XqZ4h6^--6g9IH;B36c9_#6ul+7 z2;%Chw>HO+HWAKF?+(ZWvGg$ztNab(nJ@8>X)Qq1o}}ikf40OkAkOvJ!$#*tzk|Z1 zMP=HWmJH&6D?qIBQIb)gc1GhstTG9N*T4HcZl%QYAeO1v-YlCzT;~~SH9J_|JP_M$ z?r5~^5o@v^#GRSl$+Qd*wU}UMvrG}??qXUw5C^%3;OKbpQ;{Bp0MIV5; zrW^yz(Hg|p#%K_us}$V~V!b<`wrE!n$J+6<-v8}|$i(xaxPfMA2cqQ(5c8&p_9Wdw+c^F)V~cMQa>E;hurdNt^h_aN4R7>ycg(V-w# zo&sX!RFZrDy(RIsD914S1aLoy<}M(bpAbzJ`G#BG7ox{Um^K7N?`TmvD185Y0pu|& zHqtDQkBSKYDW={anhTA#=pxY?5ThGK$3%t3Smn+jE@aU&R{516kmi!l+I>|H#4UUl z#8>$@ptpF^L3H#QYmWXPI<|u7s5H*<9tZK$)RQ3Qtp~YzSbt!=%~cA-(iKE4M9V=e za|Xm=zEiaO1RJIoh)s5aI81TeGhF*p(OFRVzkfbFCBnJpt!_E!x(f@Dj<66 zi~1|NaH<`>Ga%;OHO;*1Jo4_p-+?^+g=bj!CDG5KdNVDrZK~xR6BU?cS|+EGgF|sG5ZsCuw}V4*cLKqoKyi0>DNccw;!@n< z!CUvNv)8}x?LB+;%-nM)H^M8#fjOqwz;;sgsdi6}fw+Wa5G#%=b{XP6ze^>iS;YzI z0fbur{a0_g9oGSfQ{SIq>ORvn5n{U;&>8nxI0La=f>}1M3B==ntk?&L;|k8UY;(xF z{}zC3++&XE@LbbHh>d@oXR(^npVAA6;}Xudanq#@5VMyQtM4qZfxkjb&r~dGgk|GH zTz@htr!)#$>z>DWh|kOuh;O!m3$1oJ#AoZS7sLLafxOpVLo6=3$clGDEV%`72{9rq z_5;L<`Vg~2lpUq)RfvnJwAjY=fU@xO-y{V~EU_Kegg9XXsjswK<8myuih>X;x{^_JVfRuBh{kRCuhk7p3~UU7x(ZXLwuXg9>#yHe4W_H|;;Hlh=NyJMIVT5T_X~EtYcq5!LtIU1~>&72P0Kq+4$r$p!ImOZ!7yzOxkM-oI&JoDDW` z0K{pIK%BbTMyu!tvGEp&*CTt3^cr3)?jSy@1Yus_^rc`jJ)wY6II}Y;K;{KZh za@CR27Af8?D^3iBxQ|&Mh_~6_5NqS^wsCnO{!VzXVuz%ddu&{FzZ`eMsR~||Qth>Y zEu`hrQz_3r8`n$PDSej;?6=QP!yumJ{QZsvA1fI3fW>M;9M}fpuNNjk-0?+-$KUy& z#nwQKy@z-O?>l6%N{3C0Opfmf$bo~7+BBn}Q|`&kfC2*iKccC1%)Zxc2yqFcAuez= z#6$m}Sn=bQ9V#7_VxRD5asGk6nlAmCA>EMDp0sINORJ>kQod6*uCKHXTIz1(i(-jS zTdd`2+<#pEKm|`gjNOKK=rPaOj;ldD;0KCjK5MbA5YMvkIg1^TlApI&D~RKqjTda{ zT@VlbBoxIx^bvpBp^t-j=sO`U@D{{F&wkNj6(Npms8}z>Mk%(0G5`IyS-}e$_^)E$ z6^nn#n$(l#N`Fh4F59>c(mLslRQL++KklRp$k%Bu#5?gYBe=D2FHFo+dX6eFzI=-HmG($cZm8TorE^={<{Ej)xKL+k`dy= z)lIPp5XVI*wo9?oial2BvtmhaTWwZ|%L==V`;QaWS8$&6kCgduE9ofxA-$D~{A1$= zOPe8{);|zWE9j0l&hcdeneJ{u-#EptDfS=4hwClGMQ8li+EsvfTGJF;4{_W9#cnJ1 z!i~B2U!1!(Fg3)ZDXLgi#o8;@PqDpH)O%K2Ntz)2DJ8vc<9@!c`!7<#s}Rp4>H|Bj z5QxjF2r)Zdv2}`lQ7ryLJFTP;PoVlEClSm1M;y0SD; zx+o=kVdI)gi=~HB>X&vNl_CFI5%=G(Aon>z=^Ki@RjkY_8&@CVX*Gqo{j1n_#p1uQCiSGb(l&^TKK{nBf%g@B zuYtwhT6TzZM2h#${?0KMwAB5S(-`6nHv-~5Bb0rrShV+E1^)ZzAP2UCc&rN{e#(jR z!L&en3h~oR$&Z#z^vN_|dMlOuY!zL;*xvg3a{>`%C5Km^RVrvw;{Z04ZM+LKgx8m{;i+d|JS+RqP-Bc_tURN$PE9AW- zigkr}zEdIZ{`*_$OaWHhL0Tuhk?KdWapNI2*{j$!#e$;RxJ(eo)mN+=WB&VZv4Xob z@VR0!1Fg6y#NE|ZY?Na2AntB2#NFM60^JAmt;QvYX0Z&A_xZ0K$f?&zucUO*@k<(a znidep&4Re66N=qcEO87QmmA`^7K#l}Y^|fW77Ky=^WpyM;nDy9gl|p0N;!gT@4rA?!eWSfx}?~1#nQ#LaYZ4H`$e%) zif#ADc>n#WU|<|8P6M&HreYlxo3GdghTaqpiX?mg%Sn=cE*SYgEm{eb;*PX`r@ z5!Ye`A-?W?6k7{%S$`|`NwFO9tTqhdxIT(aQS6Y#9N#Sk(F(nkMq1ZIZxhAGg zVkOle?tOw{hafKNonrBlTC5Djc1;wUpx6qYKpa0Y_?(> z6}zX{H^uTescgb35GNd{*i6NaD|QRw-hHWU@0p=M_ku16aqo2$YpvKS>A5Go|MR7> zl9mwnzC^MAApUEPglTPovGs%7AVB67y$9dl2;&}#}kMj$P#3*+N2OG`ebmdBuz%!dj!PdOAz;&GLvQJ zLCju&m<`QrnkLPG*yIDmWkm$rENQZMvpBxGAg7rJ@%U3_wI;P7W;aTSvRN!S#3p?p zHn|D$v*QbhOMNH(nB8K-An))0CM&p2`X6-0J=TUf@SkXOKOOdlIN@?>jdVpynbVdK zDQ$*WyHmQJ6Zap3i9-Ut&$P86uD@|EE7=2a38x?~>$s3x+gdZ;<&d` z#(ZwfzyDSsr=9?@WE#_mKY%#(fc!RY8N_kNA)eM*WiLrLq&w0F>3xT+Mg zy`O`)m`@PLeTO)%RAI|@fSBzHF?$r^35FI4^zC;WH-P-x#Qyhs4D^*OYI`36@ms8` zimfSTO-@OdApY+72E=v+i(9)D5Ep#`;<93wupVh3@B81(e!AC~Xzhp)>BH>p`qoC7pv< z@fhN`SIUN!@hTi&Cy)b2LL4~3(!M)VjIuWF2Z)Pq4Dpry8{!gTm9wMH263OcA!Z9m z#ay|c|H>-ZP--TPg4ph;VlN@Sp6`_{THY430%E&w5H}YXX0ud*xaf0Xy8k{Q=sndM zSgL|e(*g3HYKV(D2639g6>VGtXsP>>I1u+fN!gjwX36=efgLMZNiT@&-vsfYd8zFE z$~LZW6;oA+6}6>4(pHEs>|Vu6R&{Ir_uoMhIuCK0IMu9iPKdGA5cf2+y6I1dZ@^Cw zn*`LbihdA}-+_2;4f6T|7DX2&v#r>6;Xz zp6$3h!~@<3t#u!>ob^qWA!a8)T+B>}r*$6UqVFghtpUdI^Ir{+6E1`}uuVgI=FdT# zI&~u(H&$8!dD+I+I2dB%$q=)*A@-=+#MHhC_RsVh1i9)h5Q|?xT#WB$%Vv@~L!59g z#Bn2<+M=IBoVj>28@Eb23b|RwSH8KGtbmME120H#q~@(`Tn~uj`bx8;`%>)If!<%y z>v48(0qF z?&?BpGFVE|!?HOcW<#aA(rk?j`_;yUOT(l^j<{F4E`5=b_q1u+LA(=JLtN^OUY3pM z8|X{nzDzOu+m_-&A?_2^5#rr{67tW2_x}ZuJ9!8#b_YfqV6l`CW2F?Ur&vG5CMmW_ zu@j2@r&!d1HeV*lyZ?$R*u)=nm(X3YjSyeO?GSeoG{|<+6XHWS5Mu3Hh+p?UL#(Jj z*eZ5GJhw0h`zIShEN(6hk#<8o^iL2gLWf#KMJZewAkCD1mkyg8-(N;wieWb40*JTS z5{T=MG2GU_5901_LR@t85f;k`F;-o%MGzOgRoUIH-23mgf_+EYz=;s2nJ(>={*#i9 zvc{DmR@8=0xi4ZfD6RWAZiYI$u?rgaWfWe2?j+x6Yg`%PG(Sn*rR~xIh)vEz>D(s4 zW2{Llh&%2FaXv?il$^M~*}$|A2liBKtYVX;t z;t~2LSxHfdv8oU&dP!H5eW=)vlWkm8=?{pDew+d1grAkpG{s7KL;Gi?KN}~J_wSU` zPqkE4h~E;lftI>Y)?|p2Ez!7D(s3!-G@GR?#91mqe51{UcuBs2IA3&Ux|RGO<&v61 zES@Osk)BB@XV|zZQa@>>bXAHzlNGpi3V00k^@Vu$Lm^%iqm@0T?0IFcD4TMY?QS^4 zf8DVG;=k^=1aZgzKHJu(OTXZjR^JnCq31HFG&(jMX~_7vh0;>@#8UgaUiYC>FAcPNv4 zW$aTn?tB~99a`%yx)0=bb9~o8HfgfJ1`dSwxdS&VwjW}XI}n@Xj#3uI?dkL{g zg4NcfImC)}Qi9*DNm7VS`a;~u7l;+9)>x0akatgqgKRPs;!e&%EJ?H0G!)`aMni1! z0AiEh*4enYf0$B1oH>(JSQ_PMpl`hmOe8oJm<#cM3-7jAX^64u(oTqH`2_mz)&}qK@89LDJ*K4) zi`Pi|rB4tW2kfZOo({L+5u6XYHLNgG#B8UT664|&J0>{}_tDI4dc1HI#iyyJ(w z<3DXW0C~rM#u~qtLe5&Og!DV)9Y5q9|2flM2=n>xzZ>T*7=FRDNO}T!$A8hXQz7s8 zA@BGvS*$eV9lx{_@{S+!j{mamzgZwxxD@h^U)nEyg1qCuV&m#b10e7CA@BH=eJiE7 zYU9#5pm+R`cl?ld{MSqeAn*9ETjSSK$PJ5?kbZ}}X{0Mr-4|&Ib&vXFdDMWi z-=$xRARgg)h_6$e=QiOWX)MGEr%KDEzach$s93QVR?z}tkHIf+|8d|brEf^Smo_ju z#Fwcp#Fyy;#M5f<$`lFl6i&Uic7IAgys=nn$r%Uo_>V)JuZ8eY9*3h@0yV@wAeCvRFC@G5`J7lZ56%Jerdbk3afno3M{G0^)?@rA5+ph>h+67r5e(6T)s@Ax6__@h}Y9psNWIDU^fe#kq1$UFY%HenxW z1mqpRv`D%RdB?9#~;TO0eQ#&gT+e6H4TwYLf-Mmw`>o{JATMJ{sb0F2e~oF z*VE{m3wg&6dB>m7ChQ}PfV|_E7D?A3@AwrfkjN^UK;H2~XL$b&QTmefSp$7P+VPKs zct-0J+m6pc{7*k*PGZ@bNiEwIV)h8c+Ly^J8!ve@$4j?KZt2M>Ed2+>;%F%?n?DX;=RU~d_~|X38)7;f;sbXK;-RO?U=_I`#tKRe zr2QIKD5H%V3Gw*HK_R^V9)N7pAd?L|3~^b7Gn@8G7a%siCVi3$1lvZsLY$>d7OU6_ zaS6L22W!AoNwV4!MnjzXEyUvX*-X!+fb2Fdrj$);1MwZP5aKK|a#+O!h)a0pfLua_ zoVJ8*5SNfC#ENH2X>wUCFT`<$rAE>Wh)XyJaS2CqTSbyQTmtUDR3Mko8{!M`5aQHz z^IGw7sYa;9T0k7vUiwWs0&xl7Aui!vKC7sZA4}li~=_BXNbjJ73(d{ zl6(biTpx(bIs);iPeJUFu8{Q@T?qFd2i`=GO}w%8De<31=BR>YcAg}jYG z-bRXA?az?65sNv#-bUXn$ydw<_JO>eK;BLuHpx=ln#_PWb%7G5(b5HoFU0baHs5(D z3-7;TrL5$H)TFevCJSP60JD-i#VA!&7cK1M>EIdctDAISUp-vN-X=ggn1$$p40M2?zPvO}s`%VImF z%C#*v6XJYF>ezf|Auc*rT|2E{2lSo*1?wudP0CWw#?^wjtVIy_6kOl3m84n_+ckhf z+!r=N*%e+E_g}&Wc5ovgzKXA;vJI`tN{9#i4C12SL2Qz{k&P=2G22>N3vuR$8u!tk z<^7kTv6XCx*d%)sE14xdg1F-xKijye(iMn}|Ax4%JWZ`)HpH2aLVP}AG{gPJTdG4d z8~9c#(cEHvA-vgHh%dx6h|5aQ!ZZ@%VxCD!T3W@=kXzySPJ-S*=^e!Ne}O{W z*RDY;Tj~yo?aH?{O^}X5TxydxHuFe`OT7!RwnbaNaQ^u3(|}w;@ph)R5KGoTEJ@Mc zvc;t^h)b;saj7dHo@%TPRy$p~B_->K`;Tk=y`xQi8Disnove6+l=&AMH(uHbvEnPl zrFQJBancNkOPvRCsm=$GYi-oUitkAwT`krg;!?jrJgv;#tnqM&jRU%ydO;j_Q;Och zDyl)K@ZWzsL2saRAL3e{LR@RbUu~(YAhyfj(=;5|e zatS&6nCe3;nGdlfsIO&nN(CS;wHU;u&VqQVpCHzb=w~`3#dP}HTIWGr!V!p#GY+tl zNGb6^8#ho|4zc1H#CDAbX`D0?;!?*!{-xsn`wQe+iw(Bo8W0y#SNc`@6XG<1xIfsg z6~x#K#ZD=90piJ|9Ae!DK;F;)CW34?UD_nY9coiomIgpbiwrk)f><1>*b0bEzCb*;CL?TI7l`xql%`3~A@;~N(v#zB0PZ!GRVu4WyAoMy9hQ3@Sr)3krdUNPDZf-l>I32Z z?|VZjLJg%Bf&b#&Vrh_e*;orel+iI(~3dd@l1#>_B+VC{|YRycH5;FQb>d?A^Jjl zSW7@$U^$43ZXvB!cAH}Rq>EDeMUG9AYmrU!lhg+~<31nDAbw<5Ff%#PzXQ&eFj-vVYwA|gIGLGu{{ur4?%oAu$=no$s6h^G+O{|(~Q(bk%RrH&BC z`PP{dL99q26_ffyd>r>c-uJ&#|FGh$>rKTVPE%HDB`tp~6Ku3t zF^Ffm5MsqzN9jva=q5{-hPZ^z(s1cM#0jHpwsAQjHV%b&se`tmRvg_e*YWcr~8g>x61}zfEatX z+q86#X%ECdC;dmUTzjo{0>s*!`%GaFE7~jeY9H=DuB+O98`$lDsn$W;Q#Yv}#Lo*3 z!~>3U$SM*;JcU$J32CUtB|Yp_;PVg2lDtxFX$r&zUV^x%kP$l?pq2Z;Yg?x5qAO(f-qIN>OWzbp6`@?J2F`zobAVY9S=IPSv< zef|qNY3T$~sMH-|yUh@be>-JLd)gEVaU(^f#?l;!v)qI@i?iX3vEo@%V~EqVkcLTn zAx`rV;xx<8nWCJx+E`LwgnJ<#=3A((`ynFz1-)sd} zK`gniSnhlF1Wbf@a9bfZIR>#J>V1nz?uc99S#?ES*@3gU#xUs^01#0hgtRi&{I=Q{&& zzQwOhsbAZCVXt-n)kBaIHj(;BTOm&P3F3rj- zf>_cIV!Pjzoh1Dseb%@(pR9HQ#M%WAPkIUD-GBQO`~vZ)3xBqf5?^eZwh*&_DE0~B z)V03axOos`Zxl=UKa1srxP%FgxC7!o?`YtbZ+39cAWrxhVsZNKrVdgMh_Cy2i1TfS zxahdP=w5A7$UhGI&kJ&3BZy5pYT#Ju6vS8YKE#S|&>HuGjuT*0mxnlR0L1xzQ+B=d z3i9s1)KQ{)E9?ew>e&#BS3%tI2`MP5HOT~>ahFgZ;$mV4T5WHL^F=7O4&r>910Cae z1>;1s#Z-p4gptv0nzayPdmv78NcvkU9m6WxL#*fvv0|{v@y#{*9ztBJFQ)AzF2s_C z5VM_>eF^cXgJMPZEp<;JJ;aKp5VOBRtQ`gMj7kRiv+n)Z5M*&zh{b)RP3}PdPl=vG zoF*W)O;aCY#cYV}wm==+D<^3jtEdn06na8I?oyY!7G;@T|9AY)!!)v&lueYtn&gGpq$R{d?+3BTRESOXDtlSk z=gOu?7~TGza$bKEliLuR#7k_`1VdcbXo&M2g;;S9VnyO4R*@6(kHh^J2C{K?rN?;b zK;JQl)7*zRO_HQGO$fwxzd#%}8sfO^8g~xj0`n%bih+>#|5G?q!Gq9J_vwEKal&^{ zpd0(2lpwjqnnT_b0I@a#V(l7@+bkWIlBB@>$KsqRtoT=m#c5JntQ^GHdx#a;Q(3Gq z#0CB=O^0|gJ2dX3vL7vrfB%u%rpXC$n(7dL);ADhyO|It+yZf*Hy|!6dm5{41Thw_ z*eHneZGkx7Ij9`(zqcSuqNTNIGDwvmw(AUW+=+CynCB2<-ykkOFuf_A)LI$`aU+}4 zQuL*I!&62YV>ejx7*yNMOrOj+JmxQ>$RuIPd@4wR^r+EzVZPGm025yCz zJ+D|$7W?v38)9}5#HH?&&MW%_V&lN9HZBh2eg3No@`dOEas6i@eyO|(aq1tlS-YXq z1&HI4XSZxVi09D^;(Xm9&eBJk>4^V9+ub9~ki*tm8e&ORi2uJ8V-)k{w060rX3_-d z9JJG&`4hw*IYQV2`>zbLNll1NCMb3S;sJk@vgEQ@2Z&QgLfq#*D9CMh0ovs%MQ*Fe z5AkGvhPOee&2~uQX+W+g%oj-$u8ExF-kV&x=k%Z1+yt+9hn!ucPSe<4C>;3gx(ExcAxKaP=u?;8uw#qt4#rMBYCCT(l63Hh}{-L-u-tL2V|405Szp-XJ0NtAx_f( zV&e#iSI!lP6>p&+_hh1%w<~7}#OyJM+3aEVzN!Uz-~WyPIdCq-CX1wlQg8*!7J|5} zx{5W0yl=cAZfP^bnafqQSSN_Fp^*3Ye~0{Z*MCi_Sjn2ShM4UPvB_wNO%6kx@J(f_ zh+oAjl0&TM0CC)KD9G*hsET931y!T_4!Xf(5O;SO;xnJCnzj2G;xw%wE~^j3U#-kl zHePibHwxlXHz;-xa%&x5Kn?rSn;qhWEg?23{gV~{1a))Y5x+s5-KEZl_>S1BarYqB zzJoYl+M1?th>IBqdB6Xduk;C}-@0l4cfFsL4X$O=w1U{AgJMIZS<-IlPw5-P+Ss*q z|0S($Q|FedNo}R65Q`TownEw^C9Y#HVtI%=ZU?b;HpGv5&LIWQK|HRP5O0GNb#0n* z5Z|*aAdY(h@vWG)o=sf>3UXhZpCEqq-w3fHWqlhL3Spf8{@bG9kOuY;9)CQ6pPI4T!tz3ULWTAuizw#O3dAY!z=H@AtnyHnEamh$ZbHekS|?aq0v= z+qe-BV;`lyO)d6H%G%8Kz6s(x>=VSzwQ!o-o+d$@a3;j#S`G1ybw=5$;Wq9##PfKg zSYQjQEeCP=T@_mkvB$WUti}C57vvl362v#wLx^vz|9JxgeW9(axD3PvwuJbkOoI55 z#BXiml0Y06BGs0<`Guc9VMxt3Rx-V-cUPeL1_?D>fM7G>f~N%JeWbfViio(s1bn#Mk|mVkv&Lie?aJe(1{m`QQJP zj@r`(W|mq*oNxui;#0j$OMBZFn41u@rTUl-O9}hhEUh7q8{ZH2AHO5SPuUIr^wC$ui#8>QpiiM4_Sfbxdg&;1g2E?6=hWG|tt#P-<+KsF$6Fv~cS|ADqx-tMpOpqcT=aT~3p@w$%TJLRc=Y)Aw4Pz@ zdO@6UvGf??xPY0KtpqXFM6njq3W%rhmvl$jceA`-+Tg#_KHH`l0&#^0AQm5kxR{4h z=Q%cRI>aSxgg7p2u4y&I`F@yZ;|fEZxg_L$|J%%^{|nI(h$U~JGwwS-`h1)4Cy4Dj zD|QuPMeYTb-3hTtu?UOpgBXjo(4LvU7UKTngcBA;_w{gJu?CU$MYt!#Jq>|)PtJu{ z@jJu??$)^H5EoN&vDG$^W`TZ0XgAUh%1b;#EL_u&Jat+EH(WOvGy&*rDk7d zv)qSR5p%hX>kYBTE(n*I|NeWh!giN(rKNL1oUoO&U)d{)-IQXlvWlt@mo)?W)jid? ztL;>CK%BWaM}3Gr zeuccxe*-}_84Iz=PKd{U9_r^#^AY0IKW>lie zTly#!-)$93AfC}F#S-qZb~*OixId(G5PLjv<$nH)v(JLTQcH;IUkGu5iT0cFL7b+B z)J+;I9fdfH?|{{Ig1G3(2XOy!>az%P;LitbV9`US#}Koz58DwIfcVnYgE&iTh|B6I z^_2QcVkLR?mpqo)24U*nw+|48o$#HHSYhPuyN@?+6` z!vfs}6#G&fC;+ zAWoeS;zq(DE~}lgV<2v1)_KQ@47*g6X~P$O$xeb%a1Qrg}k5ttp<72=iH$G zli&}R>>a&KIt+2gPa*Ck_GMdOMu=yWPwJ-ZIfy;pDi(SL_a85i{a0+7WLHgTAWj%8 zHGo+0i(7E1$hTnmWH8V7M%ze8A-|MTAlkkjmt&Pd5_+7cQ;oG{}pi}irGtl1E=hat}Q z4C1ol-?msTh~v6L4%amU^o~md|B!A%92fU*8U-yR)zkJ4fXHP&V#Q!wrKxnJ`C3+^r|7>EU#vOwAS??Q!&wAKQ-S_rUZ!m<< z5dQmb9>|C5y7V66-eY{QFVP7hj>`{m>aGx{J^}@~i+KU@<4K&4R#8Q22XW@UkoW!X zaHSV1y$a$dg3ZcaQ1*th_mz$E$)-*OaS3T4F1jSdpRR>#Tz5wU|A6?*q=yin`DmYQ zPbnb&$gKp#Y&nQK3757)Y<2w)=NBv?IKSKU-xc_Q{Y&;g?)GHw#<{pTR|55e< z#Kuv-ni@fD+!|uz5fB?M*SKvO_YT_0`!D2w*0?If#^Def4_Ed#h>e#^Um-S*@y!}% zf!Me*#EQlc$IXVg;q%{g|2;>LjiY|I#=#KJBPYaZDoYC?PPiK4jyFIv-6#DD)Y*L; zpF;eM9PEqXy_-5iZiVApWb_?^_{RDd;$@K_AcohZj?@`qcD`a;6}zw4cg1o=u~~XS ze9KOPHu3(O3v&JEr8f{4lQ62aD+6)I!=;lDC%gmk4tNG}zSmHQdkQrJZSSKYX14{# za6Dc`knP?;++Ese)~<=v17bEpv40^h;S?f=K~_={Vo5)U zSMW~AJ64DlLt~rHLcHA{#<6i}e^6FR8P~==h8%A-@nU#ym@E)?(hK5*|4QlO+iBI5 z9BG~O0^(aNdjeZRRVc`P7Q!LEwSRL!E@3&ux7Z&LFW#NXzJ|OVCp4Xfyd5X9SqR&PIyI1oYZz)Ug|9^lO90aar$Jogt8EK+yLT^hd^Ay z0?7OM-*S*U-k|hjh&%3^+;jxu0&l0VX`Vqmx4@L9AD~n2QKwWa0~G0AO%Al!)!vkj zP5lx74XUDwWM1%>EFUFb@iHpATm}$S3nU#2vqfc$nWIKA1t-En61y zb}XgIVaqC%)5dj$yd8&_IzirsbGhUE_g^EBJKh2@{WrwD2j{j}dx$%pB^{OCNd@!R zj=Mu#!c>SmUIB5#=OOR@`w!%f-$UGSKwjHP5s1?qmlB8CvU26Kam69dQdepUopO)5 zlVUv}KFa4DknhEp5OzqM-#@gfZ1toab9UIFnu)-=c9r#Vwn!xMS&h2y*Jx zN?(Ln{7%_8C9FwZh)sq-9JdVOET5IlSkkg#koR&zZmr`xr1T?*dk-jOQ)h(uIiLo_ z%cma1X?jDf7zy#cF5%=JRD!WeE>kxOG zpsdxFgjgF6v382GdzF0*u{M1<+}_SEhgr4^#F?EgAd4qMYM{L!w$%)1FPA{p1jF{)c_1BiR?0QonE{f`CrxzoIcSQ4*B4DWCG z+d*tR6k_8)AWpLv;u-x7@zCS`WV0lPSX&EXMSF-9Q~l!izxy@tn9`3SwtK7C7if=r z=nZSyp|^+FWRmn_Eo)amY7B8)Plz*buI1Rks|fPYqt~|eCxBRz17b-li*Ifx5vrPyhR6;~DeAH*d%SsGh9 z6yjPNLQD@+c7n2-ls%-_Er^X{H?c*hfH+Gri0_Tg5MPMC5W4yAzx5zryJHYbUP&o` zwq<35n5_!2T_eT9p?&TPu?OM{@kH4lo7y(hLfmE;@v8eEC66T~ul( zEw_QbEs7nM;%CAV+~ZFQahBpzIp~PncsIlaUWFpuihrR&0r>h8=z9zvbqB`H9MgL} zb3nXa%0S%HFA$rIgZOi?naV~=uOYqPW?oB2eCGHHk+?B#BMDhW}PA633tNzN?%j@3&aPm zOm=J14B|9h727ObQ1)NNzAF|#ht1Li!VfL|`|kvDHNB-{5Km!HPRlNY*mym}9iM}E z6TXF55hcXN1xr1o;Sg&hAn*4dfw^M(zPpE67vh9{AWrxf#03WBwpcQVizy+sfH-a@ z#3qpt|Df%@va#}5ZF~phx7))ZHa-Zk@o^1&Amzzx*+LMP5DuMmU&P_cu7mhR=N81p zJc78uD530z`>zPdcBLRr*i>4f>^jA+LTvY0+06N@U0sOnnnP^Y58~$5L+rK{@;?7v z^3y&3H2E!^8Dgvk#CF}4oeyz$ry#bw0NW+yKtJo%p-LAM<_x=kgV(s!kY*!3oyLu4Yjfc3qsSw+(mp&^SP}H*7 zA-1ayv9>S7&8;Yk`;YB5BFJ{9A-0QJ%t~TGY?npqu54e$A|bXrtn3Sj?a~yt&1Hkw z%_#@6U0aANJg(S(isdK~)BA?>JH+COC9Pr^#8}i)rl(TO(zg7>5XXfw=D+`nfxH7M zC>;)QS%VZi4sn5@Wo(+sQs1%`n*s5(7Av+-vEvZCU4-oCe<0fhm9uH;L2S2AvDD=) z8!gO~0b;w{id9pr9>jLx%Kl!#UWgqI$m{V5#GmhEsc2I-fmqyDvAK#ZQ|!88_Y_N3 z$!aq~JoE;Nwe(`R|7I!}se!j3KA4Xb%T(E7xgkzi019z0u3m}_fOsC0q}@=MJMJaK zZGM0TIqt2UtcsPSg}CD$5SK8$T1@X3s28B6?lj*Z{(K~L^_bp|ZE8Wx4u+UrpzH-@ zzbTup2JS!p%%@fj6+B3G{>ienAg?jxHCFaG#PhhLY}A_Gc{skTAk$?a z7PqprZ;Y~$${teok+K1`tTrRWqpk#T<`&8hS9YbFb??6eO5asFW^F4@4{=%LAWq$0 z*`dlVQTDj9ca)7<$7-`e-iGVo{_}RM^cbZhA!ZLL`$*Y{KU*ldX8@0a8oCm^u{`;>U$hT83h*!=C z4IC>?m*z??X3*j491-oO9d1M;ZrH8k~vxZ`;c7q|=JG#4SB(OoHB zBg;Av@6)A<{R^>2x5hT^xFep0IN=p3MiVPZ1$kM;-a%Yoj-PFTRUt007Q~81QfFzq zHxBpTC(wJ$np$zfX14yq5VNfzPBO;?NehtcDPmH3H&-v=8Mdk1mc-Hy2b zxWZzctfUIW1Fk7GmcpejQcr1!G)meHvE3Vp-S+)ra=LW3^kj%{r@as#kUJ1d-auT| zCn$(^sza=p3~|w$ zdzcFUYN`Tx=L_Ne?|0AU2NK)0%XJxTRT&{RMH{iC#7?z0=#6 z2jXH1N~NU=QeCOB)K>aMS`4w>b%^J&tdFT~UuLoY-XLH1Z4f`3{SEO6h|65XVh}hPof-&O*anW$JG~qNxG#!(1nb6|4N>=f9&M_kL9a|JA_20XB6h zh*Qsig4~yGAH=C|LYz9qKvN-zGgpE*u8y+Z2I~Inhaf+Aj!-%hV)1HawvdtmBWjjJ#{vycx{`U~bpL6~T zv0Z}EwuCy;T!?qT28a{xhB)CtD8$`+x-qu*1`xBOr4ZW-P?wgAkk4nq-QAc)M?#Y~x-) z92YUg^c3Q_9aC-Gb%^hm4^#1u=DNbB*}#p`D~QLHZo26wX|S{p;#=%9#3iJhVQ;ZK z5Z_^qAa1EQIjc09+%U5B{iFAz6e zcCLS%`~B~7m;Sr%KExgWIL}l;>LqQ3xZ_t4mymG2?Klg>9oK}oV5SGy~#J)U`XO{5j?fViv=(hrO6SW81(U`2?3RT;j-+I4}L9Yy)?zeyn1zd-4w5Z52D z)Ye}b@?LF-(_EBNF0-XJhPc3<5MS*%5GxizTvqz!xc~TTv78_eBoyNNeGbI8;tgdJ zuCOIUO3xv-i?PaPDFtz<&!u9kZCtG1ZCo}7*&uFsB;?(He}LTkdw4+44v+cMH#3i(ZxZ^$$cf1JV zOS}i-hL3J`Y{wUrj>v$?c&bw%&N3U~UsZ0{>0#q^6#^$!=WSY@sEU98*9JC3P6mFg}4}JlhT)!eg=6{AFzSpkT*5t zO?}W}1t4!~h*NJ;_8P=(zEU>PA@@tZfBz*xUNm74r)dkZq!+|@{usql9k%RG(hO-c z#E+n_pr!74q&Z^CDh=_6+T9_C=?JAyK-_1*Q7g^`aZfcN77tZ+owC;<)+RV+wIw0e zHicL_McKWM(zldOa9qU@i`zn+I#SuQ%Dz`N?+L4I2C;Ss#Ks$xz3pXj|HV3KQqK4rBnAl6QVSi4o(SIVY2ZQ07u5&ryd1<2R^0K`Y{1;jVjHz?43 z71N%v-{sYU_*DE1v35Gdir*ntT$ZAqwQ)fZvpLV={$snM3YLNRmz@z1@2hnXn_QN{ z&sn>n(i({4jzXOIBgCZ^JZ}|0L+&3tIlgfq(^DZ9?}5DaE0+0!6&He-Z3yjiPv$bj zSzbf@!u5i&x+DQkcI}ra^E6OFSO$D*G8N_z2 zA+{SS-IZEj#{CyRivNRW#APd43bEvfl=6zj=0geGiYHJIpNgxt&+HI4Qb6hoaWO+6 z&b&f$Dqgda77*7z31UeE#FBjwe~tVK;!%Hv*e?HdtEdXGqL;J;;<(j{orciOfB)T3 z@D8-c{n1g$8}{hcfLPp1^8ID)(o5AKj%y2XBeNkc^@_%Qh4|9txQY9Z=}?fxO(Adn ziXDM?9#@t92=USDb<3Jeh4|<#SL`2%wXYz~oaVNvu>-PkPl&620I?*--=>z*P>Aba z46$}M#M*Bf7x<4g$t(?*0`J6hpFG@uB|)|;4{?QUq+L?+f9=s53kA84-ad#YcoO1b zE=%$5+RW)7&RkJ?40%8Qi+a!2pBCaYU8FI}ZiTqiBM>Ki0P(?0cHb705#lUOAXfB% zSTSEZdf%~umlS*t@h}4)SV?S%f92aA;+;4{*`pBKC3$G=vO;WE8RC<&NZA7>#~1sN zmE?l>$~qAL#&bTzN9q&ACW#)~0`ozP&4*aALa{Rtm+(Q^C{L^+E9CwDqc+Imrb-WY z)BdYznqq4qp3GkmkM)Vh#eQlpLEE} zo24PdSvo-8{nu0JDM~Mf_$sba_L8zMA^z~~i?Y#QTay|PU+p~*XNmU4VzD7EA=nYy zDt!Rrj_*PI8D6HhHcc6b#kCciE^ScupkjY3_ENC|@AyMM+qF)i0!IFY}XEAy9kK8TMDt=K`D+emX}QgF zv0dT-n=mEB35!U>l^v_tCW!5>C>s#Py5)h;&42$D1KF+~#CGE$?rti?cI&0j$_7NW zY<7t4sza>p3vqKRAZ~6W#BQe{hwY*UT1hO3?XpPSmF=roB*b=ym3;xRU7BdtE*r#l zeWFh}%Q-ZzlnAr@DRVI|8T#-heFJ(Xg{vgId+I4)GNVi50u3SJiX zUpUBh4f2BcH^C5B7#d{LOqTk_w%81ar?ptIeTp52*zTgT|3T~)6bG~L_rLW(w%g|q zy59k${=pP2t|n&V)9w5X1>fKq2mj?vaX(gLobb zrE^f2JIELkD%1fkr#|Av8F*V4qXeEiYT9cZaLP242*IEFy{K}rjV*@?=o zSN4vwag$nY9>}YOyxOF(?C<~9D}6`lxXG+I1oDa@uUOf&%3gy4-9^7rHc@grkHQeM zwIKe8#`#t0*-CFv`l7NQl}(Vsit|A{>V^=f?yl@KWw$GPLD@IV`tQGFDXlm!#C6qy zICWoTrzpEg*=x$aQZ`X4t1S$9JBB#(ugcDb@c#FIjoYB~MWsJN%qB=}#rYs_$B?&U zWv3~-UD*rDzEL(=8mrCgfZmQFPJIB1;V$bw6w{S2Z7h5#@-Hj4&A&y%M<#OD{=`-2DFo*-YLmapO;)J`Qd~QYF%vMnk@}`EosUcRR54Le( zkT)~r%^d95zyw)rU;&5&TRxIt$DpNd#WTgC=J3z#e*UWj z=5*6_AeOXq)BXo;Jj8bMA+}p09gyBYtoOcZs?%9=vxrqL-!yq@H4d7&Bo1Tvt))iOF@X^oN6EkhHGG7r6(%8 zSlOM*o>%ssvfm&!3CV3=Rfq}Yi|aw0`WIz4D7#zPgAh+ET7IiY4sjzTA#P*=#Piq<@f4mz z{vNphQWvn2;!t__2s0J5SZRo{_7Ep*U&yi}AZFJ<%&sYH+2atiFCp(#i&!>JQDpJ{ z!y)Gfna*F#($ygr_kx%mSKM~87UE9+fp}VPAnrYS39Bswv38HNv!so?QWEzco2)Kn z!J`mk&mk5+Ep6EtWh|Q;Vm4=4%Z5R$?F=zHyqqn18N@~3hTKIvz8}h4aW;s>3BoKE z0x?z(;)L}oShhRF>>P;MITbCt1!DFV#O$p~mi+{k=lz$yvZd2kv2;<0#aXJ_`YS_R z{}70WJ`LibuYy={4`RFUYNn>uZCpQy<+|=5AC>U+<(d2 z+p23qJnB}E_ozTD-T<*Uq=PAYM;liG;(E~@qoWUTx#~NR$BpLZH8_ZD+w{y7UFzuyIXcxcgNC=dRVX*#F7ONOBVcU z*&PtGlY3fh6~tKgUbfU}5SMx$;!^KIJk|G*TjBWf_O>Q#rPY0G;8BQE&+Timtq^0k zAujN*ewO_JF?*`N#qL3jtr=hoJPQ?%;(q@726~4%@c(6<37m~(`^V2PB+D^lA2DWZ zA#`RjgDhiT(+HIkqa?C4mPF!=iYRGhIY^qyT4<6jqN5_jbZAqSrne16GezOe+y8f; z-*a8(e*SYlpZD{A`~I%$y6@*XXKrUUq@_EEE#pC4WYP>tUj#87IaAzIAiBZ0tGv#~ z^!~mAa_(i0r0?b6{9{Y*ERh>PbjLt!`Q<6u^^H%&tf3gZ6Hf!G`SlJwSJBxwf_)9Q=GC4uP5EfLqy52Wl1;uiWYm3S10 zX)cIqZa~rvAf`)~$)%R~^<6(FW7 zR!X`Z#Po$%#BBi49RsoB*eXfSgP2x%6)E5UHOZ6x_XctQIUw$T5r{W^Er`wEgE;#B z*M#nUUFxQQSl9avaU(%=ZC8uCYqfrS&V=N^>1!lj3}VasAg0IH%2qFdxK-ae*=iS% z^ZEv{cOi(qmDUTD-{92wJ&l1Zye869<4tkrK^$22Epgw1=>AlF^sZjx=a z1v%RSakb>l#)Z$nen848AntX_+tTt9i0Lj6(_Q(Jeg$H>=^b&0Ky-Oq#JvZioAs`2 z^^JFN{&BBoAo*-p+A1wgL2QZLCawjDZV-r@8MIx}2_UA+K}?tLkaQb}>HMAI{Of^~ zArM3yN{d!(*02zBQCyQZ?nUTHoL za-R1O#I*!*;Eh2^>w~!5br3(xtG7=!*bl_J`UHscmV($Z@k4$8w*W}F9mGYpe(i;0Ey#V4OHy#jI2%@{HF7XqYlI}k!yB-YUZOsL7*KdH>ydA_X6oa^h zafgH+IV^Q^K&-p>Q*l#3biI#=8woNF=U>~SA~QiOoC@M1qYGq%&x5$Zy&!Jz0*D)| z_?h&kg4o+FBhop%f=&%ZT5#z#Snj~liV!HB-T+?01_jI?{E-% zCl;DCeEux}GTshiy#0G=J`Q4f4aD@?eLNz0y-v_6PwZxDNXpO4k_brI7)Fqix>#}UWEy&OPj|X!9uYh>_-v_bzM-aDg`7cRh z|CY23h-sTEk`4l~cLs>*jH{9^2RU2y0~znTCh=(yoDZC5B!kHizPRG~jIVJ#NIwnfovmm;+LG0LAO49ux zrWZg=OGlS?{+Mb6YRB(?WC5LBgPd1Ch|M2^*ql*DsC`+f8w_IIED-Bv-XQ4;5YzGH z#61V1+fvRiEpOi_@j(#dZRKUJUxRpqV=Bm28-TdgG!Q!`fVhPVO6My|UD--f*Af)2 z!}mYE688Xc%%dQV8CzM>xge%PV#GZLqI(6zj(1~a(_ey|O;^!PgShE7Am{6UlYwk5 zQo2x83U90?izIH^7A*VsSkbgkzZI~GDHRoTe`V#jCF>ca8Tvrg?SP+*R+fdTE zAg1{srumH|Jpy8S3B>eLV@WIBTwXr^Z3Sf9r-@w2I1sO76^K`I7{n|28N|`vrqWxr znWVRZn3laoTzwE-ZxC1O?QbseND$)%AjS(?Nctv->8zIGR)FX}1hM19t+M@VAa1{< zPqu$Qh})kAGQBweUIVguOOnI|AdX&>EN&l&?i`36=TamslPYNo5YrZ|B<%%a?*tIj z328|A{_i;;?50nr`kAe%l5;-(Wi%BE95T%$XP9q)j`Yxq6uG9>;8 z#Q0Ybw{W(Tq|u!vZ4P4Ew2P$OK#jl0 zu0hVOL2O>vL(-2x&Za?3&-Rowx|gIUK%93;U7g-I|JV}ON8)4<2i^-}n%!4!@Jk?W zH3Z_T;s+2%Uj?yu#O>01Z$C+=fS9fVakBsAJ0#u#V*DM5@u^HnuYi~)^p~{mosy=3 zm^K(7TkQtoR&zky>LL)gx)y{EbN@9uW5+i0*li z*%r>fxerRb8pQYrh`T;CLel?$n3jJ?(u9$+)y^R2*#X`ivO!EqpNFc0KB*<=0EasZMo zN#kVT&EqBQ0%BV8QE@3Cy8A%f!adoNP6jdUIYHcT5M9%W&bIuXK0xm4SrC6R;UI`R z{0_wXQGSvvQWq2*zDEs}x+y&iV()5o+d%vQzo4e4!)f^ZyQuM1jcZJnMQ#SMIT^&! zcW9cW=@?DtYPww0)tc_p^!Q|)e;j>U<7*mMcuW?q0ph|bAYOY{P4Ccjtfn(GovY~@ zO}A_6->2~zjepnpnx-|U$ihuP+;j?v+sf2*xTa$@ou}yvP1i6r=ii4Ke+uGHuztlD z>7SZbcwCn2KS#DO1jH@8K2O{x5MA8!^6Kddaz08xyn{nQ`1m(JfZshV7K#gk=u(%8>joO2GxJqf4QZa~I zz3mO@_-nO{j#?v=yMy?I^;b6rWO{M^OMzT&1BlDLtyG{?X00qY2*i$;)`{B#q6>jI z9`Zbju$tI+XbRK z3gVQ{H{$#w{-LtzCTW=lVoS!`vcWzeZZLkkxTYYwyj|kn0?}RCBko@i-N-|Z^Lr)$ zo#x|G_!fxn+mqsc0@2;^gSdM^bk#11s|TWM16mz^d9S-D=@t;vmA@MoKL6GMDZc{o zrhiu?RK8g5LbpF&zl`&b%k72atu6rZ zDfv?APo<`RNpp7)>n4HtlVdYAeOc3kntrZnk*5B-e@k-{5cky$#L*KqouTOpO+V4} zxTe2rTIY&Pz8S>HT|t~YfvGwFp451`#s?T9J*MeJO>ep?qZ@-bx(kS-vo)Qr=`v0C zYZ?N{-~X&}?Q1f+5s0HZgE;z8O`p&-py|h&ex~Vfn#TQCCN~6eawk8KqsMDJP2;7S zexzxEroU=h>mM220L0N5AWj~q=~PXZI4RD*4>dlj@p&hX_SC#Cqw9k>x+93A$7=ex zri(S*r|A(*&uLoYf0)eoe~Cbj?f~NGF(wXw=uFXck)}aSKh^ZCrq%zI-UJXQw+C_Z zBbq+;uV3PqH2y&2!yq>QqG>fx1!r6JKup_#IC`|ElQmta>26Iwl@!1K0puA_KB|Iq z2Gj;|V4_k-r6;uR2#CGK>Y_?XZ#kuIAbxM~0d3@h@(qakZbP^;B_%MhI zPt6ZuZ->l z;->q8xbR3#b2WWg(`}l5XHuSj=QWP5ETdb3IJyIfqX&U_t5;~cM$`8-jf#=piXiqj z0I_$Jrjug)58%V3_u}VYfNUP4 z@f3+YFKBvP)6<$>(zH!g8Qm4cZS@B6Lv|I2FWav*{T1YV|2MXp9FMg?e9^Q7@%JLS zgE;UmkaPP%e5DtF*zqHX9shvXQL(yoWP;f71Be}0tKC2j~)zrTc$eVNx#G8~}OBU_};%2fz+bSuquYVlI}5~*q4}D= zq3KzWpYfj>Uj^}g^u9^%$Fm^r`ehJD2SMCc2*hoDt#nzbWgY4531Zz~5TC>mevKai zap8j?J`v^XN^=5;3#Wj(hF<~igFXz?X%PQ>{}IGRu7UX4^2W=QMj%c}1DQIUe|>>0 z%+kWgoH*L^yr!>e`W}d5&VqO)mo=?YPqxqi#Iz-dcQ6&i%X|*hnCIUo8lMDlv?oDs za14moR8y&y(ijj&&sO&~h)?V;O~26ef12Ky=$GaOiL%J;AU59v;^>K*uGDm$ru#Jg zRnxyTjj1n_+k!Z`8z?;4?-{1?JdGDi?Afg82~E#x`Y(ugt7`)peFun}9tmRlmZsY^ zJsM8KpZ`{AD9v?2Y)%ESd77rrX!^3Ig_{1VX{koi+XKWaz7xdBqcz>!2picf3duON=Op^1!X z4&ujqI}pcoRvM}l0P#by0K|@UO=bIgL7aRYgw&jWwVGA%ln;L#cLuQ~6U0UCQktj~ z0P%ioRksJkuN@xL^e0U(YI;@E8n@v5<0AEdY;FeP=pG=ZnVR0G=~PW;X*yri4VrGz zbdRRrYWjm;7A(jy@0K=(;V%C4qQn(v|L1S^?sw*MKyOEKc;eaf4Ti1fcPTpbf*k_0K|by)NKH9;M+=vmEIaCy@x>T{YPEQ zAoTM5t6?yFbxBHp-zCk}@0RACAl`)`Aa*>UG()M&V5u7p;=+s7tp~Ap^I$#y4yks2h+{yc;_bQ0J&4-H10I|2bkl!<0&{I82ZvP!1-u{CiUh&T$ z4vZTvt_6q#Q!XwJVprI8Ow^D`he?@;$ChzlQ6`c3KW zho$!@h`pXs;;MnzTYD7FKVmDDKaZBXQ121B3rj%F!mp2aK^*vly1zl3a$Tv`7;*26 zmEO-l?EP1rzv?(Cj8jTg+Av-=^Bss!$vuzCz$qXO+@Nj`h@1IH>5S5w6QuVb2)*X| zuc)jzQJSkLwNMI9lIAlYE*v{qTq6({zD23K(#6N*Lh4PC3t0wozW%oz$bsiT&BC|( zI*0>HKQ0unbbhMTm76BLtwHSV3u5n`O5>C~)1@xn59GrARXzk_^BAQ$N-bx|l?(&% zDftq_h5rO`UW1w9(m|ZpUg;i(aQ+qJ3}AER9BJ+dq8k8W^I)aPNdn}Rqw1H}6=TT;I#SL1aW|0c2L zZ%w^(qO|AVpG%kvW0#iZs9Qyx3C1nMc!08 zsq}+Vl^4Qw=KQNo1Z9GF<0gVQdL4+P_kj2`Ra_t&OaO6%dFoocDCsVx?zyu42_UYq z6y*H;-vJ;Osk~5{eISm00K}GOK-|_^5IcSc@ovSuBpsbW>=*%J#{v*LJ_51hD?gC8 z>$KAUlsYbw!h=d37t81=Adcy_M5xlz3eMkx(+k8cOjB9~;${j!W-~bdN(ZF528f%f ztJFcsuXW{?NrxZAMYgM(y4g={S(B$%5C85 zav_g__;k(zaSI>3j`NR)$}f-{ed8O_JQ>8humr^BwIDWs2V(CHtEIOohzkz|v2GQJ zbqBQWtk(J4u8~DDKx`SPG)d`sr8kv+0C9AMwX%g4AZH68XA2-_3tD%Ub>{r5vQ7%) zlgPdlN)2wMw(_&5I-_~A`(+hHXH4U1S@BfN5j?dR- zkkbrunl%k-TC8dOJJQ=8+e^+}!PA|ym z)pU=h#gh6x@mr-i1LQP=oMugfnigvszfF4EgPdNF)2nGv(_&5Ix0~MZ`IiB7nn6x; zI5zM9f|?d<8oxsp&Hy>RAg5Q;pr*x|#_!bLojCuTW=N-5;~v8M6wNppLU(+hHX zHQl3Wv8M66v=_u){{*1Ztnnex{_sbB)NV;zf_MyNg4jGu)6JTOG>v*+dqM2I9pv;f zHRs=EjYAqo?a^kC(+qN&HQlUfNYkjj+6!_v4RU%l-3;1Iuj zY8n;PUXZhCkkhN_W=)U!HICY+%^)@p2JwklsOer!3qc$m`=Ru90I~Oe5YvU4?$z|P zlj8h~{YaZZY|a8X&6@7jv{2L7kF^)%^n#pTP4{YAsA=qe^z!`c0Cbu`PP2)_FT%Z= zp4K$>fTSHjPA|ym)pW0>g__2GqP?H^wHeZB)_5<7qYE{SJ*dqfruT!KUQPFETBvF4 zA?*c)d;Oj)pwq1JUWq-YHH|&2%^+uCkkhN_P7wbn|5nr3Po=jti0QqcHa!0pYP=W3 z(Wk?)IsamhNOK2}voOe6Skt|lo&)h^n|M@u`+?Y-4Px(WM{)k~IWEw+NaMr;Z3eM< z9LQ zriou_FUaWyIlY<|Xj-IcqW>#x202#@a+)1vlI!;o*XNtzNG=5oP&uUHI*7T63$2C2zX|bkd zzLU+=193A=K-^49I1Ru5>!I=8pwZ!7j}FJ?{F??URoc+=peEsTH;7mKtJc*il+PrG zgSg085I^n80dWhtAg-~y5a*vWMhokFuVX;Y7?3jt)NkG!Hf zftZd5F?~T(|2~Z`YFz0DY4(A*Tt}s`nl1rxTOklf{|4$7-c0o$CCvme9SdT*gsD0I zLK^?BaqXYv2KNDRk%vIs%y9mwwFtx?M33FLJ0)-KZw*@(ca zoxEfGRq;&9134Z^@}KyBnqDQ$xDsYIOcDMU|B3&niN`|OW$dmNFd1cS(+96@6v4=Y_oD*-FCoURsSOhoYn!Gpeoqx!wT3EknI15Oc#%Y|wLgqJh)aFB2EKy!m!Zg9FL>>$W7=sUr$ky&JQ4@g4a@DLWQS-eY zD*I-8P%%snJ}x7pqwtcN|B4oon`p0fwW?@P1QA*j68w)qk!<~G}W50lg0HWy%uI@;zc zOjc*xl)-tB*VQ($Fopi^wyF!2i6bv^txaKqeQeVT#@Ej_onZ1ZZF4(J%mCXAhRGRZ zn}=YE?#3YyS!@DS*1fiw0TUWxn|Ux9!)&tzCV#kXUV}*(VVg}bxg%}!9t{4R!e7zn zkyCp=RQ4FV=on1lINO|tNyxU%Ihd@8wz&)w#7B5!aum+lgvV`D2_|bAp8rTu98}(P zyQmRN;SAd(!Nla)raesdQ?}^^6MDur17YOB7wmMH;B3@X)~lam+U5k>_439?E!=Tm zFYkoXwcaez7=-aX9Qd)X*H`M(8v-?M_tq~}bVH!+?cUU?Rq%o9=8b;k#0-R^DG zC=Ef*bUWw-BMryZdU=E`JEltfRP6;Fxi;z?V9#5MJEGO`g=QP-3KnLVm}|# zU_upa6M)I6Zkuf|zRI@w944zlY3n&VW4eY1YTfDe`Okq9M%(En7*Dos{x!yVaOa)y z=BdzC(-$V|3A^iVn7rw>c>pH&N!yI`o1&SvnhGNiE|yoqY$;*BEn)tG$(m(Pj>7x9 zoTqJ5(ThJ6JPT}96Gfp_wy6)3kY}3~F!`_9rnRZTd!EP<+XY4*yo^yWnY*QC{KLs9 z>3C?A}vmjk~I@W%TWg0t`*Co*cKX{>LXbughuw%KB8n%ZU$j6Ar3Q!rV# z+BLtxfr*q3gnRbld4+a?|+rk!n?!en%|O)5-6 zSKD-i@pZFJZNO;vYx4`7S zW}7rWlxMZAI>BVGwM}1`!u7Vf8z%ED+dKdh++>?^Fj?=~W~wnC*yiaNeB5MxYO4jN z2=9#}ubbt@;JtCgtcJ-bvd!DZ{9~J4#*`~#b?rB%nr)87;LTKFbz7ZAQBF5sW`0(N;dFU<2FS22*siZMwrGG`CHE zm`tB-hQQ>c+U8*xPr7X;ni_u_Tg@;eC8BE4*d(P^bPzfK~Djz2IKw$e2{2Bg!i4k9wFhwwVhwTaf zz+@h=O}Xm0paR=egUNB31elDFUDMoe6h5JhyhdBYxSC4daWpO;?zNeztiKrtluyJOz_|gKZWxHAUrYwE`xy zylvLP1aA%eh3!V|4^$uKZBX_*sJ!}t4#RK-zk-X}+6{l3^fud+u8F6zooy<^6uQh! zFuC~TJaQ*)hVgZSUV-VTxSlQJCz`cFlAcPZ!%f z3zOqAi)xy;-d*jYS5cJfGH<~obhB%Az~s5iM=-wbcFkunL6 zQ(4}DrT(lLNFMI(Ug~`q?$dOpVK&HZ^zHHRnu?%Up(u$+T;t;_$>|yHuq( z?Am4GV0``Uwni|yE|UaPIw#$rz3AxNP7~ep< zYc@=-%PfQ`a+z0PvIf~*8({n)m)ZuEahF}R4<_dx+Z=_78Dg7nV0^=D^Ak+w1GXuK z3A)U6m^}YDyXeN+xQ?l|sSe{QwoNKb)@9oafC)Wqn_;Hrh;3%V|pF7pyhMqRsW6-=JX zyb0rpw`;b;WT^?S{|S_@o?Udpv^fvnkL$)H*frjGso-07k0%AD$W_x7rZCa&8Uz!n zug0uD5-Qk070lx>^5F7oOW_RVR)xvG)i(8D7KTA+p6hn7qNZ*$7jVWt*KazEQUEe+*SP-c}))e0&olGWwLMnQoi2FbPlF z<`PWNJlo(L^W-eFO+}c{GTYShLuKXJsv%6wI@|bQiZ=M*vPVKVV= zAVthpm<;@5LJ<>8!26$!=<=32f}*1GwmD%;tZjaT$*X0XBADC++x!EQ)5JFA5^;xo zwy6f=&ri2i0+gqtZJHa?-8QXZ^84DRD@@h^+hoGz47SY>(>2UCqfD27q^%~Kwz0O! zF-7?M+#@$_K1>4sZr_Mm29p_G!7{61f;ZSEAI4X~Ht)maRQ22HAXH&Z+k9c#Zn8}w zOkN$^{05U<&o)Hzil#L zd|9^X3*&jjHut~;$J=IvshNVG<%%qy4V5v&E_%`wJ!PA@Fuu9ASqxL?GI?gsb9T)} zn5_A>*$I>L0!-xn&&N>txpq+qCU>E2PQhfqWSg_5YpHE6!4$2qji&*&__A#(!h}{D zgY%~pF4E(v1y!`lE@}vqlV=+rOx|m@X$RwZ-8Ma865g=QK$wixw#hPGYr;m}|BN+7 zYwe<`rf8jQo`K1CnOvC6^>)oln3xT=Sq~F(nXRVlP1ZQ?e}bmSQM?~VOwn8RpcAIX zWqvd@8||7RQ{yuKn3_#?O}U1s5rgv=k7`hvo9&_mQ{*zuO%1;38+pODHZ?BO)zswM zHJPTyWrmoVccdn~{wPzV%4}$|DcT}M#^jh9mzi&B-nDC%nHrZ_ZECjKHTk9{V$AyQ zo1$%Y(Lqz>GGCaQ?RHI}sd1U#OwA6v=8CCtndnBS*%_(9`Y});OL;tXOwoIhB9Etu zsd1T9Q?tvi$$%+znZ7Vt_^y29DZ9ti*v9V}VT#_ji?U6T%RFgn_SiLZO^wSeHZ^8rrzvu&k4;g~E()0%mpKKKz0a;W3sdAWmrTuvc8#YoYFwtGA1eDJ zyQr2aa+!vv=3~3YXKGxgovGPx*Yq?sE;G>79I$J$%-?4JyZF5@0;|Etg15b5r9otxXMnn;2FBrU<`h z5@|bVioUYV7pBH#3QY}uNhH$so2hY`E2aj&3lgb`Zi1QhLK{c)MUy~;eA3tgMgOf);IzI6IEN|7oOVhlA z0u`U|-n1j_3GaKQqf!G!GrYG|YUO!o>O&7cHu<4p$*o!&)jTj_rZ>Ucs!b$FPYTSL z=}ig@pNlzf&G*IxexB)_7L~SRpx?VBYRBaq@2jPwd~DwLjJIl~B<)Q}N)CMgjQ2@j ziltI5j;x;)SurIkZO03=Fj$)4@`K@J8;Lb=e(Pu;v(fK$!U=>$?1Wtx!!6! zu(=LVfu-}kv4LwZc&o%EM@mv6t*O4`K%)iT#JI?&Qhkw4CAGrO*(~%{3rt$zeHhpE z*#hr?sMdi7FM1OLnJ;<^t;IVsDSAHh~sPyfyJ( zZI)oK|5@T4kE`#$G_3Alif_%Nqy*M1#Seg{rUniz^|r0uDzbiBtH_g{)~Z#YZor$A zXuSxBC8hd&_N&r}q`;|Z-o`QRvb6NT{D3#LReF-Uyj838|1VnqKarMXT5AU?FY`7W znx69iQru0~O0ClW-@vs0zr!?Nw?$w`--yQ=FEbx})}TZ=+I`lYNmF za&l5qpx?{h`VS;Wp1tJcNLO;QRhwchmJ)esCZ|MR5y`2MJDzUUr>7(b4!rCgosygy z*=1T<eg6mCWZoG7 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c index 2f9f25d..192dad2 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.c @@ -48,21 +48,21 @@ #endif typedef void (*funcp)(char *, char *); extern int main(int, char**); -extern void execute_244(char*, char *); extern void execute_245(char*, char *); extern void execute_246(char*, char *); -extern void execute_855(char*, char *); -extern void execute_856(char*, char *); +extern void execute_247(char*, char *); +extern void execute_863(char*, char *); +extern void execute_864(char*, char *); +extern void execute_865(char*, char *); extern void execute_857(char*, char *); -extern void execute_849(char*, char *); -extern void execute_850(char*, char *); -extern void execute_851(char*, char *); -extern void execute_852(char*, char *); -extern void execute_853(char*, char *); -extern void execute_854(char*, char *); +extern void execute_858(char*, char *); +extern void execute_859(char*, char *); +extern void execute_860(char*, char *); +extern void execute_861(char*, char *); +extern void execute_862(char*, char *); extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); -extern void execute_332(char*, char *); -extern void execute_335(char*, char *); +extern void execute_333(char*, char *); +extern void execute_336(char*, char *); extern void execute_6(char*, char *); extern void execute_7(char*, char *); extern void execute_8(char*, char *); @@ -177,11 +177,10 @@ extern void execute_117(char*, char *); extern void execute_118(char*, char *); extern void execute_119(char*, char *); extern void execute_135(char*, char *); -extern void execute_254(char*, char *); extern void execute_255(char*, char *); -extern void execute_258(char*, char *); +extern void execute_256(char*, char *); extern void execute_259(char*, char *); -extern void execute_271(char*, char *); +extern void execute_260(char*, char *); extern void execute_272(char*, char *); extern void execute_273(char*, char *); extern void execute_274(char*, char *); @@ -239,55 +238,55 @@ extern void execute_325(char*, char *); extern void execute_326(char*, char *); extern void execute_327(char*, char *); extern void execute_328(char*, char *); -extern void execute_468(char*, char *); -extern void execute_474(char*, char *); +extern void execute_329(char*, char *); +extern void execute_469(char*, char *); extern void execute_475(char*, char *); -extern void execute_484(char*, char *); +extern void execute_476(char*, char *); extern void execute_485(char*, char *); extern void execute_486(char*, char *); extern void execute_487(char*, char *); extern void execute_488(char*, char *); -extern void execute_490(char*, char *); -extern void execute_495(char*, char *); +extern void execute_489(char*, char *); +extern void execute_491(char*, char *); extern void execute_496(char*, char *); extern void execute_497(char*, char *); extern void execute_498(char*, char *); extern void execute_499(char*, char *); +extern void execute_500(char*, char *); extern void execute_140(char*, char *); extern void execute_168(char*, char *); -extern void execute_458(char*, char *); extern void execute_459(char*, char *); extern void execute_460(char*, char *); extern void execute_461(char*, char *); extern void execute_462(char*, char *); extern void execute_463(char*, char *); extern void execute_464(char*, char *); +extern void execute_465(char*, char *); extern void execute_149(char*, char *); extern void execute_150(char*, char *); extern void execute_151(char*, char *); extern void execute_165(char*, char *); extern void execute_166(char*, char *); extern void execute_167(char*, char *); -extern void execute_390(char*, char *); extern void execute_391(char*, char *); extern void execute_392(char*, char *); extern void execute_393(char*, char *); extern void execute_394(char*, char *); extern void execute_395(char*, char *); extern void execute_396(char*, char *); -extern void execute_398(char*, char *); +extern void execute_397(char*, char *); extern void execute_399(char*, char *); extern void execute_400(char*, char *); extern void execute_401(char*, char *); -extern void execute_405(char*, char *); -extern void execute_409(char*, char *); +extern void execute_402(char*, char *); +extern void execute_406(char*, char *); extern void execute_410(char*, char *); extern void execute_411(char*, char *); extern void execute_412(char*, char *); extern void execute_413(char*, char *); extern void execute_414(char*, char *); -extern void execute_417(char*, char *); -extern void execute_419(char*, char *); +extern void execute_415(char*, char *); +extern void execute_418(char*, char *); extern void execute_420(char*, char *); extern void execute_421(char*, char *); extern void execute_422(char*, char *); @@ -301,65 +300,65 @@ extern void execute_429(char*, char *); extern void execute_430(char*, char *); extern void execute_431(char*, char *); extern void execute_432(char*, char *); +extern void execute_433(char*, char *); extern void execute_153(char*, char *); extern void execute_154(char*, char *); extern void execute_155(char*, char *); extern void execute_156(char*, char *); -extern void execute_402(char*, char *); extern void execute_403(char*, char *); extern void execute_404(char*, char *); +extern void execute_405(char*, char *); extern void execute_163(char*, char *); extern void execute_164(char*, char *); -extern void execute_633(char*, char *); extern void execute_634(char*, char *); extern void execute_635(char*, char *); -extern void execute_644(char*, char *); +extern void execute_636(char*, char *); extern void execute_645(char*, char *); extern void execute_646(char*, char *); extern void execute_647(char*, char *); extern void execute_648(char*, char *); -extern void execute_650(char*, char *); +extern void execute_649(char*, char *); extern void execute_651(char*, char *); -extern void execute_655(char*, char *); +extern void execute_652(char*, char *); extern void execute_656(char*, char *); extern void execute_657(char*, char *); extern void execute_658(char*, char *); extern void execute_659(char*, char *); +extern void execute_660(char*, char *); extern void execute_171(char*, char *); extern void execute_199(char*, char *); -extern void execute_620(char*, char *); extern void execute_621(char*, char *); extern void execute_622(char*, char *); extern void execute_623(char*, char *); extern void execute_624(char*, char *); extern void execute_625(char*, char *); extern void execute_626(char*, char *); +extern void execute_627(char*, char *); extern void execute_180(char*, char *); extern void execute_181(char*, char *); extern void execute_182(char*, char *); extern void execute_196(char*, char *); extern void execute_197(char*, char *); extern void execute_198(char*, char *); -extern void execute_552(char*, char *); extern void execute_553(char*, char *); extern void execute_554(char*, char *); extern void execute_555(char*, char *); extern void execute_556(char*, char *); extern void execute_557(char*, char *); extern void execute_558(char*, char *); -extern void execute_560(char*, char *); +extern void execute_559(char*, char *); extern void execute_561(char*, char *); extern void execute_562(char*, char *); extern void execute_563(char*, char *); -extern void execute_567(char*, char *); -extern void execute_571(char*, char *); +extern void execute_564(char*, char *); +extern void execute_568(char*, char *); extern void execute_572(char*, char *); extern void execute_573(char*, char *); extern void execute_574(char*, char *); extern void execute_575(char*, char *); extern void execute_576(char*, char *); -extern void execute_579(char*, char *); -extern void execute_581(char*, char *); +extern void execute_577(char*, char *); +extern void execute_580(char*, char *); extern void execute_582(char*, char *); extern void execute_583(char*, char *); extern void execute_584(char*, char *); @@ -373,23 +372,22 @@ extern void execute_591(char*, char *); extern void execute_592(char*, char *); extern void execute_593(char*, char *); extern void execute_594(char*, char *); -extern void execute_217(char*, char *); -extern void execute_223(char*, char *); -extern void execute_664(char*, char *); +extern void execute_595(char*, char *); +extern void execute_218(char*, char *); +extern void execute_224(char*, char *); +extern void execute_665(char*, char *); extern void vlog_simple_process_execute_0_fast_for_reg(char*, char*, char*); -extern void execute_666(char*, char *); extern void execute_667(char*, char *); -extern void execute_670(char*, char *); +extern void execute_668(char*, char *); extern void execute_671(char*, char *); extern void execute_672(char*, char *); extern void execute_673(char*, char *); -extern void execute_720(char*, char *); +extern void execute_674(char*, char *); extern void execute_721(char*, char *); +extern void execute_722(char*, char *); extern void execute_723(char*, char *); -extern void execute_724(char*, char *); -extern void execute_729(char*, char *); -extern void execute_742(char*, char *); -extern void execute_743(char*, char *); +extern void execute_725(char*, char *); +extern void execute_731(char*, char *); extern void execute_744(char*, char *); extern void execute_745(char*, char *); extern void execute_746(char*, char *); @@ -495,12 +493,19 @@ extern void execute_845(char*, char *); extern void execute_846(char*, char *); extern void execute_847(char*, char *); extern void execute_848(char*, char *); +extern void execute_849(char*, char *); +extern void execute_850(char*, char *); +extern void execute_851(char*, char *); +extern void execute_852(char*, char *); +extern void execute_853(char*, char *); +extern void execute_854(char*, char *); +extern void execute_855(char*, char *); +extern void execute_856(char*, char *); extern void execute_202(char*, char *); -extern void execute_204(char*, char *); extern void execute_669(char*, char *); -extern void execute_207(char*, char *); -extern void execute_209(char*, char *); -extern void execute_674(char*, char *); +extern void execute_205(char*, char *); +extern void execute_208(char*, char *); +extern void execute_210(char*, char *); extern void execute_675(char*, char *); extern void execute_676(char*, char *); extern void execute_677(char*, char *); @@ -546,18 +551,17 @@ extern void execute_716(char*, char *); extern void execute_717(char*, char *); extern void execute_718(char*, char *); extern void execute_719(char*, char *); -extern void execute_211(char*, char *); +extern void execute_720(char*, char *); extern void execute_212(char*, char *); extern void execute_213(char*, char *); -extern void execute_225(char*, char *); -extern void execute_227(char*, char *); -extern void execute_229(char*, char *); -extern void execute_231(char*, char *); -extern void execute_233(char*, char *); -extern void execute_238(char*, char *); -extern void execute_240(char*, char *); -extern void execute_731(char*, char *); -extern void execute_732(char*, char *); +extern void execute_214(char*, char *); +extern void execute_226(char*, char *); +extern void execute_228(char*, char *); +extern void execute_230(char*, char *); +extern void execute_232(char*, char *); +extern void execute_234(char*, char *); +extern void execute_239(char*, char *); +extern void execute_241(char*, char *); extern void execute_733(char*, char *); extern void execute_734(char*, char *); extern void execute_735(char*, char *); @@ -566,15 +570,17 @@ extern void execute_737(char*, char *); extern void execute_738(char*, char *); extern void execute_739(char*, char *); extern void execute_740(char*, char *); -extern void execute_242(char*, char *); -extern void execute_248(char*, char *); +extern void execute_741(char*, char *); +extern void execute_742(char*, char *); +extern void execute_243(char*, char *); extern void execute_249(char*, char *); extern void execute_250(char*, char *); -extern void execute_858(char*, char *); -extern void execute_859(char*, char *); -extern void execute_860(char*, char *); -extern void execute_861(char*, char *); -extern void execute_862(char*, char *); +extern void execute_251(char*, char *); +extern void execute_866(char*, char *); +extern void execute_867(char*, char *); +extern void execute_868(char*, char *); +extern void execute_869(char*, char *); +extern void execute_870(char*, char *); extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); extern void transaction_15(char*, char*, unsigned, unsigned, unsigned); extern void transaction_17(char*, char*, unsigned, unsigned, unsigned); @@ -600,16 +606,20 @@ extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); extern void transaction_47(char*, char*, unsigned, unsigned, unsigned); extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1467(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1557(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1558(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1574(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1577(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1600(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1601(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1469(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1473(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1484(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1566(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1570(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1582(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1583(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1586(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1610(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned); extern void transaction_211(char*, char*, unsigned, unsigned, unsigned); extern void transaction_212(char*, char*, unsigned, unsigned, unsigned); extern void transaction_288(char*, char*, unsigned, unsigned, unsigned); @@ -617,14 +627,15 @@ extern void transaction_289(char*, char*, unsigned, unsigned, unsigned); extern void transaction_290(char*, char*, unsigned, unsigned, unsigned); extern void transaction_291(char*, char*, unsigned, unsigned, unsigned); extern void transaction_321(char*, char*, unsigned, unsigned, unsigned); -extern void transaction_1400(char*, char*, unsigned, unsigned, unsigned); +extern void transaction_1380(char*, char*, unsigned, unsigned, unsigned); extern void transaction_1401(char*, char*, unsigned, unsigned, unsigned); -funcp funcTab[571] = {(funcp)execute_244, (funcp)execute_245, (funcp)execute_246, (funcp)execute_855, (funcp)execute_856, (funcp)execute_857, (funcp)execute_849, (funcp)execute_850, (funcp)execute_851, (funcp)execute_852, (funcp)execute_853, (funcp)execute_854, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_332, (funcp)execute_335, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_116, (funcp)execute_117, (funcp)execute_118, (funcp)execute_119, (funcp)execute_135, (funcp)execute_254, (funcp)execute_255, (funcp)execute_258, (funcp)execute_259, (funcp)execute_271, (funcp)execute_272, (funcp)execute_273, (funcp)execute_274, (funcp)execute_275, (funcp)execute_276, (funcp)execute_277, (funcp)execute_278, (funcp)execute_279, (funcp)execute_280, (funcp)execute_281, (funcp)execute_282, (funcp)execute_283, (funcp)execute_284, (funcp)execute_285, (funcp)execute_286, (funcp)execute_287, (funcp)execute_288, (funcp)execute_289, (funcp)execute_290, (funcp)execute_291, (funcp)execute_292, (funcp)execute_293, (funcp)execute_294, (funcp)execute_295, (funcp)execute_296, (funcp)execute_297, (funcp)execute_298, (funcp)execute_299, (funcp)execute_300, (funcp)execute_301, (funcp)execute_302, (funcp)execute_303, (funcp)execute_304, (funcp)execute_305, (funcp)execute_306, (funcp)execute_307, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_468, (funcp)execute_474, (funcp)execute_475, (funcp)execute_484, (funcp)execute_485, (funcp)execute_486, (funcp)execute_487, (funcp)execute_488, (funcp)execute_490, (funcp)execute_495, (funcp)execute_496, (funcp)execute_497, (funcp)execute_498, (funcp)execute_499, (funcp)execute_140, (funcp)execute_168, (funcp)execute_458, (funcp)execute_459, (funcp)execute_460, (funcp)execute_461, (funcp)execute_462, (funcp)execute_463, (funcp)execute_464, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_390, (funcp)execute_391, (funcp)execute_392, (funcp)execute_393, (funcp)execute_394, (funcp)execute_395, (funcp)execute_396, (funcp)execute_398, (funcp)execute_399, (funcp)execute_400, (funcp)execute_401, (funcp)execute_405, (funcp)execute_409, (funcp)execute_410, (funcp)execute_411, (funcp)execute_412, (funcp)execute_413, (funcp)execute_414, (funcp)execute_417, (funcp)execute_419, (funcp)execute_420, (funcp)execute_421, (funcp)execute_422, (funcp)execute_423, (funcp)execute_424, (funcp)execute_425, (funcp)execute_426, (funcp)execute_427, (funcp)execute_428, (funcp)execute_429, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_153, (funcp)execute_154, (funcp)execute_155, (funcp)execute_156, (funcp)execute_402, (funcp)execute_403, (funcp)execute_404, (funcp)execute_163, (funcp)execute_164, (funcp)execute_633, (funcp)execute_634, (funcp)execute_635, (funcp)execute_644, (funcp)execute_645, (funcp)execute_646, (funcp)execute_647, (funcp)execute_648, (funcp)execute_650, (funcp)execute_651, (funcp)execute_655, (funcp)execute_656, (funcp)execute_657, (funcp)execute_658, (funcp)execute_659, (funcp)execute_171, (funcp)execute_199, (funcp)execute_620, (funcp)execute_621, (funcp)execute_622, (funcp)execute_623, (funcp)execute_624, (funcp)execute_625, (funcp)execute_626, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_552, (funcp)execute_553, (funcp)execute_554, (funcp)execute_555, (funcp)execute_556, (funcp)execute_557, (funcp)execute_558, (funcp)execute_560, (funcp)execute_561, (funcp)execute_562, (funcp)execute_563, (funcp)execute_567, (funcp)execute_571, (funcp)execute_572, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_576, (funcp)execute_579, (funcp)execute_581, (funcp)execute_582, (funcp)execute_583, (funcp)execute_584, (funcp)execute_585, (funcp)execute_586, (funcp)execute_587, (funcp)execute_588, (funcp)execute_589, (funcp)execute_590, (funcp)execute_591, (funcp)execute_592, (funcp)execute_593, (funcp)execute_594, (funcp)execute_217, (funcp)execute_223, (funcp)execute_664, (funcp)vlog_simple_process_execute_0_fast_for_reg, (funcp)execute_666, (funcp)execute_667, (funcp)execute_670, (funcp)execute_671, (funcp)execute_672, (funcp)execute_673, (funcp)execute_720, (funcp)execute_721, (funcp)execute_723, (funcp)execute_724, (funcp)execute_729, (funcp)execute_742, (funcp)execute_743, (funcp)execute_744, (funcp)execute_745, (funcp)execute_746, (funcp)execute_747, (funcp)execute_748, (funcp)execute_749, (funcp)execute_750, (funcp)execute_751, (funcp)execute_752, (funcp)execute_753, (funcp)execute_754, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_758, (funcp)execute_759, (funcp)execute_760, (funcp)execute_761, (funcp)execute_762, (funcp)execute_763, (funcp)execute_764, (funcp)execute_765, (funcp)execute_766, (funcp)execute_767, (funcp)execute_768, (funcp)execute_769, (funcp)execute_770, (funcp)execute_771, (funcp)execute_772, (funcp)execute_773, (funcp)execute_774, (funcp)execute_775, (funcp)execute_776, (funcp)execute_777, (funcp)execute_778, (funcp)execute_779, (funcp)execute_780, (funcp)execute_781, (funcp)execute_782, (funcp)execute_783, (funcp)execute_784, (funcp)execute_785, (funcp)execute_786, (funcp)execute_787, (funcp)execute_788, (funcp)execute_789, (funcp)execute_790, (funcp)execute_791, (funcp)execute_792, (funcp)execute_793, (funcp)execute_794, (funcp)execute_795, (funcp)execute_796, (funcp)execute_797, (funcp)execute_798, (funcp)execute_799, (funcp)execute_800, (funcp)execute_801, (funcp)execute_802, (funcp)execute_803, (funcp)execute_804, (funcp)execute_805, (funcp)execute_806, (funcp)execute_807, (funcp)execute_808, (funcp)execute_809, (funcp)execute_810, (funcp)execute_811, (funcp)execute_812, (funcp)execute_813, (funcp)execute_814, (funcp)execute_815, (funcp)execute_816, (funcp)execute_817, (funcp)execute_818, (funcp)execute_819, (funcp)execute_820, (funcp)execute_821, (funcp)execute_822, (funcp)execute_823, (funcp)execute_824, (funcp)execute_825, (funcp)execute_826, (funcp)execute_827, (funcp)execute_828, (funcp)execute_829, (funcp)execute_830, (funcp)execute_831, (funcp)execute_832, (funcp)execute_833, (funcp)execute_834, (funcp)execute_835, (funcp)execute_836, (funcp)execute_837, (funcp)execute_838, (funcp)execute_839, (funcp)execute_840, (funcp)execute_841, (funcp)execute_842, (funcp)execute_843, (funcp)execute_844, (funcp)execute_845, (funcp)execute_846, (funcp)execute_847, (funcp)execute_848, (funcp)execute_202, (funcp)execute_204, (funcp)execute_669, (funcp)execute_207, (funcp)execute_209, (funcp)execute_674, (funcp)execute_675, (funcp)execute_676, (funcp)execute_677, (funcp)execute_678, (funcp)execute_679, (funcp)execute_680, (funcp)execute_681, (funcp)execute_682, (funcp)execute_683, (funcp)execute_684, (funcp)execute_685, (funcp)execute_686, (funcp)execute_687, (funcp)execute_688, (funcp)execute_689, (funcp)execute_690, (funcp)execute_691, (funcp)execute_692, (funcp)execute_693, (funcp)execute_694, (funcp)execute_695, (funcp)execute_696, (funcp)execute_697, (funcp)execute_698, (funcp)execute_699, (funcp)execute_700, (funcp)execute_701, (funcp)execute_702, (funcp)execute_703, (funcp)execute_704, (funcp)execute_705, (funcp)execute_706, (funcp)execute_707, (funcp)execute_708, (funcp)execute_709, (funcp)execute_710, (funcp)execute_711, (funcp)execute_712, (funcp)execute_713, (funcp)execute_714, (funcp)execute_715, (funcp)execute_716, (funcp)execute_717, (funcp)execute_718, (funcp)execute_719, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_225, (funcp)execute_227, (funcp)execute_229, (funcp)execute_231, (funcp)execute_233, (funcp)execute_238, (funcp)execute_240, (funcp)execute_731, (funcp)execute_732, (funcp)execute_733, (funcp)execute_734, (funcp)execute_735, (funcp)execute_736, (funcp)execute_737, (funcp)execute_738, (funcp)execute_739, (funcp)execute_740, (funcp)execute_242, (funcp)execute_248, (funcp)execute_249, (funcp)execute_250, (funcp)execute_858, (funcp)execute_859, (funcp)execute_860, (funcp)execute_861, (funcp)execute_862, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_15, (funcp)transaction_17, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_30, (funcp)transaction_31, (funcp)transaction_32, (funcp)transaction_33, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_37, (funcp)transaction_38, (funcp)transaction_39, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_47, (funcp)transaction_51, (funcp)transaction_54, (funcp)transaction_1467, (funcp)transaction_1471, (funcp)transaction_1557, (funcp)transaction_1558, (funcp)transaction_1561, (funcp)transaction_1573, (funcp)transaction_1574, (funcp)transaction_1577, (funcp)transaction_1600, (funcp)transaction_1601, (funcp)transaction_211, (funcp)transaction_212, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_321, (funcp)transaction_1400, (funcp)transaction_1401}; -const int NumRelocateId= 571; +extern void transaction_1402(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[582] = {(funcp)execute_245, (funcp)execute_246, (funcp)execute_247, (funcp)execute_863, (funcp)execute_864, (funcp)execute_865, (funcp)execute_857, (funcp)execute_858, (funcp)execute_859, (funcp)execute_860, (funcp)execute_861, (funcp)execute_862, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_333, (funcp)execute_336, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_116, (funcp)execute_117, (funcp)execute_118, (funcp)execute_119, (funcp)execute_135, (funcp)execute_255, (funcp)execute_256, (funcp)execute_259, (funcp)execute_260, (funcp)execute_272, (funcp)execute_273, (funcp)execute_274, (funcp)execute_275, (funcp)execute_276, (funcp)execute_277, (funcp)execute_278, (funcp)execute_279, (funcp)execute_280, (funcp)execute_281, (funcp)execute_282, (funcp)execute_283, (funcp)execute_284, (funcp)execute_285, (funcp)execute_286, (funcp)execute_287, (funcp)execute_288, (funcp)execute_289, (funcp)execute_290, (funcp)execute_291, (funcp)execute_292, (funcp)execute_293, (funcp)execute_294, (funcp)execute_295, (funcp)execute_296, (funcp)execute_297, (funcp)execute_298, (funcp)execute_299, (funcp)execute_300, (funcp)execute_301, (funcp)execute_302, (funcp)execute_303, (funcp)execute_304, (funcp)execute_305, (funcp)execute_306, (funcp)execute_307, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_329, (funcp)execute_469, (funcp)execute_475, (funcp)execute_476, (funcp)execute_485, (funcp)execute_486, (funcp)execute_487, (funcp)execute_488, (funcp)execute_489, (funcp)execute_491, (funcp)execute_496, (funcp)execute_497, (funcp)execute_498, (funcp)execute_499, (funcp)execute_500, (funcp)execute_140, (funcp)execute_168, (funcp)execute_459, (funcp)execute_460, (funcp)execute_461, (funcp)execute_462, (funcp)execute_463, (funcp)execute_464, (funcp)execute_465, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_391, (funcp)execute_392, (funcp)execute_393, (funcp)execute_394, (funcp)execute_395, (funcp)execute_396, (funcp)execute_397, (funcp)execute_399, (funcp)execute_400, (funcp)execute_401, (funcp)execute_402, (funcp)execute_406, (funcp)execute_410, (funcp)execute_411, (funcp)execute_412, (funcp)execute_413, (funcp)execute_414, (funcp)execute_415, (funcp)execute_418, (funcp)execute_420, (funcp)execute_421, (funcp)execute_422, (funcp)execute_423, (funcp)execute_424, (funcp)execute_425, (funcp)execute_426, (funcp)execute_427, (funcp)execute_428, (funcp)execute_429, (funcp)execute_430, (funcp)execute_431, (funcp)execute_432, (funcp)execute_433, (funcp)execute_153, (funcp)execute_154, (funcp)execute_155, (funcp)execute_156, (funcp)execute_403, (funcp)execute_404, (funcp)execute_405, (funcp)execute_163, (funcp)execute_164, (funcp)execute_634, (funcp)execute_635, (funcp)execute_636, (funcp)execute_645, (funcp)execute_646, (funcp)execute_647, (funcp)execute_648, (funcp)execute_649, (funcp)execute_651, (funcp)execute_652, (funcp)execute_656, (funcp)execute_657, (funcp)execute_658, (funcp)execute_659, (funcp)execute_660, (funcp)execute_171, (funcp)execute_199, (funcp)execute_621, (funcp)execute_622, (funcp)execute_623, (funcp)execute_624, (funcp)execute_625, (funcp)execute_626, (funcp)execute_627, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_553, (funcp)execute_554, (funcp)execute_555, (funcp)execute_556, (funcp)execute_557, (funcp)execute_558, (funcp)execute_559, (funcp)execute_561, (funcp)execute_562, (funcp)execute_563, (funcp)execute_564, (funcp)execute_568, (funcp)execute_572, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_576, (funcp)execute_577, (funcp)execute_580, (funcp)execute_582, (funcp)execute_583, (funcp)execute_584, (funcp)execute_585, (funcp)execute_586, (funcp)execute_587, (funcp)execute_588, (funcp)execute_589, (funcp)execute_590, (funcp)execute_591, (funcp)execute_592, (funcp)execute_593, (funcp)execute_594, (funcp)execute_595, (funcp)execute_218, (funcp)execute_224, (funcp)execute_665, (funcp)vlog_simple_process_execute_0_fast_for_reg, (funcp)execute_667, (funcp)execute_668, (funcp)execute_671, (funcp)execute_672, (funcp)execute_673, (funcp)execute_674, (funcp)execute_721, (funcp)execute_722, (funcp)execute_723, (funcp)execute_725, (funcp)execute_731, (funcp)execute_744, (funcp)execute_745, (funcp)execute_746, (funcp)execute_747, (funcp)execute_748, (funcp)execute_749, (funcp)execute_750, (funcp)execute_751, (funcp)execute_752, (funcp)execute_753, (funcp)execute_754, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_758, (funcp)execute_759, (funcp)execute_760, (funcp)execute_761, (funcp)execute_762, (funcp)execute_763, (funcp)execute_764, (funcp)execute_765, (funcp)execute_766, (funcp)execute_767, (funcp)execute_768, (funcp)execute_769, (funcp)execute_770, (funcp)execute_771, (funcp)execute_772, (funcp)execute_773, (funcp)execute_774, (funcp)execute_775, (funcp)execute_776, (funcp)execute_777, (funcp)execute_778, (funcp)execute_779, (funcp)execute_780, (funcp)execute_781, (funcp)execute_782, (funcp)execute_783, (funcp)execute_784, (funcp)execute_785, (funcp)execute_786, (funcp)execute_787, (funcp)execute_788, (funcp)execute_789, (funcp)execute_790, (funcp)execute_791, (funcp)execute_792, (funcp)execute_793, (funcp)execute_794, (funcp)execute_795, (funcp)execute_796, (funcp)execute_797, (funcp)execute_798, (funcp)execute_799, (funcp)execute_800, (funcp)execute_801, (funcp)execute_802, (funcp)execute_803, (funcp)execute_804, (funcp)execute_805, (funcp)execute_806, (funcp)execute_807, (funcp)execute_808, (funcp)execute_809, (funcp)execute_810, (funcp)execute_811, (funcp)execute_812, (funcp)execute_813, (funcp)execute_814, (funcp)execute_815, (funcp)execute_816, (funcp)execute_817, (funcp)execute_818, (funcp)execute_819, (funcp)execute_820, (funcp)execute_821, (funcp)execute_822, (funcp)execute_823, (funcp)execute_824, (funcp)execute_825, (funcp)execute_826, (funcp)execute_827, (funcp)execute_828, (funcp)execute_829, (funcp)execute_830, (funcp)execute_831, (funcp)execute_832, (funcp)execute_833, (funcp)execute_834, (funcp)execute_835, (funcp)execute_836, (funcp)execute_837, (funcp)execute_838, (funcp)execute_839, (funcp)execute_840, (funcp)execute_841, (funcp)execute_842, (funcp)execute_843, (funcp)execute_844, (funcp)execute_845, (funcp)execute_846, (funcp)execute_847, (funcp)execute_848, (funcp)execute_849, (funcp)execute_850, (funcp)execute_851, (funcp)execute_852, (funcp)execute_853, (funcp)execute_854, (funcp)execute_855, (funcp)execute_856, (funcp)execute_202, (funcp)execute_669, (funcp)execute_205, (funcp)execute_208, (funcp)execute_210, (funcp)execute_675, (funcp)execute_676, (funcp)execute_677, (funcp)execute_678, (funcp)execute_679, (funcp)execute_680, (funcp)execute_681, (funcp)execute_682, (funcp)execute_683, (funcp)execute_684, (funcp)execute_685, (funcp)execute_686, (funcp)execute_687, (funcp)execute_688, (funcp)execute_689, (funcp)execute_690, (funcp)execute_691, (funcp)execute_692, (funcp)execute_693, (funcp)execute_694, (funcp)execute_695, (funcp)execute_696, (funcp)execute_697, (funcp)execute_698, (funcp)execute_699, (funcp)execute_700, (funcp)execute_701, (funcp)execute_702, (funcp)execute_703, (funcp)execute_704, (funcp)execute_705, (funcp)execute_706, (funcp)execute_707, (funcp)execute_708, (funcp)execute_709, (funcp)execute_710, (funcp)execute_711, (funcp)execute_712, (funcp)execute_713, (funcp)execute_714, (funcp)execute_715, (funcp)execute_716, (funcp)execute_717, (funcp)execute_718, (funcp)execute_719, (funcp)execute_720, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_226, (funcp)execute_228, (funcp)execute_230, (funcp)execute_232, (funcp)execute_234, (funcp)execute_239, (funcp)execute_241, (funcp)execute_733, (funcp)execute_734, (funcp)execute_735, (funcp)execute_736, (funcp)execute_737, (funcp)execute_738, (funcp)execute_739, (funcp)execute_740, (funcp)execute_741, (funcp)execute_742, (funcp)execute_243, (funcp)execute_249, (funcp)execute_250, (funcp)execute_251, (funcp)execute_866, (funcp)execute_867, (funcp)execute_868, (funcp)execute_869, (funcp)execute_870, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_15, (funcp)transaction_17, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_30, (funcp)transaction_31, (funcp)transaction_32, (funcp)transaction_33, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_37, (funcp)transaction_38, (funcp)transaction_39, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_47, (funcp)transaction_51, (funcp)transaction_54, (funcp)transaction_1465, (funcp)transaction_1469, (funcp)transaction_1473, (funcp)transaction_1477, (funcp)transaction_1484, (funcp)transaction_1566, (funcp)transaction_1567, (funcp)transaction_1570, (funcp)transaction_1582, (funcp)transaction_1583, (funcp)transaction_1586, (funcp)transaction_1609, (funcp)transaction_1610, (funcp)transaction_1615, (funcp)transaction_211, (funcp)transaction_212, (funcp)transaction_288, (funcp)transaction_289, (funcp)transaction_290, (funcp)transaction_291, (funcp)transaction_321, (funcp)transaction_1380, (funcp)transaction_1401, (funcp)transaction_1402}; +const int NumRelocateId= 582; void relocate(char *dp) { - iki_relocate(dp, "xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc", (void **)funcTab, 571); + iki_relocate(dp, "xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc", (void **)funcTab, 582); /*Populate the transaction function pointer field in the whole net structure */ } diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.win64.obj b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/obj/xsim_1.win64.obj index cbc930739a8c2c16df2021fd9691de2d62b5b12e..db4c20f4871772f398098805bd7a9b48f365f336 100644 GIT binary patch delta 2162 zcmZXSU1$_n6vyw()DOf}6OxTJN}{QWLO0&IGxyG2TWeICX9;W9@5vd{rhL@w%p42miD9(`t<#Mk;WAoDjeuaMDN;~%yRnYPx6 zcu_Yh+JwwbWWGUW6q#eloMEaCSJuAjG-&lNK+BAgCcQ2%Q7hQ8Edp|nLBO1Y%&2$;-mTp}YkX%l|Wr43Fg zyvub!DxWcI!C^Gt55MKNYYsFPHo!4=u_j=5p*Il@V4D&y6fPRJWx-eO7jWE78aCzd zliLBmxGlOR;4gRM3Omda+?*Rza0cDQv>^nAZ;Ksps+chZb6~pI59^0}b)JUu@P>3*Q#%lS+f1!M`Jokq$!jZ17TWyR;Z~cuO<} zySx^S!=ACE58l&H;O;;VoE>O47-ev()beR0h(>};>i=9?s~ZlZ@Xw(&Uz=etW09YU zMc%P!IH<)U9C_CYcgJiKyfM#k)N{;hHkfU}%-HJ9EV5H6!YnDmMM)9nN)h&y4)uBY zv^K#>r94zRJe03^2TNH~{aa$fvEsss32E00u4bug%GE&i!lAPwJDbZTiF|90%|>t7d=P#8S6h!Q4iHokFx@AUZ0@Atm*do#~|Nq?6m zXXtJ|$*g8CA;FZhLVBF?Rup|NBIKllklxabhonB$eMTxzP1iV9ic=q|cNCWp^5S^v zkH_7f-q}|pX>UAxd*w*eLcOU<%llxY_>EL=HkEvInWNr|Qr=?v=z8> z5mZ&p{1G^sr?4%enr7SkY;@NQB_PpRq-7u zv%R>jo~snR!_h)&dtJ(WFgIKYM!;{n5|5-LCyAk+f#IIMM09W|GBy>Z!ghh1@7D!En(RYn5>Y0qqXSIM Usage Report
" -webtalk_terminate diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.dbg index 9616120abcb274b4c84f28c80bc4f4da9a9af568..b362407ae4caf84ae2ec3325543effa19e140971 100644 GIT binary patch literal 184336 zcmeF42YeM(_QwY+Sg|9v2UxH{%1glpX+ShUOsKX;LIOlX5>hBu>{!8$9XodH*il!- z-d(%6x{95(vc>iP`_0^&oOhFZlI%b3uKz#t;c#c}`Odk$%-lP9ORJ{E z@^gp9Qc~hU?%3nYe)#p*UzY?yuqrzU-g>iJ(5o^ChVR!cSTeV@%)O>tF#pkR!Qy8l zS@;~TpYIkd!hMwa-GZPDviCYcP_uRr^j;H&o#WZwL9ouYL9qS$kz#u|l#|zpuGfOo z4K}**zkm`1h~lqwiO|Ph(~k#&YCd?s4VFC5dCyi5d#sBS3Uw4jH?)mtnGl13b~b|S zWOVDnB|8uj#R?}l7#AK4t0#o_?F;cS+d;?p?yoS+55{R6#AFN3TEb#HP+VaeD&hK= zA<%V)G$_n&(Di^EuP|}wnEvw>CIdPa=?w~#3Ec*e2NY%#=r)AB0AV_LFfTWSq(Yd# zWLP%uLnN~qt{GP{sAj>&kd+Y0Y>sQ@9hp9mu*?vEWp;(%7LffQWSBn;uQwQmX%Ck9 z0$i{xM?n}rG7OW)2ncQ52Vq?+gfM<&7$y%gXF%>UwrPj)%fU6n1rdqK_> z%s^bTY+f)-1AR8jTWVvq%=3v7-4?X**?Hpz+FlB~$gL=pShv@}os$o8ap8d;y4zo3w zYQuaA{SJ^(4#T=zZ5)7R)h*`36i3a;#w3k8B5KzG2qI zc^~+lEf{zO{qf%whGDt%h2J#}vn%`;8)i40GtRdO2A9o!IP2j>kbaPd6y{p!cZ58v zFznwscD%1J2SC3wWTnCsLB9)RO{Brr4O}M0nf*wA2-}j6DS@7S8{3JG84Er8yL}X9 zBJ{gMMk!1g^m{e%!6R| z1+zk79s%z+B?7??aTlNIK1 zF!^APQkW;e6o8qpFn<6u6wGxB^CXyIU>;DIr@#yc^OC|m4Tf`|PZVYu81|cgRhVbM zu;1JW6J%d`Jqw2YW1 z8o?Z)Fmu5)ftjN)r-7LP<^qK|9n4HHHz~{+U}k}NRAJ5pGaJn73Ud~iBf)&GF!RA2 z1?Ha$a}JoJ!ECmkzf8{sa}1dN3UeNqW5MjJFz16g4or!{Tmohe7|v<=eu76o$Oz17 z6PR0}8HaP)xgV!f;M|F__T`!#V9GV5$^`bJ|P6 z9IG&#(_RMVJcZ$$_HrSJeqQY=adkdJ46^3)#TfwYS7|v;L1G6DqUmN6{_I5CR6^3)# z#bB}&hI86Gz!WJA=d^c%DN`8EY3~9vLt!|ly&DYY9lmsNPJ0iSg$lzt?Y&?)9{TKX zPJ161_8&fmbJ`_fSZ+RsbK3jC{Gc$L(>?&E2lBvYhjZEo!ECQEoYOu8CS74Tr+paA zaE0NV_7O0LDh%hekAkUJ7|v;zf;m-TIH!FK%w-D0Iqlf!Wdma3kW^U-^8z9poj*5-?lA zwTEP~;Q2Cyc6wO=u4Js8C&6sp2E#Z~UV*T0=-mdx@T;kZur2zSeV~60^1Z_B3;pYm zbvE(a*$?_RAX_WUVCdh3?4dA2p#LLeu)^d({}!Y~VRE5=8&at-dCkdMbLi?>8CIwp#KDtsW2m<|1+deVMam!DdZ4^DTe+tNUg#g2>lAk2?|pJ{pXMi z6s8pVFCdE)W;FC)LLO9@G0=Ync~N1;LjN`7BZV0U{Wp*w6lOg1-$K?!hvh5N3DB>E z^j4UIp#KYGPlY)c`tKk^6y^}hdv2R zzcv`oFKD0Z(C2{Ju?>dhKxQ2Hsx$a-L2RT!4V`e6R7F#Cex{-jk3GZ@T_U^W7?y~5;x;XbESh2h+W`<(I>hVvHga~h{GY+u~xbhyF{1;hO`$0*D&Fx=-f zAI$DJw})+C$hNTVai0_G#vT@cYZ=xbTQ@F;o&5~6F5EiY*JJJI-SQC|VE&EkCmd!b z{4xwP-!RVzW)0Zk-nBBr+yjRDr8Y%FvSBeu#;3tBSv@dE2h+O^hU@JcgTEgP_e=F{ zgE`(X+%U=gQoFXnoNSm~z;M4*N*l~+hT(f~?w8u94d!gatN_FPQp4L|&Na+0V73P{ zz72-yXS$ACA8W8+rYg)p;mR{h3O53`_j5^)w+(^eBK5O_oZ#8F#W)A&rq7e z>27jr^gU*|Ht4a2@}FEH%uTxNU2u&>)24Es8l>1!DFb^Cx}U*|Hr7>0e_zF^qbxyMh0EA7WnVWKOsT@KuNwlUTw&PP<$#&4FzoAc!OT$@_H}t+<|z#Ox_mHK zDh&I&0x)+g4EwsFV4hJJ_I1O+e4sGw>xP5*USZhR6@uxG2LNALu&>)6%ytUHzU}}p zX$r%>t_aL9g<)UEb?ZYEhJD>gFw+%=ecdQ9rzi~jx?(VwDh&I&1Hmj-81{7~V4hSM z_I0IT-ccC#b)&(2t1#^A#(-H14+*~VVqZ5F%+?CSzHS_t0bn?e*@Nwm2j?3L&|b$w zx1Yk?4rT(F5ejoZn1jF^qA)YS91NyfVNL^c2$*&b2K zTc_Q}$h7+ynRXu|)9zzr+I@^nyN|JHzZq%gyu%(c?MGtJOF;L4!b}G<(c~f9t39M0 zS$}+t%tId|^U%l0JoGU#4}FZxLmy-FkoAZCkUe~9_c1cxe6)`V~#C0$G%oArH-4$V7c=*A!n15WsD z+gfmWzjbZ+oagbNeLM~~-_w?!&&1YdTYA2i@#z_Mc02kZ?dW%FM;~uTpV5v!vmHH_ zCz{jurZ{KXSYCY3VcpM!o_WrE&Jr%)quRV;d12Wv)LVCB)G@0+2s)Mx!?7-(hpnFP z|7e5ZTX%ra^L;GGwb7W%S+_ks=PTAeZQ?b4xJGDQjw4pjG_xMFPFlA{=$UrENb_*wU2p=X)! zy%z7cF4N9~ap%E$a}k7oyr#=T>aT4_zkfUW1KQCSwWA-=j=rQF{n&Q&6Wh_3wWB|~ z9sRs^^yjprzn~rch3)A1{+(qCtj+S}yLYSag-UDBZvxML;Yw5n>r%(V)(`sg+b{az zw3U78xkpyhb3c%#=iXRN&wa6)o_k_7J@>A441({ul;rsv*QP0xL= znto|JdhU1C?&n@tP0xLRnv1ntET5(G)>QateT#C zST#NOuWEYkUDfp5x2oy6XI0a4zpAF^UR6!ceX5$CdsH<&_or%l?oHM7+?T59xhGZA zb3dx4=U!Az&wZ$xo_kO=J@+wc`sMBDx$jiFpLAA;L({q2R zrsw`KP0xL$nx1<~H9hx}YI^P^)%45T(Q^-}c0c!zYI^P+)%4sqs_D6BRMT_6sHW#$ zQBBW%qMDw2L^VD4hiZE64b}AA7pm#GCsfmOKd7eXUQkWXeW042dq6ck_kU`7?)|j- z)$=pvMDqM|_57@*enh#GoIk7QXL|muo}cOYvwD7}=g;c-nVvtZ=VyBUte&6g`SW|4 zpSj~N-@)?zF8$$zF3;`zGrr^1)=#LpAhcJ#yB(Q}ack>!RBJ0FHky) zyk8sNs2|df{hW66+W1EM+W1AiR{qp$;}`YX_{DYQ9U-=SuEAu)p6>yFy!s8cS*OMQ zROmT3qCRik7MJ((;F>kIkA`~g`=uW)L)YqIHq>*^Z(I7cjGp^_H9hzGwxwU&+|PZ! zuAa87eeUsXOOMyWVf?ti*VQA*;lcECZ?C53zFtkwJ-wQq`*}4z_ws6b?&H<;+{3Hs zxqnyFbMLOE=e}J{&po@Ep8It*J@@KrdhXNJ^xUJX>A62w({pdGrsuv~P0u~Knx6Y{ zH9hy@YI^R&)%4thtLeG_R?~Cut*c+%{#*Ko)$PA^{gD0V>h`ba&+oDQ%kt&EX}5on zdhQ$6^xQM7>A7E6({rz|rsqCkP0u~Tnx6ZEH9hwRTYc0kMEi1KHt1$O;9ADcTc}zC z!o2cvoO|;i$N83z>rV&=`()pIybZSremr>1cMOsvYq?`^&V$!{*0Xl#C+o|}^uR%m&ul*KEJ8TQ z@!8AAaZe%-a;#%6M}Ow?wGbY>-T~s{?j(eRTnyslmO{saTpx&!dyxZ+U`)+)fZ57e2%oZfAw#K5rhhw+qB)FD5v)Q6I-|PkGSZZV(@rBe>la zj^By#pgp#EpFMsz!-L$O5Ff{V;XKFkk36~t}jE}SJlAPNQ(T@jB*>*|4w%WPnY`Y}qwsX#pYY z9?x>N9g$=HyXk;ec%N;*mKg&dYd^8zVS|6NAu;>E^tQ2e~qZ<2!U7DJ5_LucMM`VzN6{vx^k-~94$b;OS3Kt`UgB*V^jtA50hTA99MBL*F*H>`Q3y$ey81(7}=?CFK zzn2tl$B>G+R|Us>koI;G+=mLsc_R))kMJPJ-+|-7___A@Ji>$A z^@3x2jX`X<={V;>?l!@(y~ZGxv+q*K@wer8FkH4*GM3B0IS+D61jlw0gIF#T=RC+g zrf^vy6>-lfT(;m|RXF>uhvB}faC-@R%N1^K!Tnj`_7U9I3b(J|ei9t}lOYhBfBOlp z8z&ezSRO7nIMhV>x4yy+5!|MNW4&Zv*>H0Nx3%E3=j47JZieEED$2f3RC$95yb9gb@r z4EIjKvE9(m#*fd}Jm|MXaBMd*h~@Y^$AjEbg*zamBJOF0<8wF<+Iv=TpW)ig7j^^W z?DOC{IA{9W;uv51UVt3a*A_=V`(A(?)92$}HSr@i(!()+9|>-h!mSisLg9WD+(d=j zn1SP9{K_D0rGv;0f-6_Jfr6W)aDxR`p>U%FSE+Cb!A$|je#X{6z9+Etph|F46?;vB zt5UcV1$Vf@@o#YQU_KuK@#XUsf~!`zI|VmQ;hqp&jl#VqxLSq#li=zU?mNLvSGaD> z5FB*sA-;UsL~soX7ZY5g!lekVN#OXOJ5+G96mGiUW-FY1ueUK6{$@{G z`A5H#jXiSLDV%-(NA7xsJ6+hjLE-FsLfTtoINL7SAF^FCe)c^fxf{XpU6X3fFDj=x)}( z2NiC8!9ApKn+xt?565(DFSth(uD{?O_i)UEfr5KN;RXrrDR7*AFg~;~4r1$RzTln) z7lY1n;{|u1;Ff`t^XCbIJ5+GbfV1Vva1RpP;evY>9K*EX9xS+7f_n}e?bt)sgHr_e zyoaOx^9A<;xETCwxQF1}=F39C@i)^LuH_CD+%1B8$rCQ`T_U)b74AvFz2e~*k5>iv zDmYobhne`117xT1ow%D*=Pm6WCAgU2`1g2X(Ao4JEx0`e$G^)X^Z6LT4H6vxJ`dw# z?Hwz)p@QSz>5=(-oZvf~+ z?ohaL!QH8FGX!^+!ksR-KexfLtk~Wz72Kx^$KSW-!Sek~;qDgP3Wa-6aGxvOlY;xg zaJHX3IgC-1j^_pUB{*JM?i9hjA-J!=#RPY%;NBP9H;%oz;B5c0QgGio+-ZVabA!k@ z^KT_MxBs*DHWA!k6mAE>eW!4H3hsMwavVHe#BXoG{RB>qTW1JvnBaZ}7lY2`^O=Gh zBe+%I$XMiXzk6%IS=|hCAhW0x%pzb=LNTpWA7Y7IB4%3!L6&eL>ZK`m)2yQclOB37{ZE%b` z%OhWKTPoaG!EL2*Qw7&c;f@qsZ-qNWaN8){xq{nH;T8&Rdxhf~1=|CVU<}ULCT#mS z7w4>x%s;*pw;c0`dm1cvp5XW{*>d9??tH=V9irvN;jR)KpY1GH>2OyI zj&pTeZj-@HgpSv|_Zs7}p580CDGqn7;2sy@vMtA;v-YkN+#AAP6*xJbUoW^%guNpi zdp8J~&)uf~brO@gz18OyEKv3IlJ`iOAr9PSpu z4G`RPhr3m9`wFh!;cgRLAvl|k25?*pvgL8R;KmDkjgGy=f}1M1CWpI2a5Dur!{P1} z+^K?_>2P-m?n1%Ma=5z%cdg)NJKQ~jyB(a(7mg*ezT7LgrNZ7(j=lQ?_nfeIG&otG zmk92U!rn2Cz550Ck>HMXxCaFHjo^-RxCaIIi{R!s+(UxvfsC;Eay&R$Umg}*FL1UU zo#5DeL~#8DccQ~RD!44co#b#!1vgA^Cp+9@f*UJ1&H-Z3*?RxD;3@=ns>3}YxT6I( z*Wvykxbp>fn!`OQxLX8wy2CvsxIYN)42OGKa32cpOov-0xW5bTEQfnWaGPw>Qod(9 z+_Qq)L2&aN?m5Aw2yVW^JukQ%!JXr9F9_}c!Ex>ugU*)ki-MaVxbqzDCBapJv-SRb zaJ_`Rmj!p6uy=uD?-jwFDYy$A?p48EE4Ygs?lr;PCAb9+_qyO75!}TN_lDqJ65J&Y z_om>M3+_^f`=j8#7u;nI_m<$+-n6A&UG8vi3vLT=wmhx?C;QWP1h=!`u5`F}1(zi_ z&f)pJx2-Sl3GM(9zpI@1y)U>5!F`OmM+`b^?*qZr3hti{_o3j95gf;2X>YmU&J)}O zhxa!+k8cX9PFJ;XV=E2ZG~VAqJhzmp=>c_qM-IzDN2L=~&aG zMcZHZnc%ejbt?p??XUaXaLmu&+x|M4e_x37YWwTH6r8rd?kmA*`|G|o9P{t@yua=n zk&e~->yR1du%N5@+s?K9bt?s@?XUZb;I#d9-w96JU-!M>{+;{leh}fh z`|D&o|50%Njr;3j@2^89n8Ws`%olBcU4RbP=HKf5bvE~s_m}*) z?5~skb2n3Ne7~#huUkWK+WxvV1*h$=oydew!dy8!D;*J_&3~adAR%QWV!Ve zoVLGi6TxZw>oygfw!dyO!D;*JHW!?>zitb`Y5VK86r8rdZY#lQ`|ElMPTOC%wcxb< zb-e|r?XTNLaPIy(S-#r}ZuS1Uw)^|l`|H}ym(~00+Rm5N`|FbPg;$&({Hy!xWPi0C z`YRr^r|qxXUU1s}x*Y_k?XQc8ep1_C*GF*wjr;5Riuh^!>-q^!+h4b%;I#d9I|=Uh zyuWT|kq&Kt-7bRD_Sf|X$Ak5`EB4pzD(tP^Ul(R=_@90LWj?RoUzeOOykfrmH}0?7 z4fUB-n(@>2*X=GiZGYV!g46cb?I}2If87AVY5VI23QpT!mm)ZAe_g8JwEcByg46cb zr3+5mUl$jgw!bbzaN7R5Ou=dU>#_u=?XSxgoVLGikl?iab$bcU-Cs8!b&~JXfCPIB zPTOC%kKnZZb^8iV+h4bz;I#d9g9WGUuNxvbZGRpA7CZih?NZxcmn%4Je_fv7wEcDY zg46cb6$nn-UpG{6+Wxv>g46cb4HulYzphYl+Wxxz1*h$=J3w&S{<{dMC7r|qwsAUJJ*-9duW_SYROIBkF3A%fHP*BvT2ZGYWig46cb@wXoEFRWME z{{<L$)ArZR7M!-f?nuFD`|FMpoVLI2 zXu)aw>y8ne{kxplj@RbzByk-Fcwm30fn0Cn2PZu2^DjC3`vK&(fyno3#{#hS?C%1Q z+ZGao*!k{pg8P6*agf^%5`$Q7j?wY@6TxkdYjcUSmcN5b?h6{iu^EJWcViH1?|9r~ zVvy800^8~kpu*Y^Tx$_0Lli=9SC3k_~?BDHXxH~|g zYCdE=uz$yzTnr-ZT`26?zn@L655#BB{(Wz9eHG6Boo#ac6wdy=e{wr2-0mV@c4~uz zSD5c9g4@~n+48u^B#PJBg4+exa$o%d!R;rw{@~nvfmaxAzTkFMIQzQ~Oz&=qvLGH2+a#wYbyS{_mO&#QJ?;v-V;IftSxUYlULmlKE>mc`32f1fE z$i37-?zIkbZ*`D+uY=t34sxFeZje%LpLdY6zmLQIRI~SG2km{+LGJqwa;rMX{n9~h zjm?oz9POod?GAE1I>>F%L9VCZ_Ch?{8s9h`ZPr0<%MNl|caXEsADmM=^+v-{r;-=ilk)c@b2Ep0i?IYL6;T8#QA7QVr!`&!2`}A1-l=e7OaK5~41llgqJ;OuiZIX=JHx=iF2!P(y>Bgf~r z7{rEqtKjVKl9A){o8)d2oPGW!r#-*f-y0*x=QnBZc45!{-WWMPze#Sf;Oz4%+YsA_ z%$GaB*>;p~%9ot`9_~)T6$*~+BL=bga+l!j@5Irb`yTUda6EXQ{rxg>Y#&1)*4{mW zv%mXAPJ54O`yr-*tTBPJmhVaEXZs;?TE7uS1`Iih&)!IrFJ#<&xfeItd@dE7n=khX z&i;-a?YZxFmzYQ}pO%|`jU4kO2C?~fzu;_o8K#ym_BolHmM^wE$Z7dv`*Cv27uk_mHO2+R|a6EXQ zd{5m6*D>QyWGVimE5}Rb3CDB#+e5yGv*kvPuSTK%*AI61e!dP2m{{*EF!*1sVTTW)_4oc$e2a+H|h zo)nzzcge7>O71Da+4rL4-1o6hgX6(?*xw%{r}bC%{VBP&-p9f_v}b?ck6a8g1Y*;> zOoVHHSCCvENKA0g2+qD|CD#{XR5(js7qY(>NRI78jvvnod-it<$+_+0Il)~mv# zoPBO=kF(=BvytmUZob(1V*5#QTo>|jwq26b`d!;D$!YzrZAauNzHq-b3Nq|>V-Q3l3A4)D}#OCwc!k+!zQgVGDGXLHYocw$B@2=~wFY@oz^KUB{6R?~-kClI~{(Xg$ z-_w1daPs#=J~W(7@4JYEt*7#P=jGtmN4zZep5Wy7&L4q`3GRKt$?u*2(_E+#?S6jj;Eg;2r~KRIrddANovikGH|GKVZ1u3+{VF3)`PcAdd<`#>G$Iw65Klq_oU$Xn`|-IZOh&(B7W~1&ep#lMLxeH zxDOoeC&7IzxaHuOC~NO$!F?^bj~s55;C>d|pB(P5g6q!7D-P!0C*W3Ll4ZmFo8Yz- z+@Brp?}FF9i1-HK8Y`O7S+x8om2yO$1`$cdI1-GFR?)8G(Na1b~ z+{Oy`kl=bM-1CCtZ&b*B_n#si9}Dh#aLi|$FTVk3YOw|Kq7br+ocZt(_(>mfM#-QpsL zTTgKEyTu#9O%&-}UvTof#hV;%1HsAf7H@XK-B57yyG8!ZKy+En<+hRFMxwspGOT~M zI`%deoczA=HizpeIQf0!?cn&XhW1%cHW3^Hq#x`3V#nU5f|K7j-T_XgV>7|Y?;G!Q z>}@VM`F-PE4!4EizVSZC-qwPX z-#7BNlw-nPZ^6m$8}E0xZ3HL3Z+yVvwiTTGzVSha+fH!u`^JYHZhOJW?;9TmC+iFU zwlV7n`=Ok2~Csf|K7j zKH+dX2~K|B_y=&ZzU(YG`F$gQD_hosT?8k;Z+yz(__vpBKFjYLpLV!i1t-66T;_1Q z2~K|B_>9BtE;#vpFFIU` z;NFF9PQ;NFFRbC;NuQ*(~;NF0fM{9;fe&epWtqGxDkRI zCAeE0ZlvH6f?Mox+)H5Va}_vThVA1UaM?KLHTA`UI|iI>N8f>?-X47JvGz_A-1iDM zUvNJt++~9MQQ;N|?k9!2OK?9c+#`ZprEt%HW7^rj`~zGJuFby#MgDy#xPLlaiQs+| z+?sfrs;gr>#d-2u@p%suY~I9yM8T+IrL!!D;JJQw8^XUXQ9mI@tfQ9{fAkqYfA0 zYU@!)h#dFD>!XE>Nvq^>rrzAr>#dFFF0*I z>IA`Q>rp2PPFs&UNpS9Zlw9{aS#a8V)G30~)}u}poVFe{S8&>T)M#ewB{*$8>TJPj>rwLrr>#fL7o4^pb&lY)^{8_Nr>#ewCpc|A z>U_az>rod7PFs(LE)krz9(AeUwDqXV1gEV> zT`o9nJ?aX#dV5}dXkb)(?4^{AT!r>#fbEI4gF>K4Ii>ruA~PFs(o+J)a`=P)}s~+ zPFs(m#@nh1*GRsS4L$aA^uR zKyc~cVu%Z(AI9?~oY?iKxZvUr_cCw5L1&QQGL&$K3NBOO?BkuT*U-09$Ah$q!7`_~M|_X0--7 zm!Z8r;N*VC<$_xSAJtl}FF0%kXio1(g4+9!OTq2va32eHbx4Qw6t|vv28df}19|y^TGX z3J8G!mL24_2gk4&E`PH+2G@-r!`)kOYbxA$ z!L6lmO@don;m#G@Itq8Y;MP^R=LOeY;XW5!4~3KCLblWI_7L?zjtd-Dna8%httU7+ zF1X|B`hxp+j;kA(aNTjn9art&03|2;zkhXH-AJTY<{!sZnSUD#PUfFGuJ#n1Hm+{s zNv}JuZYnsL-hXvm-Au%2ue1K0t+$8*`Rt3Q&%TfMh1*r> z>TI~a`1{Jsmp?wf8!^OCGb<2%1y$!f!&dqaHwzVXYK&#V3Q z=iEda`9BeUK7U_+`s$~T?`%1C*1j*?&iapQBY(!jud{Z3YkqZp>h=7$&j0^!_VH(y zk`9g+_>(sOb?u_7%Ej0J`20sX6AtE2G5oqJKL2fYeDe_Be5SMU|E=x&;^_<57k^)U z=&I*OUwnN2PBmvVNCTwnY!JRBrnC9k zRrSypuCLsEgUJ*&iSpcToOsM!{~W`qB1}9 z)lXkNT>ZS$we?|jes*ns`s(d!|0thVKR@d0%d4Lsqy0Sp-`b0Be%0B0=#sN^!fYddtK%4%TM2Y!^ivL5PNO)%zyg(>Vq%6zWnKI zx_t5JtpD#Np1yqRY`pwS8YaZMfkk z09=ISjSZ3Q#j~UQ&Ncw!vLD+;__=nh>VNC+i+^YRefic||IUWnS^ig>aU!2tn4R_i z*$J5C#oq$;`8&Zjw+o-YFW@wCIZ zJHPeCzq9=6`L_POq%WU4>;J1Q7~^t2_V1W)`|7RFzrA{Blfmac?RnO6*0ucq)%mtB zT?v~3#g^NP;K=l?42D|es2FCRL~`|Na;zb`&cb7^izoekG#-{=3I3fC8(&iecEr?Yl^ zyze>A7p~9WXW!@lp9Y%hX z&rt=1HC2sOW!0&fiPX$NK~D9|ve^x3gA%D(Ddr+Q1sAE7%K(>^X0I~ixJtKI@ibh; zgOQbuC6!ak8XBsm)MTV3vIeCjd!@rGHQ6f;ue2nu)GTd2rR?zGBj zi7Ayei5c06cp@IuO-f9wt!S#QOyy~P<&+kmv|w7(thAQvbY6=);z3nK^UYP0alzZ0 z{nCPpNlh)6=>fD=4ULucEq=m6MvHG|%V}10+Eh_pncd<$D5#uO*%EREuNZWTZ(2}R z-6XElgQ->3wZbDiXh7szZpdWX(uGHcIFAR+G@DJhiI)tp#T$7Ado#scu5V5PWKCbBj*TilboClN)e)R%S*bEi*YS z@XUl~a^}D@3!cd-gl9H9lamO~L5Vc5vqAwwwt1Cq{wMn=~>xGk<+-~i4-}_D;?cCJlzxx zLW-Oo9VAkOK0UdJ2O&jHV+4s5In5ECNRiVZ;fWMEO%k4n{2;fC1~KwZ8D*!WCel-! zGRjU#gQt_C?38qPI%Sld5{IXgqU@9mcsga2ostPpr;M^wvf$~IQFcl;Je@MiP8kGG zCq;u$8PZdn6b(XUNKbWAGzgU;J=ICkAXJ9*)Z`S!Q_$kl(f(x_#S!sDI(lcv6Srq1 zM+qcShNSz#iFR-06C2$=D7s!dip;@PUR!t61firx2|Boh^M=C zB`Y1CPJ`rt5l>I9{o#NSPj^#<0VAI7rU(N@Jnp6l14caVrU(N@Jnp6l14caVrU(N@ z+?jNsoRK1D)&WnX$eDJ)6Df+jDMB9+Z&h&UBjV0%1h*qa8E%R&V8k=r6k))KXSgZC zfDzAdQ-lE{?o4q|Ns%JVab&*(PoyZrEh7vVai@m@i4-|K6g-h4r-yUD z9tuqa@pO79cp{!|55)l^p6S#&4j6H_hvI+{cY7!f7;(3U;(!r%dngVVakq!!fDw0l zC=M8Lw};|@5qEni4j6H_hvI+{cY3IBz=%6N6dEw5=BddI0iKvprzKY#cw$POmRxP% znGMh6Y6H(f@Jy~Y;ee5mmYm7x6jDIC)dmAbMw(k~Fkob)xeWmWMno=m4<4?^snW{r_3(`nQghccZeotlE^J1sgDLsO>H zpi?n2WjgIS6$4YI)0|T=E@e8cITf9FCK|JOC5ZQa7__4c)a6tR*3kv(K`I96=pvql z*NC?HrlYN60F5-^g>B`0r-%X5Xwa@PP?`&v##kusNv?J1A2OZMKYSCCOtE=8BR`wB zGw!o_JEK0Ew=?FmEjuGV+p;s>vn@NLJ=-$IdXp5sU(MzVzeHJEuVQMO8ta-G6Ag`J zQz}EMJeXS6kZ7o#+*mnjQo^WtdxW&{mdDG^tgmXUM6i)t4)bLdxD1_gycMl#Dfzk#i?j^=B0C58s9WKZ7waH4?RvV1y4T0CiheD6QI_VZO!1&^LNAO~6O|qod@%Jwd$E_C ziJ_%5(;0X}?=+IsOiWAAB9m%4JW-{bu@`}nY_>iKiB3gJu+|4*#e<3>#Mv6qgj`2X%?2xv#bsVj@#rxn1;VGKptL{|rNaw{%Ih)3`8lJLZWuFibU~8Q(t@H? zVG);U$(QNLmvM19rnI1hiIWDbpR{8Aq$%rX?3IklON=YbA3Z!6-F!Bwt|=_hjFb^U zRar#^mcJ%dMyqob80fH!N56`y8Z4Jp1~V%Ia|74zkol>o1@^3lXJtWUOp8~7p_iL@eDS@mAr=fNvT1@)T+s-p-t0*`i7uBByd1%5mp5C6{(Q4=qfdGqN~aVUSaL7adus0xB_R-BX2DBa?K8&ID^+rF0j!Jm-lcI zhK^txDyxHr%2|y;Q(YZb9H&jA4}fXBWAaQ!f&ZrkmD8J|Y^txEo~Wt_4lkS_sr86Hqi5YPKj?vzG^{`-YBA@)e<8!5p+eqe zhbPmTs(Bw*3!^jRL~w{isia4kZlEnbVYt*2`;ldd+fF~%3&_STl zCDt&xux3KXX_U?MtU%c@X1tfd8rOKk5?i|{OZ zbcBf22zOZ1}a@}|kIU%9;>EN)40u2YlMsg61=S)Jyn)05Tdjyj&K&Pcw@6ql3B z8?a-d4(T)bfY`DjC;6cd;e>h0By=p$V-DSbJr$KToJ_;B96e5X*aMZb-@$)KFQ?Xr zS59hdD97}>9xX1ssxVj9*Iw6$y&mTJ0i>>~u9AIKb!BB;P=WuVvxccnjTN;sYZ!W2 zqP(eLb{LQ7tRYc`m<4c9$0{2!G$zVsRVB)1q6OR2u*0$!)s-zwL)DQ8&fHMm1pCcb zGs>!k1wJ&)HoBSIdSTBS8tcpLd39Oy{WIn5Xe2Fnmp5B2M}#r3hoP5C9*Pzo{Yi5| z>SaReMMCOjLh6$eQZFr+)l1VYmQf1LNdnRwt$LB9BtuDdlFK_vkW=bmB5m16gslP5 zRZBf6%`F^VP*M`)8@Du(Grlm9Gp?i{Cx1c^T_s)Qj?F15%r}~x63LYm<&=&#ni7fG zKq7NCsBr~3K~8>tNluVoI1>Mj8Z$a47*~)Rdgjs-|G_giD9I;Rke6qJ#bDojN>%ek zQNhUO^U}hD3Ysr+$6z{SuEt?rlVi_IN0;Q9^W0{uxtJRj+j~ozy>m)rU?n235*b*D z46NmDq@p=kkr`jK)$CAkD>v#RYjtNKrvSaezeRByz`;PT*N? zbcQ)*PN)b9kc}k;!}5@^@I=0WfhSJrnHv<2+`k}iv@MP3%9aMo2KO+{;k>XV8)ZR` z6D2%ODv)6<^(7~%@RO=YatxySkQ|Ss>W$GLxksZ%*e}7wd@Q^SJ4~KoLPm$pHay|v zj2=;)FPljPhq=Tg>lHTfB(ItE32f4`0A^73D(deNWzD)Wb_vZ_Xx%O6;cl^J8*Je% zI=1natFXIhxeWUYTt@wUvwvOL?CM&)PMH*7a)9o(ECRme>w z`LPn@Br2+BTQ`x6LC1~~#)og8%(&6Q zlqdUuF+L_{;g&5ECvzUgnCC6AHCK6wyip~%aYR9oml&EeqOfQJPR8dKj4jM7Xud8e z%E=v7k~6w+)W}4BVF`#rJin9-&B-fBjGj=;d+{8R$Suhkk;vtv8$9wxmlP$?=n^?a z!$#p6f#eny;5icAVVvM2zqE?5#!r@o-m1T_JER!$w%_X6pc=VT}_^Q z$*8fE0mETXqY&%_)S1wO8NpK;%}Jnn?IT%wF)3fPI`;j190IgR6BvLG>H zRDMBdEV>Mz&Z2P8QADgz=NA-@9^RsExn!2Nm^SgxMTkl9Hy&X@LdW>$CdHpXcyrVb znu3qwPaQkn=m8SvE%6Uk;bj#6Fr1e7x2U5CH>+DN8UGg3i~_3w2DRcbVUtBwF;R2< zX)NT&jBlHF{4HNPYUpTI;KGrug0LzXJZ~ETQygWA3P++!;+;bQ>o}{ExdT`1-xEc6 zA22e{)EDVNE95yuv(bc2h$eBxL_}RY=NWmZ^CQrFi;4?N8#QnQ;q_&%g~)HuA#C>=9mL{14iqE^u_ z$r(AUAQ)OSsu(S@D2EKYjpCdMY~caVhmOJfBh-OWB@?3V#4xNtrn!tLWi}IT@eGQ> zuyYa5d{g6-fkaXBU871-w}bqYARliFg4qG)?2S`{{InoHotus00f)x$Edx&?pSoaj zQ_Unkz~ij~|8K+#emsoY*8|a`YV^1py#olJRq-&N!1FtYVoDYNYYNZs(BFh9d{a42 zsxkksZmi;e;Z;==o@-`Mny@VxTK?A*B+6=Fr3O~2o2r5Y-l_x%NNqhXX5j=v8`U%T zU(irrh7-J`X{e`9eRWV?IXx(^sSLtle zKR7dk21Ije&@eNYiHEW>yg9*E?fSAbxRfbjc7!h&kS*ccgy=PldF6n})+Va(xEsC@ z;IcSmR8{oHkV8iLb@mo`&9GEojj;-&2KmN1Q=%r6}nfGqPp{EmUExr!0Y) zO?Xxwo#FMWUFr#{#08d!k}fMMtIKA)o_NTWw?qsQ)>ziqgmOT5ldG!3jBYuvnw$t9 zv%=RXeBO$pg&B*97SAdwCzqj)g(TLzB2|LM!Ykg(+gf}k;q8Q3>EdLv4BPwJh&XQx zU(_}G2ng?O9LV~FGgv<0Geh}2jp=H1LZ5It%V+-3Gg5`;d@e^R)!BtSyd{v=Weu}y zCWYBOfaO(|2=glt-n87xlaXoVNdtJr#6n3n(1s_|5s}gG98QqfRYj8*bot>4MuBDz z+Z_%+fb*+p1Rha1vUz^ca-JJBp9dpy#^c6l#?W#O!ukht(h<%8G0cV|STv4XuhtkJ zm73=#5teJLg`I8=I`^>=Bd#Mmp+~L*MAve%7tK$(R*+Lvj3>$wL22R0VVGoM_C7i& z#0+iJh=7A8<~^Kygy)z;G@s{$gR${ubj@jae;Z>t(%zPDZZL98^Hd}n^+C4w!=Qsf z8S^bXGDHuOd^ArKhR^1Kd6>iqPoL-|LUhsmSQ}lkTM4_(md+x)v|UnoVLPIze`U*S zZj|g#@Vv$Lfp=ka@f=mfsI$P`r(ui}; zsheks;nXa7Di`LRnLc5nY-dtzLP7a#yvfI_u&_(QBW}VZ8-3B?36ttzQWIYE)Zpo` zwx$to0|!iW3+UmZP9eNTj)br4S~{+z1@-XJ6M5By1r6KbnzeOW3wu_(R3Cb`j~w z!06vFu%T*NB6VO*{+L{qL-XQLb&OpH$h< zX0*G~dR5&(ygA0)&N#oNZLSgU!@DjHBV2jIcY!R5f%XDn6zp}#tm>+oS%-|Rno(9! zdq`SJ>YxE>hp;IQ#9|D-fSWQ9)ni~&4Ys;WYZzFVJ7#EpDQ+A%6ZI;h2eQ#u)il*M zHH1D`2~S`zE526B&d$ml*uJfQB@%qw(RI-<);b%J5hLq5t2HC^@osvUw zLb=PxCit5|lxap@flH~u55HzWeuO*#nS(#5kq6lXKUnZG+{@rrLUzOtj>3?t9Nr7)dL zqjh5PWVcKYCD+t5y4Pl(Nhin4b4YE6A z56GU7fe_kHg``2^kPHa-R}O;g1=$<24`g3R4kQ_~q8z74yw?h^~?tt70xeIbXLCq~M#zzn zqaa5^j)5Etxd)lH9P$z5PmoU_e};Sk*&G#M3&@s`-jHn|{UAF+c7p5-*$pxPk_*X$ z6hKBoN+E|q5|DDpBuE9M8ZsC1IOGY)laLo7uR%V5d;u^svL9qHBo8tbQUy62at34`A}s1dg&Yo< z2C0G6L1sdZhnxsG333W#F61=G8IZFf^C0sf=RwYgTmZQcvH&u01LQ9x9kM^92r?2< z1vv}y9prn+50D=rKS6$m{0;IC$S;t8LV^wPyHt>MAnQW9L)M3E1lbg_8DtAcFUZ!A zZ6JLiJ3)4a>;l;pvIk@!Bn6TRi9@m=`#|=E42I-F@*o9}W6_Zu2blvo0dgYbB*>|d zxscN!r$f$woC!G#G9PjdK7f1(Sq}LKvKIb$+}eb&%<>NF62|lD?Jfs$ZL?-A#Xt5ge-^bkLqv$qzEzs zQUVzZnFuL^oDG==IR|n9WhfvSI^ z0%FIVt#NM09mXvMV#gTG2FDx5X)t66BnM*0n|z!XK!!qwLF|~+QGPh?DTKIXG!lNJ zAjOaaAuO{}$Y{tI2+MCAWISX7b&%B z$Z3!>AZJ3(g4nt@ALp!p=R(edoDXs9BI{XS2xUSi8$)(czCY=>=?Da+H1ql}+Sr))#E9oTrbSC6+xI5tn1k8YUEQyH#p zvu-$UyJ4H#7vi>&eE7+Hz-7|0rnp*1oOcuF3vY?878%#-=DwKzu*H5p4*7a(q#18q zm*X|L;I>H9-MB8dhT+Cv6=^0Km;O9@uGskjx;E}Tua3B0#&x;12;*34^vgWl+7TAa zx+bzW$GEONn@=g%MqIXWU2a`tZ-vpX^l;q`xAeNm-ZJC5_Ienu?)r$EWn7nA&u}q5 zK;YT^-P)EU>cw`GI{y`GP_m~mZ><1UY7M*p&h>lI^|BDfq zVqBNwIKbmAqhIdfI9BkO`%+|YzHwc998Y*;zZ`KxjO%h7b9k&U`jsAzeK3y&uSE71 z8rQYQewxSdS0k?2xGon9sUTQo^ucQp?{a+%x5(%hd$_)aE3UUKH1d%4c+T;I$M|+Q zj@3N)Jk4W?ac%!;`|+KiWB)wSbX-%7>vB6AuBYj^dKuT{IA+q`Qlnqy;rbh{&UA2d zjO*ItSV(*QO$WzkVID5W@tNFPM!&+t?QS?e3-VZKT-P4w%CtA!bcExL>v9~U$?@5b zM^EFr+yLmvEiw9~9*%Pga#Kx*S!Z0=UW(z|e760pEnm(VXwR(&E|+FFw;s40=Q_0K zhHLw68*bciZn?Q!hT+_LK#uj@+RHSYTfVM6&WYNJpB*o(JwB_DbIZf!1{uyR50~4^ zaBh8ZInGrXu3KMRZXd(B_0;7!|7$C~cHFb+<@}hO+kRbcu;G?Ziu$t^#&x+NhMQjz zaSM#=ayf=`%gyC-tv#n*x?G;&hEzu34mYmLaSqJ%t~B~p9ab0eh;ex3V*WI`-H{5WGjed!TD>U51s>t3{WJ%aT$dYZxR;IoEe|)!aC4?b_U0PbwO4Gol$wakHm=JZ zXt?D@zrw?n7;b)TWN(3SU3;a58&Vf>!;R~5qYbyx=vR5TF@{?>J+imRxUM}utFk^9 z*GJrVe^r=*Zq89uG(;Q$4B;N8P~Np&2X_3BCfx2U9QG(FB|<^9{VEUFWVnT=M)npN*R?mp zaK&>YZoF|_Zl>Xa(;}|Bab1q@Qp5hw=$CjnzHbftztbaoQ;qA|JJN7H&xp8Q#&x-) z47b$imwC9O4Oe$&WN(&nU3jQ(X0cbeg5T@cxuV_etX>4xinVZ@~v z*X7PI+*?M!+{2w|xVaZa_7)h|wRe``hAfD<;l_2jvkkY>=vR5Td4^kfab$0iab0`! z4Oe_g#Emzu%bjDm;L?ceZd{i;*KmuCeu;-W&u|kji|kD`u50gn!}Yv8;(8g^9XE{Nm}Oko-bIFUpU*c9?`nCTUSK%)y@1PIY&iG5fXiKCIIgeq$TqIa zT?!rh)8$6L!oyu=xcTORcY$$TdzTw-h{=fI#&x+X47bwgS9!QA4Y$x3SY%w+-a^9_ zZ-x^b!eQ<(fv2k7QR_K_|Fn{Ql~yVr1Tzh;_U^LxVk3^#R3WUtP+F1N&R?)yoX zyWen2CP(&`8rS6>FkE+|@9E(lG@SdsllInt*!Oi08LqezCpab=*A4e!=ve>U_iHZq zh~XAi&>HsJ8Q0|=g^u=CP71MLm2q8eso{ng{csQWnBnG^NA?yN*R}UQh23}Da&`U3 z@snENDjF(kDsCJZZk(YxP*ZW^#u;fQnFISZXp@%WD$E9LY|dB4v&aKX8*b00Xr#EnldHzC#i3YTS`^SEE*YGvzd zklJ@WF6Dk9o!>H~x*O&*cfSmdUtrOYRCgmT#XRkC1Kg11jXcZ!4X*xHTW*8Y<^C3z zb-xJDZ#h!k@8&bN9hKu2SX3p|{T|nI2UzB}KB?{x^O@^QFi(2iA8{pjU}t_SliK&E z`ONi=S^tS=JBGOC>8@@5?|P*6{dqofeVwBhSkxue-GrMRWp3fbp81#R{(>vuiln-~ z;+jWVUz=2SGj3cmHzC#Cg3B_`dEBkI`VrRGAhmCV8y;?MM5?h8x)4>GrKGTZ!1br0b3xB{u}L0q$FeJxVmL%8vQ<|d@N2`b3hv)jf|JFdurHyO6Sby{f&(`s$?iy#$weueltlZW-K|`PAc<#kJgP z>ipIwwQo6Gp}xSPBB{=uOYOE}#ys(U^XguP>oV_q+{5R^+9uKQr+^n z0rQc^t$?e4$od+j_N|D^e%M@&RJRgt%zWZ;E906UvA#B`eXqb3K5DK=s(U4F#yo16 zSC_Kr1q_eD>Tg&Np-Ko&6qEo zs6PKI>ej;5&scV$utBO@8<%~%xg4o(9bD%UTfZ);E{!W)YOYMGTNjtO%v_RGw;ry? zyzg=A<0_Y1UzOCp4REO|%%w?n8{!7chaR^PuJ%dmtCQNdF)s5db6HYd1~+0p_P9-O zjh6K_N$uMdm%Gwjo>aFPZo+)(ahu~>pSHd>seP}<6|OQ@B-L$!n=xN_gU|f0sCxsh z^BL>wlIpU!(r3+;Np)M|5}z}dB-L$&>oMH_$L)%%eZl(br1rfLm-(W(EU7M!8!;by+-|tWm#nWzYTxd-+?UN2 zNOf<*O_|R;ZVz1hE7sQ`wQo;c@vG)aq`JLu3vb4o)5O=ztJ@pbW#03+0-KomNbNfmm;Q#i45{ug+>rUm z;||A-S^tS=JC49L-(}m|B6YbXT>k&e6-aeQ;-<`J9(NS3{ch{)klJ@NuJ|5vB~sln zxahs+5~R9gab4y;k2?-mxj11D2!Af_TW0?Mj>k0vu8eC3+zIje1>A|aUcjA%>j&J) zxIw_3f*S_hskl+Vy#+T8xC(9(aBsy;1MW23EZ|PZMa`vuAG{5h2)HwF$$)!1E){TR z;?eHJxDVo{0rw%?EZ{zj zi!NFE>%m8GiGcekE*WqQTq@u`hD!(B1-M+mU5LvE+{bZ+fcpfl7;qQiN&$BC#`XzKlx*+*fePfcq*g z6>wj}r30>m%LLrjxO%{S9oGoBZ{V5%_f1?Y;J$@x2i&)Doq+oet{ZS&Trc3hi|Ys6 z_hQGlRs6o~*YbN(d|ul3af1hJFeKIeAYQ+f&BgC`R`)|(ik`Hm??*U&AFlTO7^m;U zRoBDm`*79$1gG!ARd-Fie)rq_F<7Q)Xk;nCMd0dgyzF**K4_aS?RQF3<^KNr3Qr)j`o>sF@3g)KseQk}4eu~FBGvsCmu8;vxZmOO4_jY> z)V|;2rp#v^_Xk|{cI&H=+V@9X|8M37q`E)hlFU;cH^h~0v%U(ceSgMvM&`Ptx|?vb zTg^ot_ZM6NS0uIXuejDN*4HN0-HdBLVy;7~y9GDC+1!*=cPlQ-Jm+yET>Y=s*C4g; zHr((p=0>Etzu}61H&-In-Hwa?VJ<n#T4?ON6T=g;QtCQL{!DXiAvZT6)aUv2!uN>5r(nbf}j;1W-nOOooI#Pyi>J?<%7WoCU1D^NhJHsqR_ai22y#{)cNkYke(J`<}z)|7Wg9s(T(cV;((cUY&ch ziaXxfVczw)m*7gzTTg}5zGZO9XubmTTbfk2EN;Mj=yA*8YA>Wi`2dZF29_)0;z6!+?4skhriD6A9X9>Ixn@pE~#!sT}T4=RUW6;ok#Rvc5K{eXHOKE1N5l>Q=?gm`ATLuWmJ5hk4iIQn=D9t*18a}`qEnz%&LmYXEixzD?I_g{~B-{aQ8RbFL%RZ{!b#-(0u zE={Ui2RC3o^td#x_8RM}liIf~F7sM*SyJ74xDoTQ$E}ZRtYUplQu{W*|SJ%%j!KtJ?(EVczw)O>w0)tfx$B-)6YPn&y(E zy3KJt=6#QQJ+AUP>#LI5w*@Y>mbo;k?hUx)Y4$uyk?OLzKJ$UcZHcR%ZhbXU`?kWR z-)1gDs@objWIpn^ZE*E7tgk_8pSzZbd!A?CZZ1cv+YUEoKJmEiaRct(q33?i;c9E! z<54GdxjW!8>zK=u>UPA9n2$YfCtM?KeN9sPcE;t_HJ2yV?Sh*ypL*P`xYl~s*CDm< zjkx0a=1QcxJTBV6T!K`$8?MW|=W)B^${Si=h19+`;gTDfOOfjK!1b9AJZ?{1bz|$R zk=nNxu6d??pSDPKd*kv|a|Kde0XJnn^SFI*?RQvTht$4(amBODl}L5_;i7k%OOWc^ zb!Oc2vdg^Zar@)SXIo!|)V>37>5Sda8B*PWxFPeA#}#q)O{}j$YTrS)?55^&q`HG~ z$#ZNwQlvU}-5qzo^qCJl?oeFyTFakqE)j5L zTr%KJz@-B2L|i)HPQqmZ?qpmx;7-Bi0`62?KH%PhD+F8xR}46JT`Tu|D+SzXxN^Xq zj;jRR+i=Z*I|J7VICtH&h1b)7I}_InxGJt6aPPnk0`4r_FyP*a8wK3ixN*RpgPR20 zxwvV-orjwRTn(3OF8%f3d|WEv-i1pC-2dS+0rzfPHsIca%LUwfaruCIAFdE^bzCvv z-j6E<+y`*wfcqe>5^x{FRRiwBxLUw{1lJ6>kK$SZ*TA&{?qj%4z+Hgr2Hb_XUch}E z*AKW);06JA5pEc87vn|&*Tjtj?h@Q2;4Z~Y1MV{1EZ{E3MVBo7_2mj&BH%uWO9tGh zaH)W6;nD$jB`yH2@HQXfNI=E@TU5%Rs+}Cl@rAvRleFK*WxNqW;0rxFj zD&W42)6cJ4gb{F>mCSWWb>GA3bph0U zAE(zhQ1=5|mi5Y$tJ>y8@%H`@S38{F<4JWt!WEA+S0dH@7+3w=OBa?@Bh~eAo%>c` zjVu;BM}C5fj<)3{$<_E<@pfE;>oFgA+_kvsG1gZnweP36%(3Qjq`K>HW9Ac&`x#EJ zBcXji$BiCX@_t$D9O>iwhuZoL$rSfXGPChD?#uY`Z60T?O{$9@U%j4)y7=+c>s+Xd zAKzqrAt2Ukv2&XcKfe0BTpb@jzQ165D<{}?R7rKe#1)P=S0vT_3O8e(D4SRJYh0Ik z-{Y>w>Gd$Q?*^P+=R)0$IDH*jL++OWE_I@})JXI$$o=GvsX zn{YXL@}9oG;PiSE+V@wSUN=MC%{aXthPqpDdi@G@x8n5r73xMfy?%wd+i-gQ3UzGdnr-Hy}iSE#!Kr`NAgcPCD-U!m?UoL;{|-Q767{(`zOPOo2~?jD?8ze3%;ao^`{ z|C=Gz-52*UA9>vUxO&_A8l?6;fXjZtT#i)tAa2Zj;&Bh*nqRcO7O8y`T>eYu3Z%M+ zaZ~0qk9!2y{<8ITNbUPOuJ{#mB~sl#aM4%IB}jGu#C4hXJnm6k`D@l!A+_%@T(V;> zMXHQr(ld zG4qMXJ%ww2%lcZR_RVnlZ<{NS>i&zHGM{2`YNRMJ&#L%-&~4R7hg!S&wSu}!s<=;%3#fZFu6MfqoY*JTy#`l#o4FdP?zOlMKR0(tb*tb?r&(W_RJSTl zuP3K&HC*}(>&uYpQn&=$ne=S$>NveVo%XGP8?wBSXSr+Q`aG`vpWEZCeXqmS-)`H{ zBwxqlTYdAD*SRm_;|IAj%@s&>@$rKx^QdZGU3~nY!@TEl@$rMw&9?q!a&ezqLVWx{ zuY0)Ix$TILAM`d~(f#KOx5duAG{?se67g{ZaxMNwdy^%P9~2LSXv z-few(Qv2e^H}wnK-ZZH$etbvUEx8?w-Qver_m}J0X1s7*d9C>MUiO#j*2YcVW7{z$ z)vbeTz1LiuRF}pT-e;~zs#_OV`=Gfxsct>ojGm}&Ufue*4)dYuOF&zdz@Y;Q(X?H*Mn5I15RIuHjwSz5vRw|)$N3f zK5E;WAl2=R>oV_q+%C9E!}@BZ_U(!*Utq7#@%2sBy%DF^H&vI9oxJXDDEG^5xb(+t z{W7Gw-El+aBaeF%POqn~eS6?~``dB{i_QK^ZG*=+i?TxG5X7_WQ zT)#ZTD!mC21=$^16mV9EV{;v#b?QeC{? z?=v5GT)f|}{gUk^wJ+Z9XZBliI~F^)9WVTx-L&8;e%$o+WfQhH{&&g!;_rim4dU|! z)V&$kywtY0MXK8$m%q$hfmC+@ZpwV-aR=hsms?+l)V?Ba@JVw+Qr$tg+NaFbNp%O~ zidUGckm?S>C0SnDv)n^*nU?kCNbNf;UcW2&z9-ckj??Q2t2+Xx*ArG(!s+#T)g6h` z>jbMi3a8g6R(CW`um7v=7@S^rSlzL>G57C;+?4P8WOUij-IvGVnpfK6(I(X$AFtmj z_IMOXb!A-pRC66t-3d6oj~SaK8o#!_CaHa=;BwcS%aiI( z#Z8z`J?<^I)(zIzCbh4EE8J+VNUD1)ZpJ(sm{)fiuEV_Rai`<-b#gPlU*gxvx8d~X zFm-3(3ZJ&`t0Jk6%N|6P&zP%{>dvHZ_*ru!QXQ8wi1c&D)Nwh3XvTU)S6Qz*E@u#R znD;%7%M?T@)+^&#KR!-As(;Rw*C2Jdd`x_#*JoG9$HYf^{cd&VasTOcxz+LU?~z{L zUL7Bs9_e+t)$y_EkzR*f9Uq4t>GiHZN z>8`dx{JHz;-iOoA(^pr=b-rnRT~giqaiwpWE0gLzfJ=PaT#{7xL0pgdz~erI)9ajR z--mH}ogZ}{!Rhq~)O{4E*BMaP!0B~<)O`%6*I`q40j^ND`>#lJ6S(Sktfxk5-$l4|*Ib5FcQH<{Tcxgv)9Y5Ly9B4#JydroPOp2Y?lN5E1GXJi zQr+davU@_!Z{?p`s=ET0=-WIIEw|v+eG=DY-uJjq;VLh&p31T>-q*q5-;vPjVmv2^YV%>?ykZmRHyq`IqdBj#g|`#P@iD(h>K+V>4y z?$ze9*Ox!2&*uQ!(=)m@7lG9P)|PjU4vtgk_8-*vbS&&RIkdHFM3 z=|}dwEtA^kc2n->#Ke!yB}sK|cja79e8>43W#mkGFAaM^&n6;}wj5w09?x8W)Q_cvTM;BLp&0`3l6J>c%d zH3IG~Tr=SA#8}TW$0Y*pAGl<|{S%i8xJPm6fO`y=3AiaP8*q=~asl@*TruGOjVlG* z6S#7~{RdYGxF>PdfO`s83%D7s9&rD~H3IHwTr=RF!LN5ocp!ixk|vfU)!Cl2AunK+__r7xnIYf zs|TF>b=E~3c+XSbdKdElhc)6Rs zWMN53Qk^@@F0N<+Y+ar%c*WFoPI90x~*|~-2!#n;Pko$>bAw{bqmyOhtum8sM{VlOaFYflhHJ7t_ z^JOpY_Qd60Zu2~;%iSwpF7v6!?Tu?CtglUKUjdhWgMI(yNOk+*3d>txkyN)YZcNX_ z)3+b4nYHD%N$q=cyxc9#6-jmb<7UjGt<0-C0M}vO^|%A$KDIMj;l&@fBCfQxEw4=K zau14^OHbF+cW~UdqAjmXYTqHa#7gFpq`E_KJ?4FnI}BG@+4`!a_8pE(y~13YRCfe! zzkLB??_xGX)a5uI|?^qKK8hyagA45Uz60nV{o}wo6D2xj>S!wXI^7o z-Ep`f^WLOKfA$%Ot6N6NJ z4%^OLnpAf>Zoqu#ac{%bwzs}IseNbQGC6ZuQr+8eBj#g|I}_K~!TOq{_EmAY9nIxQ zb??AUm`^?Ktk~^jeN$5V-Wj`{aiqGlW48;ARCiA7cEyqE&W+t0aiqHQaLEUkU-&sE z{$sIo{~lGtexaOHrz99IdrD{$3-`y{RwaG%1} z1FnT@1l*OlX25+K*9y3+aP5Hm46YY&pT+e9?sK?7z8IpDsBs|4Klan*qP0j?HsKg87o?nk&r!2KB447eVy6>vYn zwFAx_PIEv1bpq~MTsPo;it7d3b+~@O{R}qMalri&Hwn03;idui zYuqg0uE#|WFa7oJ23#`WZp5VmZh%V%+;4E1fcq^j8*snFaxO~9<0apmPKjMl3 z_a|H_;D)$z!2KDg?~mHlevOQ#_t=@7H_e^9>3N{){({r@N2&WOPTwD;?q*!!dRu;p zRCf!mexQBPAl2Q9YyH~#+N8P>Zt^R0Q&Qb+xNOnB$dT&)h8r`Vc--x{9LvjlmU{=T z@k?93CaKH46W2V*zG#u^?!x5{wl4~#y1Q{x<};5QkSzw7v?deGlPUhuarzQr!esIKsXt zlIkAD&6r0e`yc8a!F8B-J?`(g@g_^Y-Y@QR$A|ubn+DuJanYtr?|T%N2)M^^$$*>U zQUUikE*)_H!es*P-?(hRJ%P&w+<$QSfO`^G2)L(k#ekdPN&)v@Tsh#L##I9D8C*5s zp2gJy?tk%eFSqAqmE^RBDEZV?3-7qN38(L0P#5pt^!=;q;{BVxe^uShEH^o@^-GiL z;{99cNc#(AQr)fe4d@wq`bN0gZ)~}BQv2flTjD7D3rSL4ynpL4?|WRlf6M&VmYXHD zFW$fD`&`w<`?t!`wtiJoUA%uw9b+y{s*Cq;1Li}Ii}!D}W38`FYG1s6%N%DeOR9_a zZzJZZl{cEJU zc>k6@!CZz^7w_MO%tsy<@89YtT3>_IzIgvu+J4FX(PFoF|5gdOc>h)lxOo3o54d>$ z)(E(G|JDq+c>mT4xOo584!C&#)(NmT5xOo5854d>$HVC+Q|27P`c>gvE zxTo#gE*b}1ynmYn+_Use1J1X9<8-`e`rFyUI{=@fPv2*)?)liAWMAY+b&>sZc%<*M zR>x^bk-l$R-7@rzS>D96Tux_-nkU=(wMgybw5CYk->vSYEH{6O^%Y2UoE{ZTna@0q z)1IRCsn*vawU5)DqT*Z3l}L5Vvwl&r{hG4 zfa7$WC>d~^W)r0Xj?-+S>>F%*b0nuJM#G!z`gHE}8FxH!%zWZ;?(`Ywnpx{>k=o}@ zk8v))rMUvB&Yd3P+?4su$b(!}_ zPTzdt{!8J?+ge|RRJS@Vxt+NbscsEipZUP!*2Gn}x4s&weXql%bLKLnI(J%;yZ?sF zM}*4H9At?-5QTMw7t*<68C zw?1yleCBZ*;M%)bUx(Db4ROU?&6UXbbjA4j=1#YA_h0lza|u$NJKf5;F7uwpxznwj zE9b4RLTaBojmo*?Zst;?x=nF?<^z(`C||grH^WtTx4s&wZgX7vP3AJBy4T}|%ts!# z1+Kn_^)*ON%Y0$|-hj*QX)Z^q%i_k&Cmy#YuDO@>wMgyT3YXv8T!B=#HEzm$=5gEL z+6C+DklME`uDFl65~*%GT(qyb1gUO&T$g#z<8rw2e%4nZwQmPp^3CQ_q`Dn(edYs? z+X+|Q-}-8#_U(*IA7Cy+s@nxOWIpn^U2*!pll?i)Z%c_HcN}Ckf4=GYb?V%45a;xL zC+hx+OYjR;{Js-)?l_3+>%7TUs7I>11=rnozCrU_k5uQ5gSfug?rfl^Z-gu0ilp|r z;~=iDyq_(%LaO^4F8OA2DN^0-xYlmAer;0S9k@x}+>}&zC$7)(2A<{Kg{$sw>sKSS z?`~ZB0CO2q-557yKJvJGaPx&ikXt`~6bIEY(rKj0q64Fb*`2XTGFfOE$| zoErt)6S#4}x#J+NZxV1%;-&%Tj)SH7i?u%~l0A1~bE{hOW_t}foc>H7lJ#rrpXU!b~p|5n}0R;W&@i}!Dh zL+yFqB-O?Hx6IzwmnGH3`?nGEvB$;xx7=a2+&rm$@&0YXeCl!W{;g54^=p#a7w_Nn z{e$Y_{afpBTfa7`F5bTtjxbjw)y4a_8S|)QUR}I@>oD(nT)clP9cevfQv2flTjD5l z1yWtSf15I&d0f1I%k5+LbDq?`c>gwGKJ~bG|JK^q`r4%S#rwDR(Y75OQeC`%D;{I6 zM5>GTZ_%;l5~R9#|JG&R^SF5b7HzlW>)PVJ#rwBpz{UHwbil>?w@kpr`?qYs#rwBh zz{UHwe89!~w?e?h`?q4i#rwBXz{UHwa=^v=w@Sdp`?qSq#rwBfz{UHwdcei|w?@Fl z`?qGm#rwBb!1?xX2ins#T8I7HbMqbD_VaISegCSu=W*rZ?DfCXD=aR?Ua#N%_cbwn3KJ$Ucx#RrK>HB82&mHG?u3EO`)<|{kIKOl0 z6U=2ub?!L7b3^7Mk8{WQovWW{eGO9k+;M*AvL~6#k?P!We&^cxC12MTyZLzGIKOk9 zfLn>aZos+Y{I0JTaIe7i1I``icYS4d;BJ1akVU?q7yjq`k2l&lO1cAg^IM8km&f&) z4?J!+Ty=B%qDE@p?zpr&z&F2TNOf<*4VjNTZVz023;Uu$YTur?tUC}mzvW1Ed*Q~+ zC*(nL|Lu)yx&wyuTZ>dzz~#5JFAAi(eQ;CeGmqo2%>3U!>@Rdk?c0yO;@0*>iB!ko zj3{yk7U#DFd9ZBn{`7U3_dJfn6j6Cw`wJCP`wpZp=?*~7Zz)n8hbf{y^8tB?tlvTO zRo#Kg`K?B(<1j#!b_Xiww+yL{U+?Gt{$Xz9afh^xuhtmm$@;-IQ}f<|B_g8CU0put93yDYz^zggH{( zskkxoiO0PK*W?AWMQUFKm*)kuK&pEyZpwV-ai`(hys&mi?K>S;@~ literal 183744 zcmeF42Ygo5{r?{~Zrr#Zap9@_7!q--P~RTl?p}_;T(&-}n8VJ?=f{=9y66 zP~SK!J))|9T6##?uykHtCUwS)?^J*B)mL9FOr=tHm84ROUt2A;q&AhBbHHk;#b>W5 zbFN=4HRrL_QVX7oW!_J4{rqaFdAN^q;cBT=HKcIuRO;BZQmH;`z;JLpwhcVCOQm*L zKUVAjhjRMr@%5T;R)dYz_+N^WN+F70(IrA3e@#Cg2&(6O9gFm4gDHk?1IR-Lvk7z? zLVgBeI(aZJH-+Rwn7?FLHt#@`*$mf=D;ZX^jUk^wl-V5D%sVnWL83B40G{awzbzmK zLdYp0lJVrrS5AKJsu8n{&eqIRM?s2whhw&@L zHN)hA)6~x)cc74Y-+mCruPR{PfZvZC!?3o5ObVDc;rFm(4#qj#L`%TD1;0g(ITYu8 zAm|F(0Jk^M1f=itB-n zxysr3Bw#kf^?{DL70kAf)sbIXrkjHq=a{FUXWz4Fz-$5L+m2y-VBfKGz-$So#xXyK zo_$AQz-$F(vSZ$ben-fVfawFK!7=Ya&pfOMn61Ga=a{9?)6S6r!}>kbF~5dB4QUaE zeZ;n4&UFks_MIT?|22Ph12fMt>*KsHn0W!SJNy?oCXI8(`3J(_vb}$1J-i6AGvscA zxf=RiAdeeN8T1?%UN)G)(C-HMmB9>wes{=ngDHoeebV|!r_Uc;=A>&luJ?fKU@(V3 zzb7Q$VA%ic1sP&6heN+NWW2!~0sXfi#~94n(C-5|$zbL{&;I5TgSi0uJjhK3b0PHk zkcSNBOXv$AFBr@}pf7~{5)Aut9y>$&q3+!dzm0MJ75?KGv?m4+&)~AXyvQ`-KaQ6J z4dxmQHpO5@7|eZO27o!*VD1OQ@vg;S9sn~C%p8MR2xea}*BH!$U^qVAV=xba*&oca z2JtfT;j;tiikp z=1?%F7|aqdm0&J6n3up*fw|RSUIsH3%;N_03Yc+VUNx9k!5jwWHwN=MnDJo#X)r$r zGXczIm;@)4>6>6UuJkjQx4>{*Ily4v2J>w&l?L+*Fh_!!VleN3;k@<)gLxOs(O@n% znD@YB!Q5mpOTkP8^RU6Z52hN-5`(!2V@eH}Um46?Fq6Ri&0sDDQwwI}UdiQk379%C zyBN%`!Au4-&|qG|q-+Y9(FXG}n5keU8O$qS>cPx1m{-9Z1LgvQc@505V6Hcq*TFP^ zdB9+nf|&;9rv`Hp%Cr&8`v!9|nCW2tY%r&QX#%tUy2<5r8kpn2qz&eDFwJ0!4CV|l zEnp5dn6tsOg2@`p958KQS`FqLFf+iMV=(7}nF;1vgEk=?0g4xbsE(LQEn0$k|49v-3h8oOOU`_$UIW6Bk z@Yor02Yvcm|g%%xO=9-!TTmIqm6SjyD+2Y0m(2k->0IdnTA0 z42E;sv%oxPFr3q#4dw-d;hc63m=6qwbJ}yjEH@a=Y0m|-;rhvW$T{tKVEP&i=d|a8 z8DKD+)AG4!q``1bdm)%=gW;U^A}})yhI87vV9qlb&S@_OGv8o1r@aKseFnoh?WJIT zVlbT3UIykpgW;U^axh;Q4Cl00fLV8g~-=d|Ag(`+!D(_RhcY=hyP_8Kr(8w}^P*Mhm*U^u6}4$LzK!#VAIFmD?S=d|Ak z^O?bLPJ2C=wKhyHFV1Oy0A?G5;hgpcF#QdNbJ`oh3^5qaX>S5^xWRBvdo!3ugW;TZ z0hrSbhI86mz|1ok&S`H2bGyNCPWwYJPZ$j6w6}rzxxsKwdpnp<42E;sJHV_4H)%}a zoc2yITN(`Kw0D8o%V0RCy&KFRgW;U^9x&q!hI86`!5m{SoYVdY4Cii1>EfLBJ}?}g zlNiov?+0^>!EjFd02uc7Np?7=T?mHlBZ=Xh_CYYq42E;shrs;9U^u6J7|f=~r=+l$ zFOPuP-C#JUeH6_82E#e+V_*(77|v-Q2Q%4VIHz3%<~s(%Iqi?Zu&yPgi*wp1z}#ps zoYOuD<{^XOoc1X&FB%Nzv`>Ti&|o;HeFn^54Tf{tXTfZQvQ0`C=d_E#>})Wc(>@2L z#9%n5{Rx;+2E#e+^I&QWhHd7jU}hQ&=d?cqb3T|Y;QE7Wc04wP$4-zJAm0VEr3c`~ z#8+SOUBv*%i;$bZYz5aJ%4`qMC6EWf^zi^(&oF$-OOR*4Yz@~Ro}ujq$jcD6=_F=< z=wE?+WH1NN2IOxBb0GAuK{nhZIjn=Ae;txGm_gA098zd7rO>|t8EPe++YSn z{}!adV1_{dHsoZ3DTn?SkV_0^DD>|@ZZw!-(7y{=XfVT}e-HA!!Hj@@Ddb&)IT-r) zA%8HKL!kd9WKC4=r1~=w`VSym8O$i?KZNXQFr%UW732Ve83X;VAr%Hw0sTjiNd|K$ z^vfVK45kwL-$2eWm@4Q$hFonhW1;^oR^sG z7`FE{z;Mjn7U%x(VIfO$#@y{Z09TpqalHh>ahd1-NMd&I0Nl(Q9-T1Eznvi5GxUtX zuq?P2VD`khKYW=YOQQP8y+^DYdwBq^XIOuHoxd1% znCCp!fi&X2)z*sN8y~v?=GM4=AYj_y_btcFbwxjd4C;UCh71Y}5(U;+O})a1Ya#oiMWm6U;tf zjyITn!1M=mk-^lX-1ETPU@#Ye$p`bG!F&#;0L%*p^G7g+U_LOIFTiBLEH{`xfhhvB zAqLm5-|*%2XE4QJ`Wnn%zzhJxzV2LhJUH0bodmzDTszgiPMI^oJmVPc>pa80?tCzx zJ4X9D&oDg3cg?js`nnR_!@e$LHgF94x`ANW*M-ccj$vQ7FBtZ9A+x1p*w^g`hJ9Vg zY~vXAb^C*1Ul%evI);56J}Zd&x{%q~G3@IO1Tz3-5i-8K*w-BdW|+aSuNwsBFoR)V zR|=-iVA$7{foU}u_H~27oNh4e>xO{2)L_`xm4jJeFzoAwf_c} zIe+noru}#fdRgf1Fqq@OOmun3cJB|hqxC0=(L79IG!K&)&BG){^Dv3gJWOJI9`c%1 zGD&)dUDAbqP#5|=yU=I4&=+-~FYZE*<%#z6y)NfW8_SFDIlTLk=$Yrt=K{J@bTm)>-fJ*+TEfqeqlJ?_MJM5$;}0)gl{ozb|?W>Ct;+ z<`1R^k)7qDXSkTUNB-X32o>Ik&-Brz$_}Du`q1p7u)W(~^ekV#mt;8JJxKJl&vfr3 z?o`oJ$M=Ygzjsd-J?jM99^>cT3q{X5!S`Cc-@8m355}DbnTsIw<279#s=vAmeOVX! z!CmNCKN%*RPQx$nLO;9<{UKfG$8@1*JG0_KzAyU@?+LVrOQ`U|_zvz=S<|A*)| zK&AEUt?*~Ra2+axcd6sy>j(Y$T^Idu8o8mK`(G_R_r6+s?t8WL-1BPbx!={&bFZtV z=RQ|U&pob|p8H!ZJ@>X+dhTA8Q^(sS>srRTm? zOV2&4mY(}nEj{AAPe(sQqOc_zD}=SH9uocTU_y3mOT3_LNaZ1wt zeD~bYg?|4o^apgIKd=k^L0#wvb)hfqLT|l)X8Nu7&(vG*pQ*RrKT|&xV&(s^F7(#> zYue{Jhh_iZF7(#>Z`vQ(#r>nY(2wpyKc)+PMHhO03uC3fvI~7x7y7YX=*M-TKdcM= z_%8Gly3kwe4=g`x{ek*#cVYj?F7!urp+C9{eYOkz#4hyJUFd7N&`;_@U)zPgt_%I- zF7#8n&`<3`UvKESuF18>r1n9*)qhcMwLh+l_BZTv?a>-8`j-OoM0o$0ZZ z8R@y-*V1#ZZ)f_o-2L3=8|rD>+vgtN&h&UK9EH#Qy`dgSjt-`udwVTC_w`zO?&-Dk z+|O(2xtG_{b04pz=N?{5&;7fWo_lvKJ@@TedhXe^^xUs&>A6?e(sQ4#rRN@9OV9ne zmY#caEj{<;T6*ruwe;MNYw5Wc*V1zzuBGQ5TuaaWx0arJZ$tg6_TSMztZM%&)(`DJ zSG9jTf4+(BU(1*Kro;Y0_1rgX>A7dv(sRGCrRQE@OV546mY#crEj{-KTYByd_WHP2 zi1+2fY-%;{0oOBr-a^&t5av}9$GJBTa-44^as3G4V84v+dIgUD40mq`4_;$hk;vr{ z!a)wpn~7Y85Ds#jD~0j%;SMB(gV&sQg&d|}QT#ZU=0T48c0-PN%5;o`@F2%$kdX7? z^4XpTuQ?Y^;%W%tAjkK0N!&C-ILPtYi*rntjpu#1ZSdp4Yd+&EN7i#E;+zMs`K;&d z&`;~jne@Oxj?ZjK+y#VikmIvg5_bh59OPKXLXQ5-=NlkAc)cSeiMxjo4svNo61NCC z9^`g{Byleg!a=StB#C>65Ds#?Kthf>rsMZK!9i|UND>!4#5is@gX2DL9<;YRB*|V{ zIJVIwj^Cd0puIgINnELLdl?+R6XQX9Z1YL>_}vT-a^Hd^aoiWqgIs?|5_g(#>_d{c zD}>88xLbuQFu2FS5oP??Po^QhU3!=GV6kwR*5`1%mo~`xgYA|=e}AxDdX{}24>G(j ziSzw9xz5G~Z|`MifE?r3nLUQ{u5j!-(-5Cd-b;JrJ`@g*v5EGU3CHmx4e|D9$J_f< zIF296FAz7)~a0^-cm zE9!U25m{e2zNhbuqu&<7acoNBc61!`V35J>B3zll^%HIgIF>QJ7(VL-)4}t7gd2wI zG{kef$EVlFk9k}MNlJ&0A327b#ARH#fIo6pZ&bLc)VLwDa9yI0KCH*>U=brQJ zl3du%IY090_3e@z^DYhX>E(Mo&-r#lj`<&^176X6zWtI5+a>2)JfbX-d|_T|`EtI+ zgNSeEw8#8ULwx)=-{QgRVPdbdc^x_C{}|yIj)u#584q&fgkv~q2)&qY&dYd^t2Q{k zL+3%R-rzWY<3WzUEyaWB4a4R9jR(1tgk!wZ5PC6woWJoPceZehcN*e3zN6vl9G^#ckh?*+opH@{ z`}h^&oCi7nE+7x;+3%3?oPU=>?hfJDPo#w_!Z{Ce4;Wl=M8(|W1~)*sXAQ1IxFrVX z-}NwlZyMabV((pp+fTR;3~qnners?C2=|3>>}Ll-e0dxwT=c+)YnEHc9VFbkoQUA) zj2jeb;_~QiaHYa+D;)cG=BbZgnQ(oDWBa_??(Mcw2M>?Sv?o7`C8I9?>B zgTF1vgZXT6Q@Y7D3CHnA^La1>!a={8!m-~;LwtD*!8s3dCmUROM8(|M!sWq#5X9T# z^AZo*yF@tlPdcvhd5#CUtA%6##7l2)IL>*HyFobiCrSByTQ|8M3CDhiVfb+Qe9eP? z4++P9Ck^o&pXYdxd&1xjiKv)+&fxeQ&V%;+a~|JOFdxG7vKwGL{PR9J#=A3)e*Sr% z9OIqD{nW*e+~@?3@q1giF$VXsa9M-0VG zS8H%1gsU?+{!LCEOve-m`vqUGM&X?0OJtI8Q*oV?UjEJ{58A7TBys%Pn>@%J14-iM z2zRW(%@eM{;BFIcn!!CPT%*DLOt|R=_Y2{g4DJ)*jx)Hw3)gIL>obFK&}o4rE+sT8@Y)Dc%{QJ6%!Q8N6=N#`n+1Vp^ zqrv(2dgN|0xHH7w%?9V+|Iyw8$N6^2{*djG@$>Kh$lU^t@31^K2Irph@Bhf%3N9^N zg>e4;AGsd}+@X%6{qrOpx4HPiJ390||6JktTSc9f2mSavsXQ3&9R~MZ;qElJ`NG}h zIG-<-2*c;g4Z__GPV=uyIQ~W}5615vaA~;S-dLP_dt9^ULGIpw8wWpfyzf5Ye&pf@ z@95C{ds4Xj4DKc2?oZ$t?wi6rU~umXw-B7>%VDtN)A3v39!#*u^8J%=4;kFwg?rfG z)>OkS1 z1(z0Xf^dfjw-}txcMlhCyl~Hf^X197A0b?=a6bXZxcT^fTexQ7o(D%e{?Ph-if}(o z;AsCs;eG}#4L=|5kvRAHa+Po|ID4KuN;v*zFAuiM7Zbu|obM5CiNQTC+)D`@z-Qr-SoV&;3%kSB-FgFWhSh9Mk)yaBl?ho9MzNw+<^34(8vR z;L>n?{Hk&8%VTrl-U6rfuSU4EaBqY2>0?-vg!`6ozW}HC!uhWccR%6Y0Y^Lj(E2w* zxOWpchB02a_rPhn)j{v$TP@sDaB1j#zDyQwnsD!f({xM`Znkj0G~#!ra32`lCBl7} zz%j3`6Ye8}yH~hn2KTIRze(Vj2d@eDvB7;H+;73@cr;b=?~lT@Y}9!@;MDo=!nGRQ z8tVea!Fu0jaGMA>!{BxhZl=NQCEP578z|gtgDV&Ac!R4H?mGrIQMeNfu2DGty%^Rt z-#+Sb&UVD|XcmrtH%7~s&xf9yB^>{LOd2|G?^xkZ6zlrhR(;2&xf9SNI3qT8#Wiu@%hkm&j`oAcSC>A@%hkmuL#G#dqc)^d_MHt`@-?> z-=u}(^P%TH6^?%gN6U@Rho1YZa2JBpeBtw<=hj#c7dY6?FACy!Jp4Sjv2gr;TkU;E zxNU^v-`Pn+=hJ(FaQsGx2gBvx+tGYJ5q>`0LgDy#cj)i!og~~K;rRD=G@nlvZnSWh zgVS`JBHRSwt^k*Y&WC%daJ9l+8H9V9a81I^1E=libm2}Bj(;ae%l8c7E)ni~j)OWn z{J78l;t+z$-y zdEss_xFy2fXmGCycay=rDcsElw^XU+-Kmz{?FT6e}gy@%$GkH+}6Ta&TlkcY$z63HMiUN%_Lx4dTIk`J2HVFWlb^ z?i}I1G`LHI`wAQ%>wP*d#JMlG>xE+^mAgo|dxTpP9NVC`Hy7tT=(k9?wZMh>;<;yp zTRX6KF(DkZ_o{H~81~*0Ze4@>rEu#R+$X|qU~v3qln0R1h6eXf;WqAs^X0J~o@IH^ zUT=fjQaJt|dzjDO-cG`8W^j88w?!u$V~_Kpm= z?+UlOa7P8)Rl*ercXYsgPq@LtWdrVN;VOii7;x7Jmldu$;I0+!7~xpA)6n_yy-qm) zPKfz3Dd6S{=ifn)s|~pC3&&?!Uv6~)cfD}ef%D}y8QetZeEfbO9Os6fn-Xw02=|DD zJ2eRRM&bCkyS=@7a5|pfBpm;Sv`@#efxVlB*`M!tYHU->m!u1n-#|7N&!VM6vIpFRPZZJ5XjuvoS3*t57 ze5Y`gVvl`m8amJ2C0vbgZ2@<;aK{NZBjD~4?o{Ds2Hd^E@o#nee4Z6>KN9X*;bsTi zeZt)W&gaYV;IzKnFWf_7?>m9L2ZVcC?41Bk>+?e4UJ`pJ2KF8l?rq^t3b==aTPEDe z0r#+Qe-VyjVj4PMzK;mE1~S6u%c;eoEF%7Ot`+nogQ$H3zsk483DIQ zxKiQH47eW)S0UV40r!M(M+(vVaBm97xl9^5U%rcl`;%}N1l)7Nt-nb}{4NZ*p9r_La2EyK^TO>Z+}wcssc`!V zcX7b|Ot^C4E(y37gsT$n(tvwWxJlrAy}t}xALxAkEfH?E*tD=hHURp<=}j~{C*I>cZ54yxDPP* zNJHoCy(?V3aQ_Ur_k^1%+ywO1YHz7<7YKKFz`ZZr^}-zyaK9ApUg0JO+y}xvDcqER z`%t(ygqs?0zY^}7w!co_BmElbSi_~o+F$pPaMu31Wx`qe>we=n*0XQg{yNRSk0rg< z{<_}^XYH^1L^x}I-KUOY{(Y18*Zoe?v1)%EGQ%ByJ&*l&PwcPzJ?!{)Ztbu8OgL+Q z-5-Rr_SbzboVCC1kHY;s_t$+P;fDL`w4MJ+xc|oeb$@p8V}D@nultK||IYn&%O(G; z{dIp8&e~u1H{sHpP~pH3oeKBY{T+V3-dp?Yz7+1?xxeloE?kzIwZHD4!dd(4xMoyS1 z+F!S!aMu31jfAuI*YR(&v7NKNg!}8X+oyb4+F!T1aMu31 zErhf7*KH}BwZCpF;jI02eT1|2*KIAFwZCo~;jI02+X@%%uha6~PPkS3>(Ch7;m1q1 zk5&8Ye6Hku++WvM;%Duz+gUhkf88#^S^MjD74Dn7ziu~4hqb?Mcj2u4b^XBcV14e1 z{dIeYy;b|`qO6Vn)A4-O{<_?J;T7xOf8+kTJyD-or5QhKf8AcfS^Mku7S7sV_buV9 z{dM~YXYH@+FPyc%E>AdXe_g(C*8aKz;jI02g~D0;>oUSw`|FB?v-Z~&3uo=G8z7vu zzpg|$Yk%E9;jI02`wAECubYcH$@ghMQu_&K?XTNkIBS310m51P>kbso+Fy5&aMu31 zLBd)4>-aa;@h@tZ*8aLO;jI02gN3v9*9{TQ+Fw^LoVCAhsBqT)x?#du`|E}aXYH>W zA)K|p?qK1p{dI>3XYH>WDV(*xZj^A={<_h^S^Mk82xslD<6aK@i|UoNzwS`sto?PB z!dd(4s)V!l*Nqj<+Fv(LIBS31VZvGa>&6Rb?XR04oVCC1aN(@|bw>zi?XUZ`aMu31 zBZaf}*BvFCwZHCY;jI02{0#^Ei|UoNziy&%*8aL`;jI02HNsi@>m~_j?XRm9&e~sB zC!DpvZnALJ{<EwZD$P`GJ2? zy|VV#O&8AEU)Lm@wZHB-;jI02&B9sx>so}f_SdxvXYH?R6VBRSH$ymUf89*sto?Pf zgtPY7%@)quUw6E4*8aNh2xslDJ3%;Wf8B|~`M=AF?RcI3{*hnb_rJeDZW{*QY zmwx_t0my9&(f4a70r2+x-}@)G9V89$^WBq$dzVIWklP-ThIsB2r{nd9!tH=-cZsu( zzrP!CG=yU_NFgK*@%B!|O+J1f8Qf{YeQa>23-_tPogv(3!ew0CeEiN7?sMUaf^?h( zjtB4i0vs=y-U3J(;_aOc1$BJ>{ZQ<2PjedLxjBG3%a@QlM>tK#*09BJ{Gs(l)4_U` zhWK#LbvK3S*ec-814oqU@b!5Mob%I3X98y(>kIVr^_d*D?^&F$GtLXh)>4_!u`$VGdXOr&*3fD^p7wsYk!5zhbpY}#WxXBqkUT`JtxVvp@yxyyvxQ8>19 z z|J?_scTa=!zxzOLFN5>H`#^4QgY&=pK<--xH$>90kHPuheW1Pm2IqhGfn1)!`QI%d zmk(hX`EvWNl!yP_2XY0Fr1BUc=_oX~Lxn3&;Miuyb(1@yn_RY=TwOQ0`fhTK-Q=3P z$<6F0cY<&OjC?+=o7`F5n8WJZgMYolY70J+*{q`-W6`3QEne}lk>li!~WE=_p5H&Th>kP z({6H~ca!@|H@Ppn$$iyLZjH^6aX7llzqPx`ttZ^Ru-n=A#_?#wZgRc5$!*q6&Od)} zj?HJ^Fn)gi?C0a;7~dprOBXIV&cVp~asMjZQ9-oiW5Ffv5oN-=nFZTF+loakx!tr@V!@X9*^}p-Ja9I`85FhS! z67H^IkEcoD?janXYgu?c?D_5{Uiu{`ry|!`1gC*W)H%zW(>s z$T7`H_WJS$9OSI`H2(L?$np6t$)5jxI&yq|V;=i_{(;2L|Lz<)KELVn!VSXt-}fUI zKEK^4od2Cda(sSELwvY53Ac|cH*#bsp1WB%|GRzUb_%!!!WE0Xz5#cOaQ^q6Xm4i- zRP6_!FL}Xu_~%e^ojt#K&i}q2xm_W=*QeuFXPnpmcl*fk`Azfrhr;>iZgPBn^L3fX zZNmBA8zaZ(w=~3ud%JM{_r}Qa`AxYyg!9k8Q^X=$BSH9%J_f7W*H&{5fk2J*R%e}(+--)BW@IB^_!13UH{&&g9 zv3(4JczgE==YOY*ob?{l_d`qrS!V)goxkTIXZ0IVWWbQ4B-tD8@`X&8FZbgnpU;pl@{)C za6EXQzE|D}*J#ruqD1+~o7m_kZO0 zZdCifCxr9;I63w)N#Xi_oSZeD`~HudRsVcHPA=@Po|O3c-$x|J`ZoyT%k3%Q{O?PW zqojpx`YZqblw4=;W8ody^S{SOE)5w3 z@#$SG;ribJB)1bJE!=a$`S+~k`a+xvXSwS_{`dXJv3=Y&f8<& zh2{HGaK4`UbdY2DrXfDPKNIdtXPX?uc9%HIO-FPy{K&Cxx5Vk#w)}G=ZKWYjg|m+Mk@L@uU2*=ou`A9$H+IGO=fLW=bsz9 z;{0=CSDYWuSu9)^3iHp`7vE2k?zc9E(e7S&w&-(8eoPPiIuEFW=f4t{7pD%AB9ll=a z_sL7at&i|M_m*(_ee(O@(!#whoPM9ozv-adFC0hv`uFcYaPfn8bm%-s|Ni}l2B&}j z{#OR4fB*j12B+Uof8;oyFYict_50~%;57fZ7sKbXen0&iaA~B}+j~zq{eJr6fLrP~ z-nUfh%Wqx$qRhj8nt%HD`9Co@{oeFbgVW!0_?^M&-z(s6Vj=47hmRlAp}z<8nZfDz z;(q|A`TV}*%VBJ|IM|Nj-%MJqy^r~&iv;_eqlEhrCohPh?~DB27+yCBcOSUj;pfZk z*JAGk;U1tp2pu9H2{%W$g#qXHL@?aTgnKC9ek1l42={QneJtD~!aWKujWB#Vek z7r|+I{~-1%gj*7De(uU}j}Yz^aLxoYx#c@WxK|BsMmM?hgyV0NC53xcH@Q2((FW_m zn=ZX>s*>|N^Y;t)mcczP+}j5Cyu|Mv$NBpAM=6h2g?l&Pz7Xym;g*79qP)F73HOn3 z?+4tUh5LhWzYMs)2)8;Xn>d(%AA(zsNs$kCxp2LO`&GdGRk*(3cu9L7gGl)md!mVd;7Yet& z<9xaO4UzTz+GWCR5O9AN?keFnG{T)P+(rg>lW-dw-2KA!Hn?Ym`y3k?wcq8t0H5A> zg!>~nmYdI)e+c)vaQy8Fa{kcrU55`@I9Lz<6omUvvA2V8e-6U^O1MJd{$hlyzk@Iz z^CA8IjR|6WS^xAq&F_ONfuB$BYQpJvn%4*1>cZ*wgFgV57JGaq_u=aIgEs`+n!@RK znl}dATEgjfhWwj%8t&S{>34=V2i!Ws>34<;0E`kmn|;3i6X*A-5`GrTq6))P*@ zGyGu??)t*%cZRotLzmTFZW{B-WAyEEu4OjcsDpr$0ow*_lW#0;IxFhsc`x|;=KX4nQ;0&;*SDubK&%R#QOqn z3*q#8#QVWbkoavWoPLk^Kwxhx;q-gNg#p(`IQ<^+!GPOZIQ<^+p@7>)IQ<^+;egv# zIQ<^+k$~GyIQ<^+QE*ycwiiynM|>=>w}Wu{JtBYWS?de`wz2PD^n1ibfxWbF`aR;0 z18yhb^n1i70?J$(dXcpNB-b* zkFU?C3&(f8N!+=@ePM7{2=^z0yI#0I8{F-}{l(xG3b)+go&@LX%a`EN$Q0%${kX@1 zE}ysF6z-n^S0UW*h2wACr*W^hcc^e{V9|}X*}tq6aFxP+)7GPO{k#h4V4Y(+t@Ws} z!ddH4rs=1`zEhP zO+h-?|FItYJJ+M8O1Rc~RK4W0wH|eh*t6E7jum^>dQ^jOtJb4BUnl8_^{8o*&(?ZW zqj1)G)O6vj^{6J{to5klgtOM8nuW90qgsSpwH}2|#~r$^@pZ39>HMV?<>C9ka6L-7 zHsQX>>rpc#9oBl(OyPQBJ!+QNv(}?#3)d6tQOCm`=S5wuM}0>)Ydz`&;jHzj6NR(Z zqfQdeT8}zeIBPxX6ydD(s8fZr)}u}nE?ke&b-&Yvv(}@|5YAeUI#W1nJ?bpsto5j~ zg|pV9<_Kr4N1Y>_wH|e@aMpU%dBR!iQRfS1tw&uToV6Zxp>WoE)J4Ks>rr!sv(}?7 z7S39axrwNCv(}@&FPya=b-i%bdejeuv(}?-5YAeU zx=}c5J?bXmto5jyg|pV976@mpN8KWvwH|e=aMpU%4~4VVqiz$K^6rafp8(8?Hy)E1b0+^&{b|^{D%Vv(}^T7tUIbdO$d9 zJ!+wF)_T-~!ddH44+&?jM?EZ@wI20|aMpU%qrzG1QI82{tw%jBoV6acNH}Xf>c_%a z>rqb#XRSv)DV(()^^|bddeqaxS?f{H2xqNFJu95G9<^AwRqIjy={(+d?VqEp^{D40 z|E%?>p9p8IM?Ei`wI20T;kM$(VmP>N)gOQCkn#41f6qX_ZH3D-xE+PdH@LpS6&PGU z;R?Z}5f?;1is#R8;@6}05iS#OFYpE&bP9zlGQu4sT(QCF_cH@J;e5FI{Y;6$jgoK& zg46x6FG_m#JD`0#vFGzezsK1xu(w3=ZT;lhDaHoOOdhmvDPYHK=C*d+dOvj7Dondgg4|zLqCAin;-WN#;My5I3# z;l32EFF0%kXix8Z!mWdkWqtg14#Hh3TyNoa3Ap!#+fKM$1MZi?>2uU>0r!D$dx*W= z1MWlN^tqy6!2L=%eXiIe;C?OKK@#qs0r!z`I&a@A;Fbxe&kK78+;4n%Up|%e>iAI=aKDrE>UVs{flIr5VBY@TaqKtr`@H6a_>t4~)fR)(@Az7S z_ z!9F7`w?Bj9!L%G9+(7p|4%O8CMYtN__6_zeEf;QzaQiuXFclqaM?9hJ7UA|cxRZrD zAPD!bE?kz!T;UD`r|JEhaPz=1eCEqR&K}pKc=-6yp5`BaV_NO~UDB)h_b@oxrkd`T z!fF0J;==XZKZMitJ{oZUbX=I;$Ab8MC7h=Bac8fq^sa_)(KWqMr{>ahmE`m4;FwN- z`23@vmIr^cTJ5dIP7(*xq48T2TpE5|#jjsrZ*^yn;cEQ$=w|%(4D9*8MHj|zFC%`M zjikpTg%|i z7jA8XyG6Kl4DK1>dKugY!mVp?Ixd9c>N-*nbX?%L$~xfNTQA{sTnNY2b%pzPj;rgr za9Izu9}maX^@Y>^?_V8PH<0vd{&8H@{M%4C&A)J5-AFiVT=jpuHB4_fuJ%qy@4q^( zZX)s1aqC|lS2svQzVyVnx|P^lHLk8W zk6?fGzcQ}6qDG41gL5GE`APo#TW)%gPs8KC%|9vrm_p_pj6bFwiSh3#JO8QtNs50` zd{&lEvh%;{@1H4rC1U+yf6!C@|EYY_=OCty>GJbtpH}t9E3lk{Jm1YH`G4K%;&YWA zj1SiolG62c$LBv~{~*l-oHHK=!R;ykm96J1n-59xOsZE&{Z&#tS2jLLd{TW2vOlVS ztb;2%?j(i#b=yyhXHq^V#WShA+$+-dWUzkH_R6-?b_4E&_fwMMnPfkS|GLw)vf(Dh zKdHQu@+XN;N^g??*KI#3o-3PgtLmZMzE(Crd#WDxRQ*Y+CrSQE^`WQo0ZZLE2m3dM zndF}|P9^zYlw&;}-}&vzfKL3mHzdhFY5YoR@2mXn=iF>3`9BeUN&ZRsnN&ZM_?0ck zm9?J~?#lX)?<9XFz;9*keBJ!2{Iu)&*PZ|WzuCv1S;{#$Uf@sK{MWUMo+_85{wK+Q zOfcbK{#3xPr{eS9W+!PLk~E)L+4z6m_LJh76mC-dlj=iHJwGPJC&@pkhVAdilK8JX zT`L=IQv6r8yps5&^d|Wy#lNTQC)r5~Hz}U0>fwL(`7x=ylKhj(J*j+?_$2?N`j8Z# zq;QkkW72bCl7G^3Vv_%==g0rf`E63UWOHVR@$>#fV}6)aKa=X=s^^`atq-g6vuE=& zsot*gkMnue^P|1)yz2Qe-p}*j)?Sk4KmTp{QN zUP<$fBt9uVN%{75^FEJ#_>6ZN;;+4)`A`3(`jC{~r2JXgbS1@SW&OV?@l49Ml}&FF ze~>R&=dyeU;XH}&DgUJU(^K}7%6Db$C&e?V-X@jTK|PeuN#U-n{iJv%<Y+~tpZh2)%m1&=x0BM9^%>?|KMzl;Kda{3UwyS|Uv#|BA&okdR8Nxp z$9GcS{u}c%DgNJd|5fwtRnKK?g6!whaKG;Q{GXa{uWY)K<_SsuN%oU?=D8kzexiOn z_x@i63YHg@N#Q2>C&eeJT$22g?60hU(tDwvnpdoBeEwJYq;gO4Ps)dt<&*66lz&ou zg67iRj#f6@B>PGJ|EX}3;` zH>F0^H`b3DQBhS`kgYnrD%)CXw%JDUC%1*#RYmxn70v z3aAXcigKv@0q`nLO=_4v>Dc+dO?*Ui(?9wz{=C+gv>@o7aAt znug?0t8Z#4ERf_zw>U#t*vrnWKR#6hcUs-F?3B93?2M9ZCYwn$P0CK2Ufb4Cm(SDY zx+xt#g{f(6vxLa-x*%0w+kQv=WL)r`cE5sD?WDGj%fb}2^)0P+%^iN3j?DZ9`p2hws2t-K@HfkZXCxpgVjEQq>J@a$T63THi2TJW5h6h+M}F#Y|A4cofMw zQj47Od4rpH$pAaN3sTcyZ)Qz91r@FcwR;x0ij|)!%;pyj@K>x^MFVpxL2+@msH7y< zYXH0gsw7)9FfW%H2(SDcuK@+{D#-OJf>&-$9KaiMYhnq#igRupm0|w;5Gq>#EnGet0(t~FKJae-Mo`vwtEeCjJ;F((x@I;CRgee+;6b%Sd zGyo|Y5TSwF4WJ-sU+_eVf))l(q-bDRMgx(epy7c; zih`yGPoyYleDFkyg60QLM1EjcMgtl7po~iL^0S3`K^c|g6~HqnqmsNrcm`!ul9z#J zkfM^jB6tR6RFYQ=&!E{NYOx4 zhQj+>_R_Ltqk<1l3D@=w8SLm$r z5&0E5E5>t$&Z+=WTA{NlK$KSKtO`(?D|A)`i02BORRQ9;LT6QgOkSb0!sHFDHmBea zFyk3in*oK0=L($_W{ia^bXJ832%S|tJ1mR_jKcOQVLV_2;};@Zl+6@`@xXwQDG1|% z0Rwd-h%p8X)Q!+{AUt#H3Nj!Mo?%_VfRQN->k0;pOkr48FkoZ~g9ga~BU6}L`=bFP zQy8WQ14gDWOc4f*OeRbb28>K5Oc4f*OeRbb28>K5Oc4f*OfbPfIU_~E3Q6@|g z`iM+0?*NH1TA|?3M`SW#iZEbgioz6Oz{nJZDZ+q}DGF1B0V5O4W>6oIB1~tri-9Ln zR1}sG28>Kmm?8`qnV^Tl?MPA3L%|a%3VJAbBJx2G1y4ji=%LU=5YM28f+yk`_D~!! zGQ~lPIACPL9*P4-ChVa&U}VA`iUUR_?4dYdWWpYb14bt7p*Ublxzz@qn0puGHiT%v zC@RR!WONFck{5*41_MS>L0D}tU=$UEO&bG7(F&av28^N=Ix7qqMJsex7%+-f=&Uec z6s^!%F`g@QRv0jfR_LrSU=*#;Sz*8^TA{PTfKjwUXN3WyXob!S14hvbofQU*q7^zT z3>ZZ#bXFKJidN{XFklp|&{<)?C_-oD1`Issp+ku;ii+@^I%;A})IGD;|fGW<< zZ7vv|it}?D3kIj+{M@F3p{Y1Ox1nHQD$dUx0WmBU2hARXQgP7e(F+v^O&)zvanRt= z0~H6&9sN&n(AY5)6$ecn15t6%&@l`Z2hALVP;t=6F$5I{O&kMIanQgq{1gYx8-q`A z(6}-56bDTk15a_#um>XcL9@n4R2(#Fj6=milg`gW^n(_ikD;kJXwdl>nTmtk+j1}oU|SBR0Bp-0>B8GljNEwnm96gVRm}9Z)~2@BY)fnPl)8wjNlmS8$+k?N z+*&tjQr4+?dyI7QmM4*&*<9aRhhSs32Ii}4aTz%^coSOR$~QsNn`fsQrcWtop5E42 z+t!qtG`*przNLP8V|LQix=F|S7rS^^M@ga+aYdAmp)DUBh`-FBqM-Be1&EO=A5G6+ zqASivvvV(+3kvvlIcReQg?#7G6da4Lm=w9V@{=FEJ}cL+_xA(47U1 zB)=Hb612#i3Jp(GsbK6yU?khE4@9E#(Gq-I24dvNhavnP=IoYeb*3OMZ&bD^_p&j% zY;I}IHl~JERi=iGtx63WU!JO{962P_lx=IuwoYqGRg4^&9XV$3A;aK5W>{Hv*vPVx zslkIs9x^6A9XhzG%$*ML?ub|gqj+=PO2d&$!_mpXV@ro+$9g=z8hl86lhZj9@ztm* z)m2uFmCM1U6=TO$mWxkic~!X*Rl`RN)$4H;LrTZy+%RtR*zz2sRple|#Ud^XaxV*W zFEesEuByC}iBkjKPpx=AHRb)By~;6zv*Sk$89O{Rw*72UQ(IJ`MR}uA_0_etSoxY% z7q7? zXIwqtpJgx@IvW~NjnkW_RX1d#fUu|+xe?KR#uF_5O|EW^wJlSpH@C*8)io{C8`?OW zMscr2oUpcn1-@uCvYuzK87<AYbICJ=tk>#IBA($Ke-jbw$wGGTIy!C zrrMgCxWqVZ8hrpv;~kS{GF<*&kg7YbEzX?gy5q9-wW(vO8&YkJT(qr2%BD?A$!U~T z@TzWXiBwb{6NOccdB)UcM1R`!8Fh3SP47mzK@Xf1VCgYC9kUJm7cqDbuLW6H>%HYqm?j`bSxdGWF-yTgHLGjIpqQm7`)BIyXks0A*R)%$L4+}+N1@j!4@C!$KBYY&&6<#A zNl3FMq&X)c&1$*2Sxt9XMk%x>2}paink7j&hH~uWmUo<>pwy#8`m&D+UjyQ+j(Sj4 zHezgfWo2rJbE~qY6Gmi9$5)n@4mms(U*%ks9acJW#1N+`tyHdZWNFn{r>RuT2NIj} zL5(jjO_dHAQdycBGGa9T8#8WfX=;3VS>#zpPy7eZvQ*^|a^-^u`(QE5x1UngelfCq zbo+VLh$G6|FUrPYBIK^dV@6Zz&#T5(mbvq?cB^HW6;=3qE8D$GD>bl639M2BtJJ_c z?nWxwgGIiz2hfo^BtF|zjSWS0Xv=6UwJD^zVnjvxU?gN@d3i+&i73sMjjKAGXJzpj zW|yUrB2|uTtSlck7#RysN^=T7r;6mpAg&L&@yMy(7!7iJGsK3Bv+~2qRH&xGWn2whylTw%spu6q3j_O0zaLm|I#HorgmD#8&lx1`L zSP4qAwGFeqn;pVx;d~+&K9Q{v*{af^<#FwD=e)Q5w9KD!+Z#%PjvpnQ58pYtaifE& z$@Kx_d|b?;eOfL~?mUVy&pTr4t_EiZkEz6sqsmi*vqMWqjTm`2P9_W~KWxO{^7iZU zk)>s0Doe+X7&AIMWJD#15qNT`99lZKJUjOA3f_w+h-_J9>8NZOAKKtCcx>g!EE-+5 zbmXuxxJDplBg^shi0&~PbwX8D@Ma(BOz^a7Wa(k;HiwtGYCXh(BZj!glEK+g<)i$4 zWryRbD(W@jz$!=XGV@G9fC+B=%FJ)S1YG8NpK;EzP2NwS{gpTnq%ZWdM z=;pW|bOj&BpE`ED@uMToJK`UyqRTk`Q8*p(?@-4PZdZ3)GX5Q=83k4W3~CkQq9%)~ z;-VJ%(^$lho6tEQ_ij4)-;pCnRIy#8-7^C$A+U}5V0MNONKZOg&u3-VYCz$hp9(dhsO|%pn5;z zSv7ndUOtQ;?JUv<-5;97;6uj3%HT10*?|o?heW+ZyGMRWy9eKdRE!yqml##!MvW@1 zWJk0@^ean84=Ya%9XX}~EplWj8Fm{LrH8YHr+7Ye9NrwE4veWhJnl{$!wTe@%cv@5 zGvN-;)W|68GQ@L;tMR!&c4YfqW2#WMQ$zAnL-JEY3Q|J~Q!T04Da_nkr*fNdCdHvK zdcVMv*rzEqxvg;$AL8+5f&aJSwLYF){VRg_*))E>jo$-A52tvb&*B-LLoubE|FuPD zc<^t-6uzwnCk>eYH?-FCzv!yI4bL?*C~epa3@!g_OJ%DYVWkmP8rtepS-ek4Wg*j> zaWM-g5ZY*%!T(Y%&DA);>zS5j`ZPDBYU++l)il zHz2apv-Nn~jotuov7@zaT2r(I7LNthv-$8=(@=-!v@9OmkP0_l$AcB#N5zkC(Yaq{ zQN8z54(|sLN;H?L<{JcmfmAqaoC&$pmRdaVb$I*J$UCY99oqJL>hb=FGbpYP<(y+i z)~=w1daUqNXEC#h&T8T_yjb-MJgIuQz`{_@Wo=zU_3Y3S54n0v%phT{)vawP2ZT4d zz9Gu!j`RA-+2}DVdT+w#tvFhkvAAgQthR1)HR@PIVx=oqWoazB;=R1B!)FrSPPp|g zPA03dk)O?n^S0;}UAvD!cs~?C-Y1&D@&TV2%I9fJSK|}`nH4-~0I!x8!{LW;eie_vqehHwpC5Fbm!;azQ=>{J;Kq2y&~XmJ`=@l$5zPQG z%tj+vJdS&>6)`?4wa-sttjt-9I^9xq?uW&UT*r1IkFo%WuXVB)&ri8ZP&%>#Pn4rl zRU<|Z!z2^4_pzxFn4ygsmExd@c@O6v(K+T2?dPS@VC=jZU3VJY-^o~xw0GuPmKr^- zeJT=<`XE>I!=Qsf8S^bXGQvU zMssPM_4>OwX~a3_)a|pxXlj-_m5cJuO`kAP_A@Cqp;XOmyu-(Eue>sJB8>PITF3D>*%<0meHd}Pvlh_mNI;Y>sHih zE$UhQ0)6DczSXbPV|8L?wq|B3cAM!o^SWhHbpu{qlCQ=$UfJkL+IM22tg)0Gclutl z(>ps+Mt4S!>PcZHDLd}$#B3DX=uWR3x^Mp}c#T@uzqEPMRGds|ZEME+{^`xf_8(j^ z4&~BZ*T3WQHaXn~!+uNumilSg{Qiw~GezURf!k+B>i=N8ewwpgQ#ZAGM*mp|s6Rid z`@dO@qCCB=c~V_Vr_t_7>-A0j@!l9SJLi0zwz)#U5A1q4jA+FT-~X{F`uhunQNHhy zvl{9fXB~N1{fz3`=|>jil@pqx3xq*SpCjo|0=#vDk&LI+`nsE|4JnIuA}Fo;jFD}L`IDoJgU3^ zPc(=1OjL60t#o8C2#?I-g~ws#qsR785seCMu56@GU552c-Z)GDLIg1B$&M^99ol2@ z5QG1RelfQPLmv~3et0DrwNZbuQju<|o^&ks#I^LF)P~i$X?4y0XGG09Zi5J7Ry`Yg z|8PSbLu_ln*OAj3SI{8>J(kGa(Pf{O9yW~!qWZ?VmL9i@2V~6KdfY6&818wS{!h`|BRJjPJ*L+$JWcJ#G_~EBdg##|?9nOOG4Hz7W1z_&QnyzNzo==;FJ2 z+Km5>6aO}lKm6Y#ISMC~d!1~8za2!G=Hw-~lt%nuYZ2s6kcS|r;16dEhHQc#AXozT zYPfZfUGT#;zlPfem-42QBk-d)l>3})h(F{*sdcgtE@e~v4Sve8PM(HK*?Qerrop8= z<78X>!3@d_CqIEpVLF*c@A4eCM6$W~dwoy2+rxG_@~Z~I-+%UYkHhMsP2J z+Z*n6aFHdc8{uvV_hGo>;ckjNMzT}ez|DhuFkIe$DBMlqPJ)YUPECQk9bEct24UK0 zXDbN9-v+`s?Eu*XvL|FO$lj1|LHa{zKOa&6$v}!A+*3IavM*#m$o`N6Af=Ep$Y96} zMEo4cMUYD&--TQSxf*f}P zL4FDO0P-Q^6UgU~bx=opLDq+C0NDt#DP&8?K9K&9JV-vI08#`ghLk||ha3Po5ONS? zFk~vE9&!xiJjg|mA3$z`+zh!5ay#Ts$lZ{8AooJypY(igG|WN*kmkYY#)RLh2xsAyXhzA;&ypsvMr=9WLL;;kli7BK=y|8hvY%>AsNU3$o`N6AO}Ip zAcG<0kdx4noD4YyavJ1x$Qh8cA#)(-K+c7n2RR>d0c0-ZV#pEfOCT>nUWU8^c@^?H5wMKagb(63#1j&2AKi52r?IPG2{}+uOTm? z<9-?P3glJDYmnC=OCi2*9D-_54jB$P1TqG4IOGV(*^oJq3m_LlE`rR3TnxDkay8@{ z$aRqGA@4%Ig!}`t6J%$|u8@9^Jt2ET`a{^y?*^g&9uVsGf>6)D*VkEpejxn&LUw`B zChy-J657avpC2O%ajxUU7P$7~&DJ<)JUHGkPS$;lQwhY!i*Y#!G68 zV^Mec;kai6QVFSojD?JY90nN=;oqJ0W%q5I9|<`Max^3h znFy(d)IcUdY9V!y$&e|KsgQa|17sSc5i%Xp1UU}U3~7P1LfRlRATuGeAhRLIL%st! z0dgYb6v(NN(;%lq&VZZ=ISazNbq?e_$oY`Y>ewalzZAl{b~)q<$d!p0cPJRor4`gNQ3Fjle?8|ZP>xD08mT_lg%JK~3 zsPWTuYTF6p8P@->9`Ar~e4a2L!!Vhr8m@1vVK`xXV>kyu!ZtDlewq)s%sJMOtF`5P zH923x2`G*?oa=ROENS_T$NqdW>fTMUW{z`1j@RV!ZjLo2&JDTM9XIEySTonT^ye{m z|KsP-^>H8cy_g&B+>l$7Fpkfhet817R*a<?Ik`7t-axgoc{<5J&`xn9lqNc#!{a-{qh8E zE62@$Dz>-4xuLy2j;nY&<|a5dXey)S#Gcb>k!MP#FF`68o`FQkpZpd+7LvEqdFG}Dz_aQgcb(l@g z4ejMQF3e}&&-(J^oPqYjdJu92jtlES$Z@Vidttb~-}d2V92b^b$Q3y*tOw*+-@UzJ z$A#q^+T)z4v-tV(!rLoxTv#3<$7hkw$|L0VbzE3qLXLA)+6(JT$nEdAu%3n-=YO50 z*N=NXy__GD3)^qV9pt&A;{K|Sb3<;B57+4zCvc^X3(GCESLV1dy&*T)aZN|Z@tft` zkmDSf=}l*2uAg&5uH11;oc@gjZm8oiFHhh`I&OY#Y;S>cLwlnfS5X&p6Pz1zqaBx; z9CN*#8**bDcbC&IOyDXUH*rdAZ>n=cdxtu%_tco{EB4;_^itMe9E!0y*bVe?TvR_UPH{4I5*@bIBu!aFH7L~ zo`d1eofg}h=iJcV5sn+w7<0p&8*<-v+-FX|Jb^pXar39g_7*rdw0D%_Dw<+$f^$Re zXvd|Fi@9FT4Y{o2?sEEt3EV`-O>Bkrgai2N;@&vBIar2Ll?JaO_Xm6V1D!vnQ6Pz1z zjgCv55Ockp8*Q`UesKcV;<%=h zV|%ll8`^7iT>6xl>*w5%YjfNZr+*`Xo8h=qPL1u&ac*dDrsMKXi@6f#hTJU2Ep_^3 z2^`-|o*Ubn=iJcV8IButUd#=5ZpfYKxX+w^c>;HqpeH-`Zzb_&Uf4*r(c}FUEsK; zi(`AII5)I+q2u~p5_5UZ4Y`XP_lDCiP2lD_ZqB8#y}8Z}?Op7+lFMRlkaI)s62~ob z`p*)$OC2}w^4Q*d=Z5w!bKLMNVy?ouA$PgsmOFjw%9sziD;yU-cW(-R9{xG+N}RL5 z3ZM5wZl2@9=lziTuH(2~%A>@&A$JvY40oy1FH7LQ=eW7{Zjj4};m!@Y zYaI8P(=Sipu65jeXJCPILwnabu3|Hs;F#dtked%3(;IEDfzxYq{D&jtz7M~sJe+=E z0(ZURCT>A1c>m+v(B2QAqrE;`MOZ5B+>pD$aZCEd+#Ajfxf>lfXPcOt>)epL$#Eq+ z#M~g~hTP4LTjuniC2$KIH*d$--hAhV_HJ?9@N~>oI5*^Ob=-2NPwf=*A@@VaEpYm~ z61dwOH=%EAXQFdMd$&8T*UmB5+qofkhvODH{h|c!PRC8%CAQb(+|b@#j_b2)%%z3b(|4>>M;k4by0Lwx`Iu;VJ~ zaDro^bHi{SfsXAwd~X(Vk2-FCEv;dF(77S^7<9Dv*`x?dEq89nJ?^+cPCq<>TjaR8 zHL<;U&JFGT*l|m%V{VyqL+%O3o#Wgv+~*vZ#|K;-CC&}G|5w<3 z2P#|F{~yPds0}Iy=E98|M~WLa=E98|M~Y^NW=6Kq9Hfv+E(_v_+<1D|uQ8@T>Do4NfcA3Mil6;j>ba9wxc zWOnJ1>TaCPTwk1d!sGsqE4l+VvrCE8zMEz<*Eiz&k3IWwGp_L-*EaiiE>imjvzhB_ zA2r8f9a7ybxapDRVjg!ZE{`ja+IJhSafJ1?NOia4Mn!XDQr#W64D+nV-HEFmZhdu9 z`|iRG4l_3-)eUhucc5c-$&>2tp3U6toTMl1>AMG4KGe0%{+*T7zI$gg*VjE{j>USU zy8Cc(<_V9xA2;FpPd)qb0IuZ@*vu|%Qn&lyZ04?C!5y%fU5cc-(QM}2+zYp65kF)0 zpV>v-L$g0~u6Xd=I94Ln{R7uN$Xth1_b_g9pt-phsm;Dr_fK37mnYRdf@>74uSu%= z7jATbxiP8k-?$9(tjCRUoinVjOKRVLaHWd5GO6xST;fb~DN@~IxIXiN$32d#o@ISC zQv063rQdHZL#lfcSKHrQomBS}Zm^%ZA*pVHOEFJ-+<$RHuGfgvzNc~Zvu(Q#Qr$DS z><7%{NOjNR#>^)k_Z+Tyj`g)j?Ry@V|Dd@7sqO{bl=<9?CTD-9Zi;JHt*=9>`ya0O zA#){C-HW*Rx#kk2x|eWW<~@&l8CU+W^;Jmidj*&Lh`AK0?p0i$`M~2|!&N_OeKk`1 zVvE`HTKZ$=vZT7ja3kguk6RqqbkC`?ON-RLC2;xL9E%l5bxY!=%;V>oSGN?d!@TEl zZ@`t$x1I{AeM{q#pD>pq)x~jr=0lHL23Nbl`s$?iEsM)sXf8{tTMjp3KK8gb;u;rO zUyIbfH{tRZn=6p&-i(_vpL@ak?60VM3$9(az7DA_fh&H}T!~cY-n-`3-}oiwlBBw~ z;d;#b9`|-!H_$E}E~ecJjOr1q_Z%YMdOj#QV#jhRn8 zZe?8aGV5!R+V>7zzG1FFs#^s&Wj^;NhuL3Iw<@l4@)C1}T~gg@xY8-+%A~s0aqY|P z`gKTkYv77km@AR$Qn>hM%_T^6YvQ`hdmgtIu6(8SRY>hy8<+f?xfH2x9bBLJz~k1% zRX=ZiHB$T5!==AqE<>tYA2(z^^0+jv-n703seK#ZvR9eQk?J&*U&x=nHIFIiuQRJR$f_+@h?Qe6fY|BAT;scv&zmwC_Q zw!kII_BcwC+P5XH$Gq=xTj46FT3?mazIWnMrbAxWm=8T}8(i%@)>kLBFN@2Z zZZ1oz+ZH!sKK8inaOJPs{ZS#cZ+l#_WiCak+X2^SKJd65an-L`Uyanhop9-|o6C^u zcE$~vk324itAE4#8l?8^g3Er>T%J_7D{jJk>T$c_THmt1HmQBP;|kZ9E0XH=z{S38 zE>5c36W3wh^|-xorMC5zN$tzy64#nblIr%xHQsCAr%h7byKuSpnah*v_Q6e5aD0M}vO^|%7Abe8p%N$ooj*W>o>dv520aFy@a z{ZS>g?_gZ&yXMlQx+H#m`juD zj=&9=4?XTkTQOI;YZJB0tv?^}HKXUF350e2j( z7;q(AIpB`RRRZn=Ts7cM#MJ`sBwRh<-i>Pn+{w6Rz@36?1zZ`|4!Bcsoq#(H*A2M$ z;CcafI<6mZ?sHMx@0WvsdmnBXaA)8q0awArFIx2X{h7E#z@3Fl2Hg8`sen5hmkziO z;4%Ss4lWyTAH?MXu8PYC+=p<5fIAmg47d;DDgpNqTs7c6imL_O$8hz4`#7!r z;LgLf0`7cVJK#Qn>jc~dxNg8*i0cL1MYw*zU5pz9Tpc$IxKH9H0e1;*8gQ55Vizy^ zdE-;Kc))!cmk7Ae;F1A%87>uY4O}|lF2`j8?h0Hs;697X1>BXme87DUR|vSzH+sfcqY<7;xXm zl>+VuxN^Y#5LXGf4z3z-Kf>wj>z3#Dr08{NKgRVRvB7{;_Y<7HZ&uxPxFkI(Pv1{* z`hI8a`x#E(U#srtIDLPux-L%NZ>sM4==%NBZkGzF?iaZ3!{&OVx?ker%o84W1FrZF z>noAk_bXicA#)v4-LG-ek-3=1{RWrA6-e#t;i~_#zB;Myx46cG=9;9s-{D3Nm>ZMo zevixi+gz4Z_lKyD`Pk$B7+t?H*N@b`KSkT+dSyM=@6Wi}{nl3}wXcsG+-Gh`s{0Er z#XRkCf5qkgV|{s2`~HTTFrRwdjkwCa)>kF9@9((YJ?8qPx|?tb=1Gsc8CSg9`pTsC z4RGzDxelrB7TokMb1{#*6_>{qNbS1~*SypETBN$$aji$qwMlh%;6`_tn~>`6#ATRg zJ?<`C?RM*{liD}L4Q?|xB-P!GD?DbdNUFOB7kk`XoK$x&uEV_Rarfa$Pgq}>)V}+1 zDXxFovmX!ODz{o+mDIimaotoMw6=YTv(c>4~`vscwuLG9P)|e{l8xT3>_IzDIHS zo6HqRb&uhie>c}6)jf_I-)L?^s(S*LecD`(RQF`m$9&>(Pes@78Ll6xeG^>%S#t$a z-G6aY=CS9@t9u&PX5R6*XK=;mt*1n4-?O;*3+57}y613R<~@&l9#@`PUxn1Z7jVh{ znM;xCrno-yfyez1SAEg?YNYnPh)cg@E<>t&2{&Xu^0=3A^_Q)$NowCKxZEq|3Z%MM zaZ~28SIw(?4cBJg@i_OUmbuq8zh*sUQu`LeC1SJU*(F7)TO8MCKJd6DaMi`EuSRO$ zlDPEZ=CY)^rEnwWV~=|SuCavmHA(GT8kbwrT%J@H$4!{WmNKty8C;ur$K#g872jYz zB~tsA!^M|2mmt->5!Yqj^SC$R%5m$flG^uXTxuC}X;R%=a0BK;k4xZc%UWNZ)V{ak zGRv9ElIq;&tGn;#5%Y=1y&czlqxH2&?OPs~f0MZascr?_lzHsU=GCo;Ycub7+)B9O zTdb!LLVW-djlTOHSDKJd6TaMib4Uyanh6fV8IxeTdpP27XI z@m0(vNOc?Gy3BhXw=u4~s`XV!?b`>+LjHRJSQEccMLx@}#=Wa1-WJkIUd%Cs|*c z)V|Ge1$Q!z*`-LT+X5Fm*<74dw< z2cFw`8(ejDyFY5A_GNMDHOys5b=%^G%ts!#9j>0Tz6Pm%+vBopn#+;ucEF99Pdsi% zTyrh!Ym?fy6Rxnfxgx1O7qr1tF*_3?ez_k92D8TFlN-=|el`}V@6PBWJ#)#Y&m=0lI$8&`Xe_0>u3 zbLWF`kE6`#=CY)^eQ+b@V~^Vx*Lbh>HA(H;50^^Y?VKjn?T;HUA9~yYxY`ERS0}Zv zfXi%XE=#I&=f`okOYVKPA9+&ULAVL?smC3RYn@?zZBqLV!4)dziln+jaj`Sa#YuIC z;X2H_9(Opdbe8p%N$o4*67M&cB-I^(D_^k8-1-syXTF<}*pawKz#WBa1>DiNPQV?5 z>jvDhxL&{=hwBGi2{#D1<8i})I{`NexD#>XfIA5{3AlITrU7>{E_UIfzYk8q#RIO4 zO9b4hxMaYchD!z9dvN)HI~`XFxcB190q4$_=AMTt0e1$j8gLa{E#S_?)dTJA8=LNAmBcP8wT9DxKY4;7&i{MkKiT&_fgz5;68?n zU9{-O@5gcRfUDsW0e2oQ8F1(0QUUh~Tsq(`z-0pNLR>!JF2WT8?qXaq;Oe+iz9xUb>T0rz!WCg8q-%Ld#xagBic7Ooj^*Wg+K_ibD|;M%xOz+H>$2HbaWy@2~J zt{-sU!wmxN`_XoJ8DpPZfnSswx7zu#elTw_d{Iu5c|EhMyl)J(ueZVAqV9B!^es{0u(UNn~^)%_gT zW8U|;E>6#@pncclhPN)u9$L(Ij{G9p?!k8b2INX|yZjPYIl^3xRChzvC+F8t_bZ&9 z_d(sSafv$?+>iOrk>5nymG9@I>_-omKic-AK&tyKE`6lA45{vSxFPeg$Ne7HILi83 zr1t#*r{{-I_eY$b_d(sCaQgUNS#Fm<nV}i_g7r{ zL~dtN-QRFS<|B{05f?ws`Vyq}{Ti-8P`AF+<;U!z*SE$S0mNk zf=kkq^7P$`)AKZF-)%TO--No`ae5vJb$8(OybtQ`#OZk-)ZK;C^FF8>;`F=^>h8wr zc^}l>gVXaqsJj=Z=Y3FjA5PEvpzeO0p7%lB12{czfw~8Adfo?hBb=W1LES^RHlOR= zA=Ui@S8Ogd_l!~^)jf=hUu7;qs{1Fd%e?1tkKoE*w7v?degDEGuQrz=)%_dSXFl+_ zF|PV0>#LF4_a9vP%jPnqx<_$C<|B`L3|Ie(^)*QCdmNYjs<|Ag?g`wO`NZR%#5G&i z*CMs=DO~<*<_e^`32w?f_I2~>{)=lf?|9tPxZ*dgr$lPsGr0IS%_T^6&*Hkwdmi^3 zuKX?QtB~6FJT7^SxfH4H1zex`z~iR4>bI@0Mrz;xaOt+W45{u#+>rUm<6gqmueH7g zseLcwvfnY6Bh|fv8#AAH+^e|ecdf5QYTs+P{P)ZiNOjQ(7^lo*-#4#ru_f#Wq&D-8 z$1RR4{=j-lr1mX=i~rDEf>gI8uFJgVaZBOK9qX%*+V=)r@<--Uq`IYXedYs?i{q+4 zw!Rvveaqm|KQWgf)h&w~G9P)|a=7|+*4H4l?~Sb#KCrnNK|K&A8^ztgl6C z-&=6{ezkYV&)Er_{yeVktj^F|K_`v+P4a>bgJz~ zg+?!JtUAH?}RI!UUFjvpjXv%WN`E;@cNU_SD===eeEM!WuLa(28_F;|CM&uPLc6I({%&Wx@TJ@7#Vw#}AtCiNg{(f}XUY}f@{m7lT;C_q_;C3d}Mf*`X(_EEQ7ww0huT5RF zAC)y0+>iNg{(hv+vh8NbHQ0~ANee#ShRnwv7d_q@@3+1dseRGoEq}JTBB?HVyk%~( z$6J=1?_5Llc+>Mi&UbE?qQ_fn%>{3l`ELH>EzSLvB~z|sc4?iy;Qd?K-}a+MrsmZD zbJ6`%g@R2SX9t?O-n+oZba{vEEp;C{?^^Y33>FW2PyMaN@T<#|`vOLeP7u4?;{ zB-O2s>oMRfYaQr%j(0rR29t&OXF*!t?E_N{}< ze8gOiRJSf}%zWx`>){F?v%V6kee2`8`e4tpf1bJxaC-hcbsOUJe5vX-!s&TX z)oqN^^8l*bByyaOF*<*vx=nF<{zi41;q?4|>N1hLz#f;;c^TDhj??ops@nn=|M+5a zkHiGImOL)E#C4hXJ#H&prDlCKQv2SC)AMes+Zw0mja0V{PS1O&E{oIWp|xdyx5er6 zkh<+~>GN!VGo-rhaYN=~kJ|y)IN$nOr1tF?InMJLo$piKPB=Z^r@EbSy1(nl?UKXg zKVjFeK&smXH)S5Xz`VL$ae97nb-UqWJg+3kb>;f)j%%~ME~#!0TYXKb~v*5#4WvOU#u> zb_giYa1^0Kpn}5IQ^GlljjegE~SM+_rzh5Rv zb^G8dpR)a}lIr%wr9N#g9i?n{Kiq)%(Bt;U)jnf=byBx`0IuCI*CEvvaK+2bl}L35 z;xd<+%aiI3!cCaRJlj1O7r(;#lBD(>g46TTsyh^?=gn1j7*5ZdtL|`|o|jf#5vS+F zRd)nV&%>+kNSvPMR^3rJJ-@EHqa(-d+b1`W@4sVkmCxG!Q6tqI8@Xfc`Ls%^I}SHE z&fJhxSHkJ}det3|i~Z92;-tD0a2@7dk2?`py21L&r1qVJOZ>`Ql2rF@T#tF*<4(p^ zerYxPaznmfCfi?AcVF_c`2XMQ zk<{^V_p$Vq_I;Hh)$y_9vHa)F6-ae_+<2_>1#?|e-5K02`uSYy__*=dkn1(_Tt7ZW zJXZglZMQ{gA0Hzgn{xf*p6kcQZ^!if;@Zc@Z^!if)9UzG?UAxZ?NCl}L3T$HjkOET_dMQzfG}QCHE`wgZ9gicy3275p12y_*&oa<>aM_L zueW*je+ze?#f_LxJnl+d^F`}vzP#|h&*5^f*gW^z!rkX_W9H+{2$*k$Ssa6>XRK#N)n*YretyTBP<}jT`WNH}rh}eF>Le+WHEl_I(*w`_bIZ z5v!BxzJkmA*j$cO_f_1O`NZQ|xaLo+uSII#*Kqmk%oRv=U&l?^&scon_uDrjM^Egh z)~kKr#I@<^c>2DDD=uT(E0NlF4KBW{xdf^1+qf?Cp2xLu<>joeLTcZ&xa1qnrAT$( z!S$IBJnp-=>YJ>uMrz;paOpRj%aH26j~g-{dE5_h^|x4GgVeqs;<5>IIZ|B*H)fuG zt9f-l!VQ=w-nMY}V_cW{)Z>1FYrWliTB|I)?>bz5Rh#G6S-ATtZo+)(aX*W;yRP-L zN!{O{;|lAUE0XHmGT?p=i>+@iPO7^e*J0lExL@GfJRUoq$K@|^#h=;ZwnXZ7Z@|TW zZZ1Kp`xUOsyytPh#+AF)S0T0UH@M{W=2E1(93|#JG68otE*o(7;Bo00rw!T z9B?CCCEy;y)dTJyxJJM|jB5tmKXI*qdj!`GxPRd~0rziQH{iy&Ucmha*AKWyaf5(+ z3^xq8$8n>8djdBOxF>OwfO`rTe_+wi8xve2;QotC2HexQRKPuhO9$MuxJu;M}j-&W!`k{rc?OB;eey&(2K)&iz{KTw|e+5xvV zt`l(XFp9hV;)%uPeiMv7U-=S#FO2>@${j{=PCt)PojZ);oPN%tI(K+vZo3M}U}e13JCHDs#p(Gl)NO~;^AV`q9@pdZGkZUo{lV;_ZUKmTyaa=UWrtuTzpw`2~u4V*Ja-GxFc}o<*ctlYTuE#py3w7xp2eJ9{DYnjWE z>fFcsyPvN{%*P&g5-z@#JuVZZ_Pra|W#03+lX2yDT3?0KzEg0?t<9xKb!A+i`M~2& z#Z|Ylz8a~0r{U6Ba~V?IdvHVMBab^BSKrq98l?8U7nj}6T#i)tKHQl3#N*D0-1gQt zA+@g(xgBt%x-%oUBaT#eR^)cVk?P!!E$;hoyfcnecQ&qn?=toSCI6l8+`mVC05=J^ zb8xZy7TxzjTq5AAxMaY62$u@Db8+c_`!FsOa38^C1MZ`^T)=${R}Q$3<7xp{!_@=s zJX|B-&c`(a?i09Hz+HfA2i%3YPQYD+>jvD#xL&~3as7b%ByJFJm*9p0cPVZXaG%0W z1Mbtf*!_!sTz&=@54g*4iGXY1k^y%)E){TB;L-v2SzIRIuEb>n?sK?Yz# zzJcon+&6LkfcqA15OCMvh5`3&+$iAMxN*Q;i<<=8cW~2y`z|i_z@ne8zK4qk-1l*b zfcpV18E`+ur2?*lO9$MKaG8MnF)kZ$?r@d+`7al6*WvO3_fuRU;C_ZH2HekarGV?= z$^myht`cy+z*Ph8m$+KM-GHkH+^=wrfcrJB8F0VBwF0h(YX{tKah-ts9j+U2zsL0h z?hm*@!2J<747fkxMgjL{+&JL+xJkhM1vd@2zv5yKF8X=xZ@75C-H1yB+~0A@fV&B& z?~h8`uaU9QEmvOZenq@_=G;YJ2dZv>)AvWIy9KB3k5YFlF8@c{evwpn8?KYLFS?|< z+i}f5SYL}&cL#3#dvg;~-JQ77-u6YARCgCH@hjvCoxL&|Lj_U{96SzUZJ&79z z+*7zwz)f)Dfcr0Q5^zuBrUCa1E|yyK{q`&_9&pd$5&`!-E*Wqy;8FoM#iax8f4EG* zy@=EIuWrEeRblf&-92J&#_9VP)J5x?zJFESE%fR8SJg%9TcU5z8!1v7* zE?VFE^b9LP=16tX`Zi`h@wjMxOaIljn<2F?THo}2uIi%o zt$B!DzZR)3THo@Ank$g%qV;XcJa(9QbI&E-gS(fZap!uF$0s*BdQ!ja~Rq`GK* ziydVyPO6L6w+{2J$3^Q~Vv_}*C+GLgU*A#z7p-rZfQ#0*Y`{h9TQ1h zxM+PV23)khl>#nW-^u|Ot#6fpd&chmST*3H^{p0g&(T*8xaV<=fQ#0*X24D9YXw}i zzO@6+x4v!2`c}JP^UK^L_9eEf@3U6-GOl#AeNiUWy@J#CS*v>$r|;WV_Zlv7jD3+L z)y3?e;bT4KeUIa1MKOJUxArYgU*%ZaZk1HW%VJ`wjxY!Pl*izj+dvz zN@?5QGRezOW2rmrJZ$deC+>J>VgqwYQk{GGiE};XeUEz!uCk%^RY~nj;8GizOOxu} ziW@K=dfeM^wT-Q>PHNxVahXlbWl43*<3`NKBrnf>{q|b{*Vxqhnxs1SvYol(%A1+X zlj_{dcbuCrpL$#p*UDI5o7BFQafQvz6-jmPz{R#O7bkgH>+Acw3a-Pv>v5~%N?TfA znbf}3aEYzVB}sLw<9f{dBrm6Z{rb6=J-OSj@=oiklIq;cnVd^)Z7xl!b1!FdZoqu# zaqeYG&egWDzBT!{xR!mnYS&kDD-` zlDvHL_5DcWTH9M+n^d;}uCRl-BB^deTx>^kaZ=qzxDN9!8NDntdS2TYSK7(?%A~qY zaEYDGB}sLg;(E;c9=92;lC!=lseKt-Y8P{9Qr+gb0rR29ZGo%pYJGK5`?kbob~BeH z)oq0vF&}%}J8_NOt*=RH-`2R?9_I3-x@~Y1=2MT$;#zxJUz^mvZE=OY%oRy>+u>q) zb8%AL_Begt$v*s?Xn)&|gG^`72m1Otb?!KbbNap$b?!Kbb8!}`1gY*;TzfaWLS0hb zZMe~HvmKgU#-zI2anoJdK~LWuxIC^vYTuo>#_qP=CaLZ&Ty76@c~adF*WAUfUyD?C zH*TCWHzC#CgPX9usb{m$@RT?tWY>Z!S)%djQvA-u1W#aizVj zuSII#2$z4CxdN%~p{Q?nyZy?fI(HnzeV-=wFqb6NJ&fxy?|Ym(4&wTzT(8(Zc0IN4 z5nP*j$K%{_5Z71S*Lq5%_POIAbC0+E%q2*5V_a_aW#=A8(SPQ<=s1Y$D+HW74&q!X z;2y)31I`@>aebA5djeMtICmVx_0+1&G^SEBXx#J+NuODzz+#ulGaS+!x47eAg?Y_+(zXNh#``_4XJa@Sn zr>_fF7p-slzCd-+`ljy-R2Qvpl|AhW)kt;G`qt%f+auLQ>sxv+>&uYpqV;XaeB^P_ z`c~fGo;NC__C@Pk@&I!wQeCvZ)$?}!8l<{tebe_3s*BdQKHD33wi~T))q-8W8mWEJ z`j$S>T!vH^t#3o-Bae&LxB5ZW*C4eoTHmqAy>)SBkqV;VQaMAiU4!CH2n*?06zD)xzTHj(DFZy{8F111mI}CNeM<*iw7z8mE?VER0T-=rxqyq-w|u}w>sukn zY<+9a{!HJ$s_x~;9d3`eCaLZfoW6fm-K#i#KdidfaJiywH&3c_$NAlUOqfqS&K>7> zPTx1HeeO8FbFCw6yKPdPJI?Q1;Yf2uQk^@_?_BICb8%ALQn(KDuE)9K{I0KbwDpxq z?Q_TZohxp=;Ny3`i;nZp^#zVTJ zgN1K#7&q_J#HslYhC-IO={oHxPm(XIlB}|bvazj9e|u&;-tD=a2@7d zkJ}YjO4}D@Qu{dUG5hxqb4l_5x&3yhugARaaU3p)RW`K0P$jjG!v(R_M&{C_y1m%$ zfcenlI1CW0xdWfGOP$odz3IzrVqav*g6wbf>-LEG*yHx0ui*}m&Mr+-`}j3GmfOr+ zo>aFVeG}$W@<6$M{8$}pxdW-QOPf@80DXnc?TaF*jvsGhF?S$!c8Qbf_^~zCVcsPV z;`)uRw%7R{G^?3=-f#z8XO}Xm&i&ZxTw*J8Nm8BrvCz35^S;Nq9}AtUxC67ZOO@0< z_v4;(sjbbW$%AKq<}T5GxaG{b0rR29xn;$BTUGk*5V{jAZQ;$0q*V^9t+NAa!hb!;| zTqM<%a50{Mt1W(jSQr$_o9`nA(y&G5I3B5{c-^sWX iPv~h<-6^;M^P$I;aW$U!>!kLbip%iCpC#3uhWkIvky9`L diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.mem index 9e63e20f8594e2bf6e3e5bb56e0997150b739a36..3463590938d137b4d10d22658d2b4000a511e084 100644 GIT binary patch literal 62776 zcmeFYXH-+|)-G%XeUPGvC@mr?Dgq)>q(w!=23U!J5GjHWE%cfqq5{%Xq&E=+l_pA2 z2#M544M=YZJ&*taLI@#*oVDWK@4NRI@AvDRGsgF0JK{>#T-TcSHLqFjl>uzp^zZ)` z@`d<@C;xc=Irr!M-%>MK*2n-#j;L?0NX=?(HM{FttUS8wB>9Q~tiix$NZGZ9&ne zVD^ng2g-lC+~2i$cg>kW_Bgo#!ZgXzdBWa(vD_?d_QQQlQEDt(dshm zD~_+53!@oDW=Vo~z?KYdSY-_8g$*rOCiNCLkpA_ijj-OVRP~r!X_x`qrGdfeqv?>k z?TenuKGpfdsxFH&58$Tj6v@pY&laCA0&9@;WSq|mw<>s8Z8rIGF5n&e`~xvnI7Oya z%fptoT2-dEOcC@rk44H#0~U4ix8yUsOi+1JT+QK&3&8E@9>l%LGGi@$@ID9%(_>w@ z*uj<0!pWS?${-LE$>?PRcO5#?X)FVb6w{} zeQYGld@h4&*`R$6jm&Vo7vV!Berk-3*46@!8nkysiJ`?L>oE72akN84xgJpBS?kUT$6cVYsAc>yp3sV<)u_18 zBBub+*RSb&yYj}m)sbV4R^lH=w_)I&Z{WHlyKjiDB+c%=xW!w}p+wneg-_eKK8e85DAeXqoNa>xULum`2u@}fFZEu=IHyQ&G0JvM@nB(XGIFjvnGRBnBLpH zCH+R7tjEB0vwTBKCMi~&QVv&uMSB1jKC`)xtsP@KgI?8ga`eCpf=WN z5PM`pI@T-mN1vQrAElMA9fb+M^tv@}7Pmr0z0X{DlR68h5S{O){1%57; zOw-vhKHUT;<0U>fPUD{f{;!djPFo&x#Jx>iyBuyV`c00yv1FVVT0!_qV_n`z6!v@f>8Ly(*iVdES@$kM za+~fYjMtPI__^!1AXUvMNncF%^RxQ^;a7yR0?rlf$mR*uL9_f_H7Gvt=e2PtQVA{AbN-CyF<_ClR}qN^rHIvG4S>SA>* zsWMM_lTRV`E3cG1(u3Y7)Ix4i0x*S20mEf&f#7H`V4k8A?iaCgs1kZzf3mp$<4sCMKf7u3_;d}Zb*WP0i0+s#O4m+q`y&FBp<+>AA|dv1f?ow5HF5V;DPxGH`*LcjHtEjW%a7t?5^ z2CVRk&k1EdzRy71r_eh=aXJo~zLnwdG2c3-LPJLcXjk&ld+Vp;x4kP?(o*gim@tSM zxt?K~@L(#%F+&TzaiGbsrGq{XxZD%cM$x)L@koyEr0bDSbr-$IvB5yX_(cZZFb z1;yqW9aGdj1ilRJ*~rP%fJiE5A2UdYlH?uAC^cE|cqI#sUtE7ED*}n4_`u?RxOEz< zf@|iDDp>jXAf&41oxnhIQuu*F-{S-^BI)$J?h6n4sC!!fQOlL+7t6*E3V&1?%Z-82 zLKy|0hstJh6#09~=OQVY0&-n=LE!R$cV`9|fg{^O@qVv+opl2v#7AcRn$@mJ?mnN_WN3*Q!H|8_4UL$=uAM=4O?*1| zz$=Iq{)Z3sHika~CUkkIk^k;Or8QsffWn3d)n>|4($~gP@ywt0u-T}D-uw96SnJRE zvc3gZ&XuR1j5BI3r+J*?0{fBel%-bAbJw(XFm!l7F$eeGH{{~(#SLl>FZ1*966eJ$ zxS;G(kqOoa{ylw|E*imtyjVh|2En%9D@}K;uvrDJKu|I92#J#Z?t(i=n-s*a!Y7g) zL=#!XlhD;6S`rK&-HJS39KG!Q7Gq3Cs=S-)VAa0k-MQc zf4Kie(9(zPCu@CDyd{3Zqr+nw?)B*hV5r31meCN)Z|Ta0rx;XRN6QBZ@&01^|@ZKpY=N&YqUl970B+{ zvcFZ!4wVkkP0@SC-`>L5JsPen9VDX^cF%ve3MV9Js5Ued-i@aD5_$Bs^>EjQ6$}>L zL#pT51aaL^BgSSp9dM|Uu(MXew6fcV)_r3%jivX7xpp$c{6SKOj9K9h-RZ3C+N$3C zr9YpzM{BE_%&BNMzWkpeGO_e`(T=!(c|-_fx;wFzp1yF?Na}G=Cm(SW7}9)24_@>Ik|N2w@;vC8Jkp%x6{Z-`PZFeR@9LB3V3p1PSnQ>z63j& zevtfw*Yn(}ujc?b4OabbidrSa0QWLAY32LIYRXI=^Xp9yI+P#kEyf$*c(jJ6@d#?f z9c-BygcaFrFFRFo$}Sx?1$VCKH)IqQx3Mkqc`=h@{3wG*P)y`aGamP>+gX(kPitT@ zzL+VMZt&PU0rdBNkD@Xio>Y*=Dj!!j5^rv!6Om8*Jw!b^X1n0 zH~+pxz&rfrMgQl42g`p1S|0as8Yr+Oi9KeQ`V+ItWMjkRij zjP-a0^=$qYEC6}DBnIwrz%+6ys#=p0EX(vQ1vgN^Iz>8mWs19xXK>w9ne7|*f!)*h z*{H}>h6@&v>0lD#p5tIniX2!pP+Kk#-v_yd6%BDr&Ew+S1D8+o2lssfW8(pAslqjl zIR?}}l4?WB$UBYF1iD(_QEH8^Qi)g>>Zp zOOeC{Ca#(NOV#DBd_8_fuZ1x=#@S~VP<|q?m8Cr0n;ql=(u-+xI=lX{jlpsNH+m@* zA3aw1-hsvu6N3o|w5&yP7t~=Xiv4fgA!AB(h8&+)$cc^9;k#0z2{DOX5Uw6N}eX(k)*i%>UY$cKyxCv%4?lO~06*vO7g<*nfA|{VkD=@(tUh?r$^n zy(!+3`1RS;w;k~{0uO}}s~T(R5qfc2d!bj&?O#x@I^60VHk===@H~DSg%EPo2hk2v~&~hsmNxzrTgEM`?>* zkCxs+E5uU7g7Vm><8PxU{Y250O$o``UQA_A@&)QlXvb9}R|S!c=?g9wW}BEn9;b4H zFR^hk!xn&9biLzw-?P3rx|opy`tTG*cV10Y5R0gQDDF$rv?a&FnH6I{%r2|`;)cZT%*dH9TP2bDCV~7;uXXN`ygu@ z*F97YGMkPsm*2%Vazfv8Z(xVkxQ5PbolN=4Yv!%5bUMRNhMGU?81b+_PtJqaFp)O4 z2S#JROJiTDwbU0w13@L} zs`WpfbSdSwms4TPpZfEQql<*q!twED#^>JOCA>*#%lZu}a>gtaoieB}F$pG4^giu;8m^ssf!vfpe>@@H>v(NPaadgyfmL3>MbDpFS_>wO z!0uoNN3`m#2yr5Hqi4YC)YUlu^+Q0jC_E-ZDOQlnul^uhTN7>|-2pj8a%VQGpm55BOe+tP(JcuNeHO$Qt&s zm_8?Ck||WYv$$6Is%9d6luj_nm4_IRe{+f(jP$L7pes_BHt391t-Lk4nci!K?_Nu) z@S9nKR!yLL%`Fza)d)mdzp!!PE)O!cHmo&LS$1p=xa2en3M$@r_{pT+F zSh}tXqI2v@?do?Hh)-twEZu`E<}oz4L2sBnROfi+1waDQkR82O;flqOKAhg}@w@jF zcC_)stH{u+x8dx0Us0gBzBR)q-#1@-lxW3cqs=!h)d=xvK>ea_Xlorgtv42;Qg^gY z1?O|qJ~)h8Hn4yv(2aJzmbe-}b)7Uq-Q;JI4a{A?dJ#grUynLuW2v?mD2lpF{=nv3 zz?mlycmqg%MSGt0EExr{NGq4$VfS?l$4hzp+u$x$D}H&Fn!M1qn6P|)D}^;#MPuwVMQ6AZzMjaC%-CH%HxaDjJex$D+oSjeAEB!Z3BUD85F%#%$=^RmjSr2!AX zzR^`Q8MI0nXe%O4l(pz}{*j?R@aCKa_t4sc!|8D!vU%>Vtsc`aK|ydo=|G#fR+YvV z^*;PnC5Ie6e44gHoxeNuSUNLbO<3L)HC3~gEfpsHnf;P@LzzDt#S6^8Wpu@+EpAE~ zpC9ZQysdF7-$hUaTjVuVX8S&UL3OvA54uXaAXd|hUsSuih=bt+uSZ|I@hK}a3I@v7 zE-%}(**DDLzL^{l7;BdRV^8CT9NxOSigN=T*kvm}O2Wvw53LE#nke#n$DLfc4hom0 zVAfkNwtmVyxOJ9=J8EdKzBa9AlqsRP0w3aUhL*St5w+-jy*9)kiwL!-{-}!j&7g0t zupZ&&m;pAa#UB-u;nQ?VEVPi%Mrd7b1LsL(9ch#Q3iU$;giutbZuG_k9{*evgoMs% zSS1&6YW#NIrmO;8366s7vR6fN51koR1p4!7##L8ba!F&|bL-!Ujz~U(d!no%#IKM) zbin;v1OLD8-}OGq_(UOLi#fGc(6 zw9Nv4ej&~x;Me899Y!UpCwWxT^Y6R}*7m-{pKK#Pj={&CpriJjWdaW-GPBB*IWHN{ z-T$_X@8WE)i#&NW)$55Tos$;!%cVtk_)o#+$5;~$VgpVyj1l~`pL2gC`6PA`M#+Xp z*w=<#TVgr$I*)u8@a1d$!X!iC*ODP3E;(%$t(Mz*mryY*y`ii_u9L>p+c0U`xE%es zWe`!}f_&*)ILj(6GD^Hf+={Qwr|0oY`j<9J*{A3C)?YPN5W)S)0de!L`bwhx`khpt zmNR0Z^MC53=jxL6=Sat>;qYT`M}_~05>Goc`eT~jcd_A_n4+TL3uwiAFfFPJ`Gd`F zwa|avQGz09MWvjT44(pLpm;_`vaf` zAMg4}d-#;jNEr40#`0Es|K2+$Il-ND``r=z-8q0#cM~$!4ne8H*9gqqty34D*2M)h zX1=9hxUN#qSAzn^nX9V{C-f4gxC!{!>MJ)dky3mLn0c2USh)t)i{?VEF*YWlIk&>_ z1g#Y2__&<_`7Ez|ZCH^$b|h(R37UtI2-&D*>r+pOsB#p?e{M!09xRKR<-b0MiuU0zO1(WxJ{JsqyF;~K zA1I167ZImNjCt5!lC%!AnjP!;$CED7Q=p2Wds^Nan?CYsU-|02mJ;;10p|pfr%(34 zPp|iw)l9Q?cOiNZ+kS?uys)H;>UBC_zRvL>-rav=y9>ZAApgZW!T#pdkZ(AT%*Psenr$+ue;(!@h{&idHe z-wmrW$;mzoQ85kbc6L^K1nYWE`JK-byD=0rJGiF5)nYMl7ol4=!Jn=SfAWg2?LysCC~Viwbk3j=joNOcrPeYUFR+-7_Itz5>ahY<4tjrZ z79lD!=9n)3vk8$zF{3Fsu|LH1F!gbv4EW(=t*o<`KaZDM6j6@HwuLr#S~CYMZuCo( z*0YEO1$apRa$F&__4jgY&h|VpC2DnfOt;b=mRv@;Y5cvjczc8Q&nvDif0Vo8JA;@; zJ?^1NtbR8_`9Hk{DO~HiF|ifcADEP90(gD$)g!J-T}kah?^TB~bnzx#(L-$6olirz z&f=@keoj56>WEpvR6{yvYh;%V#cx7bZf#?eI2V2LXrMl}D)0))s7`@{_S%=DWJmVP zBOIAO^LciulRGE9lVY~UZXHK7*5UVYrR1*r&a5fKp0D{47cGeIttpQ$qCPt1d5!&C zRLCRlie9GR1v*v&p&!aO}pjLzQcS}3|d~Xx-9MatNVwkY3=9&v@Hy%rwJTZ6m zj_m}3m2gdEN0WH0v-?-Wn}_75$4$eObQ_zm=Obg^E6jZ<#i(RJChB5TRq@xp{XN#x zKwX`B(k8xo#Nb7TwHhfZ<(SXt;PdNe-RWZveRuKHXU_Y{#wPh+J#jg6bgXg! z?Wv)E142J4Wn}{mcv=2zRKN7>E*2$)4hnL?r;y6saB`CYn5*>ut9o$4!L`*+dF@cPT%xN zhU(z~nya{{(7d8y;FA|aOGm^pW_bz&N>ksA-Lj{v-Kv$Ff`j}7eY|ht2hyDHyQidH z;ra*8Bgd=P{?XKw^BipwfrRAV31pwLzrRA9Q`aaZ1ghX zxEeJwi!!y>#qXS>AT!VK`-|=6jp5tb@vpe=Wxo4i-jWTuPKd%=qm>re%ynVW zM;`SJ5WU4qy6g+~`SYWBTaJxc&2i?JiRI$tZd^uD8QDCl2s`ImT!)_k ze!}u{N7;H_uM%5+eEV%9?#@+{3=I5H`b+e^HqWWYRO~0ztOm)6T{1q^-7}nb0P*O< zcM13Kpi&CKiS0_|CL3?O2)i!ZUAzk=>Gg29|F(C;+Py*9zW&U~&ghhgI7WTmsW7VH zkDnKYgj1-nH20{9D)HNzu-OSzcCKER!HN{36gl9*a9Sg^_$y`6-Ymjsj*+Z;?;@9; zM|`iaA0s;XTny^QmJb+Y@1d*eK$KJ1&S?<+Xt?(i2$0o@Md{J1942vxAZQS1gC$jU|PP8^;h#i-)7vA59~|a_sahzdI#ch)hHYV zFJ3f4uD<7PTYRxBB&z+OU{TO?y`ylU)jzoFmLgg9jpsQ_+0`KxDooca>LnW1?D&J^ zZ7a(GnnZ)^fQ@!wE-SXvo!BlK+$olj)y-(G3$0*@tDP+<2wN>7_?7y@22IUYUo4sX z*Q9Mumvb1W4Mhj((EW_;Wm=MrW9GN%$YR-C7nbhenw2XW>#u~Idu7>G)Ia~EO@s;y zb&tA+&7_EKbh9L+F?FG;1N({u?rWOnanq??7*)G6!3R!nTs8DnKJ$>XLJQkKEh=iG)^GZt$_mGiZmn&t?Sx&XEZ<<#B&1@ z`uY3lPHR?CKr8PvHq!q>%IT%&4f*Y+-^9A_h9%rse@$?|Jh(KVBzWCwOzyW@FWxZ`pO6QFa2b&14e>Isg8SE*rE}Djd zg*sg8Ck}m%V2?{On;)>V*5#1N5{nZAnw##K~PDPd#UKhsWs#dLz13XlC%fR#CW+DhCUj84Y@=Ri`yx zCEj!P4mTahn&FZ#Bh4DWR#Ul6`X%f7?j#f`Z)m2BfoRY*(Phuij=WlXUgwox-b5dL zo{kt-$}?CpDR0vnFYu!2CnNoCyH8K{42t5-X!{2~!9VONkEOTm67hH%V>BXJ^*hCv zDtXAxXKDCHwN0dL&|Y+hlK$VhX!+A-isUqDnTBI!Mxy{McExF})Q<-B5dWZ}di?@f zb#^!fhY^Pv<`A^TWorVZyJ}(E#kxbHx);lZM5VSa4(#z3LMw)qJF{=>Mf5H^U@Nzc zJ}iCtrfgDud&Nj#=K^z|sHV)(`-lT{8wWY9M6Km(hyk|Vi(mKCkbZ9Nzqp4F4-g}U zied^hq54tQ~JzrwxnKB#Jvq5Nfb-n)|R`{B-d4qE;-GU_5#p80TQ=UQ4$i$>TYgBh)5Y~n#u`-aO?f7p1+qQNgX<<3_2Np`xt!DFZb-1y<8T>~y}o`m?! zOSLb1>jlH}n7iiI+0So>4>tNa3WRp49`>v{5Z)D16xzv=b=4H#W39MqKxTel`{mDs z3cNIknad3Dch_{xrf-wsZ+di24khnt)RHlmB=I_Mbw!!EupHR#o%sgZcXM@ze*Oyf zi{Hbyk2km$0t1w)zkrQnE!&k?9tmr#o1Lg+JT;_B?)gEAtYh^UPSS~?XoJ0$Tr|B> ziW>N_PI?VJY9vM*t#>}9<#7Oo8-+i3aC*_PROfx#BB1SXEllgxCDF+k_yXsHcPTMQ zV*NC4^@D5ReOGAG;^~M*9p)GHN{?f$hVLU4^drBsm0zTP8SiOh=G-0gT^ddr)_yy6 z{;@}P=x!}MB5*QWR!f&D^txDwJwN0ob4{ID*?IF)|88dr+Uir?TuK|se@vczVax(Z zDY|L1tCa!=gQvzg+oNxu@UWy98!5s+sJQ{vng)!?@w;?|ZaVjI|D8BnS5@e`pe5E+ zK1txuQ;+kTK~1q|lXcOuxl201i%PxjZe_5mCZBJFI(;sE6Tkn}iMcOQ68i)XtL;a- z?AkFO=%qcRLV!)K++$}^%`C^YsSrEoQznz4wWC|-%2%ifI8p_UmAclgIa>?pf_24- zt52SDo&4Ln{3bpy9(4{6pST!VzKZzKf*$|P6P)*qEmU&A+dK}@8f%>lRjkX~EHDIL z#?ALnJSiL4ATg^5GYNYA(LU4XIeB8LwhrO`8b~?ZKx{4DLtxPCIl3_xZElngJg+=^ zHOa9XQY|aECQ5c%MDNiy$C2MF|MOG=I3m-=$W1EjN+fur`SWA;Wi7+F<_69F9)7?ye}!3FK#z*3iR(X^(Jzdfad z%DtHSc2w~za>nXG{^^;R#f}3siC#pM*6tvN#D3Wv=Be|yMP!6zSNEyj!Uw8x7X0s= z2pB{vIy40jG<9O#GSSSFoG<9OYa~r_c#`M4vZXUcmLK8z%?2l^@0Vre})l4)cBxCa$3_Qdt92mC92ji z^+p!4vEgM_w@Yo8%q3ZNz6E-9XK=1pMiD9Y1eTooYkXXKXoCHAk6_JVd3nGd7Y%R~42VdFM zv~u;)1Gf9`QM4kgEYZyw-KwN(D&!k={Z9hSYCpibL+}~GPxLirMlI)p!Wl)( zckF65t2l7MKjnJ_lRou(8Ji@&o)IH|934OwxyEIeG9nj}4vO6KPkwO1cE77or z-ibjIH0Di02;S>zj9d##1RGtekIbv$U2aReuF1P_{c~=A$Gc)9T=()#SN-g@W~wCJ zcMYv|Z16|F9MjTRG;XY*%)l4RxCuEOxMV0!>_=YajoHRs#q{MV^b`nwcvGIRYKvM= z_%7RB%UTF_&HF9x7u$Aue4k|A4TA3I9g#1v^KlJSmbP~Cgxw+qOGj=v;xz0-gW+QW(jyw;XmV7q%7fmjdW z^3k8PJc^sLp|jn)X}?6*px3Svq{pDtP3Z1SaV5_01H2rhc%x3m^#w|^#o+kB}!`_q3JL5b!MFo16Zt zUwtH`qT9sC`nf$UaxR=3^y>bWlL`Ga@eTbeu?vU#9$<5S)&rVPiM`ON@#uO@G-wQ; zU87o-)B~*?UOuqajU;pz8|mNeEE+FG`{2IF%^18GBJ;}f4E|W&?iBPV z*xEx`I)1=zg$v^jYHk)k_5bYUFS2<6Mr5H=50#h z3@*dB^Eao|YPUfhu}j16F9~^fn11x-wru$N9T!~EHi~xf$UgXWNI?%Oe0<5%+y7F> z)z=Am3--)7Q=>vPb9#Ai$-qz+CZlh$)nGRx_1%2)~|LFA;qJH&> z_NYU$FMX)yHgGB=6ho(*H_8WCJ-Kd6EogHQoD6*jd*fHiW6D>|pbOT!b%($Y@s$PH zcKqb^q3^(tFH^iUT#E}YTAi5KuTC7Sr8IBLLuRRRVjGjchpH0P$x@Hl(bzY&avQRz z9^Km-Ea7YtsNtHQBeZV z*dgm>bqp%gV(KU97GyG!h{szOAxjWR8O8rhfT-z6*Jh1xyMJb8 z-wx!e!K0r74s*mi2aAs%mj3G!>Mi$&?x!w0c67@x`Ko)l>@q>001d(po;6o@>&8I5 z)zQUEexVr0pWE_iA$TKf%g{u#BG>&4@T*RKKV$DQ5p8mZHJ^VIQF~wqASv-z!iKXugd}QY2@%jPTs-3T zAnC=jxj|*;KH9ae4;P|$(`qHi7C253{sS`0114BgAYT$S;*~dSsX0B_)lFM`j=#2RnHbD*V83&7Qf67Wowq7$Q*-Ev1N|w9 z@~pFMq=!O@eot;$;54AA!h+$(HZho(2H@9%t><)|(0w+j?@Ov4P?4?gc|UH|$hJ|>YKhpBY8(w-dwAnOYYbRkA-ZZ;aX zn!kM(MG5E>-Bv2r?6>W$%$WHkqv8o-(UY13|7I9Jj@q4}HxOtx09|*H9cNe@nc`sd zh}*bDtrfowT}~;Ic+TJr9k#3I&D>YJw!xwvEd#nwwp;3_q)CN&zlr9g{CeWFpuxj# zifat(IlD)%xo6EU`?bt6;*EbgD}}Z-W7T4vD!>&xxpE)!eX;--a~9L^e`}uoVFnQuJ+{6z)uM-FMOp5vNg7t>q}%1*>J?cT@pjH>Fl*5%2xG%4#}%?{gq_o$7Q z(g~4s@6?bkPhU;kvxZkCfuowU$3NhAL;mpt%v&3Cj+3esLp%=q;4jJm=SfAh@y~5H z{-3>8j=1xhH=#82giZi+bKLK*jrw2``S|KBjWK3^!4Y~wQ9?%`V@f+3DjFQ&)o^G} z*6l7MHiiDAFSl+&`}~u1sqnLhecl^1tsLKZwhfrjmu;i3r+tk13QXweZ`-Cnz5X+y zWek*eV_khv7Q8{yN2P)}>p|s@%{@|f4M=u~lf-<6UQy|NnfI!kqEbXbLZScXjHc>@ z@zz&#xK4P5DW}{zsy9dJ>3O+l%1EKLUSznmQK2D~YGGAAt#m8lFDbiGv@Q~N<5aWO z+E-}Oa-A~rY&xU$mL^$T)uXQ#zdhk34L&Ixo_RB{IQLbPdYj{RMO$DXi=i(<7dCeT z1G%wI_*cq52QrGv*tMfsnjKZwOlALLAb(Hip(5&ZR?b-g}r)@UprIj0GqF|}>=-#x8!zro{&pcB`FRTx4^89dB zi2M|{0$Qemo_bGxsaziwhy}ZN}D_W zEd0S2`^V7KC$A0bu14myermofr7%T)x)n#>lqG+LEPmMYRk_rHt7fK(v~%PEE{(ah z$X>;5F@!yp_|-><+)qO2z^z9g42^|uxmpDI=RINHH(T8S=5$Cyq6hb;`ysx!^=;^n z@qMIU=UFwrMRWVQ9yv#;jZ;wH3Lw~x4-8L_2#n*nZVJY+IRW#tlcSeIKkd;rOe;8h z)Dqb0pg(nH7KrsJ0Y?((8)RKA?%HeQK9)A!E3i;8zHt47aGYe1Y$&mO`Y;ujEKdp5 zI{0e;U(iGFwW1n*EW{xN@|O&Zy+In7rdAquG_gXE$Ap>!O{CKD< z_=_A^TGlxH9({ye(5|ojMsW_((b94EXJgny`WvmrA zB85~i8isA6h=wP1H~m-4g~3ut_ZZeo;jbL|oAcmV-OavH_<)^{%glW7{KKc_xS)xk zad-~CQ46geKp`8ayKWdS4%dp__)^1U_%l_$L*E4`uIPRC)QcJaP_SgoW^LlhX~h-! zPa}<3zr{^wKIx4IQW``t)2VWE_0?#xa3-f9lNdN_p3_~}V;EK{Pxr%LD@~6>-dV1aCQ>X-=U=Hp z9(PNJ^7OjdD=FXW@l(z?q}-tBN(i@|zIkPR8-q^PBLmaJ)@^kCYY(o}x?(AB?Gtuk z<7u;v27d4k%)&29=fub6c_}-2axETmLi_bBM}84V#WDTGw~0+c$T`9DPJQJIN80lt zEB=@V?xcQX{nkA|uoJNGPuLMSQS@oo7y8I`-J4f-Ma$oH^ywf8OpH20wm|zMkiCPy=YmfNOwUSg#C@#}ldR7;jT^C)6{nBPTtc z?)ACIkpq0oqSIOu{QOnik`!CC2yY8?SKEB?vIgY-bjj@kpNT^FqOCCnUnbEUk=NEZ zDZ$$SEn8FhxY})6WYylT^73zPu7O9e!%UlqZ}TC@zlV}tPLTCCxKA>?L{-_fA+qR$ z^Y>h5=0`&tGo(Lpj8kE%7nhza+Y$>;5Qc8LAJm0jfq@JRBz*B`IkIMorRwpe}Tgwvsf{VJC)jYo(c9f=zgQoY=M zaq;QXYrn&euA}s`*6TU{kmmo8zZIk_IjXG0>k)^@!M6v$aW~$i%%aY7-0L_$eWD>12=xwgsg6S zf3*}{)_B)l;wO0<$j1^qFb%=zXYm2l`R&827)$DkOXRSv8Bju0V^1vy}#J$CT zvGvZ~IZU_JPbJay)HCuIypHYw3vde?5Mfx1{R(ATkb~SkaL$JLvwEs^_d_uv@N886Ms#*A`5BJcZaC5TT;&X7S$m zdROR=c?bd>0BMu!)1xW;3SFG)O;7h4coOP0!i>b8jrq;ns_o{_y&&2Z8layAzjEST zHu=gPy#?mxqkrMhBS@u(>6zD^642fCY)!KJx=^Fw9E}Xk6FS|7tgYG`8L?+P%O%i% zA!Pe>Q(OjzWW3w=hvlW83Kr8oFadVSZ!u zhbQ~7bwVZ7bN`Ws6WQAo*WMQ%87R3J^l52y`bi~Jr%*-w{h=T1n6(kja-M_--h5Fr zS#$tK%QF9xv45+Na!1aQf$MRi>GPQDU4DWD1*F30>L*I;%rx0?Pv7mn58kRA~O- z#HvD8uyZR#Wyt%wH1d0E)tH{C`53)(f5+J2d}JJ#4Xv)1{2jh>d$P8%lef2&*Qx7> zeoR!I)PeV*rgVhcH~oLUQeyFRZvkP_PHyj^g+mQc-%B9+G?a$=hLzcTT|(6{pViJfDYGVDUuySFi!e$s8m zu?jIB<(t$p{GKip#+vM;>&A^&5fMLHC}&p9P84-0WQBT(OHjAK9Ezr)RA% zOaF$|Jwd1(T1emdfOL3j(fTkp<31_o1YywCUsoTrn23`M<5^Wri-o7KMQLoyT;!7M z>8R(u7HX>VLJv;%Mv?z2@{f-9@Rb#qcdYd@IRAu8ee35;u#ZuxMlYfTZ+yuHX#aTy zf8BpSwnMk$YaQLNe4F#r@!Q6vP?h6zTrU*-Z~|#G3)Rl@;Y=EziZ{+3qS@9VNt;Kz zNB5A*Iu_UL9mn+_`it@=LDk$ONC)D}5#HE^$@mTHi>zL#23A;3)z*Mcs|gC3bUm(2 z?_Wnd_(Qmz%!ouH=fwiaAz)C6!1*yc%nNM>O*sp`=A_9FlTk~DzPfl_qzp>FV4aSU zRLfu~k5=_A`6k3`9g>X3Sns!E;_r>17(rnKnO+D7;?S?*6i!wx{Q$mXkGWt&chSqp53B)u zRZY;(rM7$-dhZLr>F>>XYE_O~t%ry+dq@adYklhjBx3R3x>L0N`AdGsE@FsZO_VK} z=i_Hzu3WNE=@B$vuRtqaO>jZ@#l)xSSK08=S3W*Sjk;{nQSlu%u6&)Twj)`^r$`kM zXUf57uv2HGkIk${q?aB&F>$MR%+#W$tN}ixce#avyr3O=k`gjGdH1{UQZBYw&0Oed zF4{L>cPDxUBi2J<8s6X}{Vj7QkSo@SUP?0gdSiJ_K8Jf$vFho_FH;qWEshxQ)vxrc zT4AR;E1@^n(?l&*$kwEUjnvvnKGW=H6+o)t<0>Az~~|IZ(S z)wJ4^tt2+y_!EAot32O{DbdSJ?ufj{V>M;1oRRCM)N^B0T1wM4RJH|Hs*Vsvr+ZO?$f)@?AALL?ZBO(m4QA0gmpSZo z&uf0Mr);;$(Yr!PB{)k2&A6uqUPL^#ll(%9#~OO2>h(L>#w}B$ci5gGywI!r;*{+e zt9F&=?=_U~=Zg)R{p3?sknS83EgVY1*yS%viXPO^IWSVZopx2Is?GA*(9z+uiv4rQp;5~M2G=gapo@di`ycTXe zm_aD`zLsrg1IifY-F-8Df8*q8`Ml&(2V} zBhWH8uUhMLQAhWPbcz;Bgbo+Rg|jzV3FAHmK!9UXfGBYM5g-X1p9h2#3Xp$eO<*C2 zN7h++)#aLqd5INRh-lR-cZVmywH`DQyeSR5DLv5-a5|WxHSM$@+d}i!_-^g2W(M5l zw`HMYNPV_QfNb6usCy0;LboLu0Y@j2AuL3zr4qmow@7XhH|?{1pl%` z2m)S1S~%dbH3&G~nz8~Q@>9YUQtLMvI#$By#DJ(m0q79+hh-mJ82t>?0G$nLfPTvl zCm9UK2n?s2oa>fiI~z7`0o{Rj6yd50POR{^LodtRMm-MI{lXbd9HV=p} z=d@z&kcVckc~Y#+ynjj_Y|1`Sw|eS*Q|c}+#etz!D@BswiytZ}w4#>A&qQMGok&@a z%QQh#f3zP1V6LCq4Vc3Ne%OIheF^}i zcbqy4n34i614y@YfDbGYcHR6nFxhMyCKdlN7wPvIO7y3awLJh=Qwt{#~K7JU)(@&|!0u{1u z!gyLJ0C7z3>>lw;as)UGPaOxS#ROb{g^-=)05dDe2rzS}CVg!%8mn8UwgbhQLIF%6 zV7MEvz;K~1V7M`f1i+jHhP#m%@WT}dcjG%4E-MMdi}Uf>eEcI2Z<+$))%p1RfFH&n zK9!H>`)Ba+j*Pd30=x*25B?#@M>W|9xa<1VWDm%v8RWC^G63i@UN;yo&jHl4C|MBz zIfHs`RDgQoZLHnE=EMID>iL|HALiq2Ks@gZm|qM(UzU7AWdT1PfrJozfld(t5&c@! z0THeEg!lsC9YI3$6p)bM)Z{*pP$)>q;4vsDgfA!o6m%mT3;^Q<;xqVyQl=)SK)fU$ zPtpTg5r2jVkSJ=o1FYiAcm{;spqB%fHDUlWy`{OeW&?O{6$0A$49Ew<=VQd@)2Nr3 zSB=q(0nG3g1;7D};n@i$SPSHjNTvW2g_nT>GG@?tn8y*h4NQdF;2Ie%;2Mfr;2OtU znvKCVj`P=O1v%xO2049d1vnw4`1l?WpQ{eyXIh)1Y5_bnQ4&yK7r#iez@jGFgQBYQ zo9rZ*1cN*<2}B2Lx4l3Th;CpKUV}*>+N1-6!Yer!aPVMiC!mxOSfm+)V3AVPRJC>i zMNQFA1y)Pu;~hafBL&270r9wZ0XJYFs`he#Stunz`WZ07B0xU=l^|Z#3B*Svf%q{# z{uv+tH;BKUlpqV{;5R=9zrlDD)WCQj@#8%U#tSEb@xo4l@k+EdGr@S{T7VqrX@WU` z@^jn-<{0-9%yAJv$9eo5Q>P{aLH|;qe`_reuh9zB5K5U&p6$wMIj@>3vxWj=o;kUx0< zvQHK|X1GK9UU20?op-GB>gHirWdeAgorYzh!GJ3Bq4P`9HEMej8Rch5#o$UNXjH4LvVnoKrRGK zRD?^6kU&E6&OUtKd+V+B{`hsd)&*|%IcLxNv(Gt#4-T={wKa|4{;#q7H-kFGSe=fb zPTgWm-wgC(tj?&qnvI}N6{wSa8s_IQfFEJPT)S$9YDVW%%$4`<`UI|x%gBt*K{26D^*{8fPO8e z4+nZ`Mi|nJEuKFQ<|jg7{z9CxEaf3HkU9yR5<6<6(9BDqWd2hnRy1@n4h`ZA#BEd}~q zT*7u?`e#7TbE5c-M)W*KppVD&Qk!`P+-kvAPvGYr@I%M$EJ_D? z32fhuU@vYR*o%(S8@0CP1K5{}?Tf=pg!Mzi^gOVao`M;w0fq=?O6qvTkRby6%?SKc zp9KCX3dc1AGo;20aeyJiKZ33E5JQFrU}#hwD0DU`)bJJ*O2hO%SfP%XzC|#@0vUiC z1oL-bdT&hs0_a7~U;qZ5-yhT03%0t#{8E_T8x&+H1qE@jLU~xBVZgtk68PsjQBubu z{<&D8H^4tlIg|b)eQbrxKtscXRXIdsDr8Se5fSZC)JOka=bsgzV?xI_AFNRDNWkS7{WL)~T3JNJUz=~aTQi;>*v5+wJb z-I$(-=@~$84A`mRFyx7cf1^BMWV(6AKnLv^S6Vdk0 z{q?}lG|UfVy36+rDdFV|CI1_chQlKi>mCaW8?NKnF?~1Y{rpiOYWA&L8<8?>8#wGp-Tz z8R!W5lwkXgSM8UBKG%Xi7h!r5)0+bQU@rL2Pz^97bpVD6>uS;V5H zv43(gLmrqR0vPhNql7^Q^~4$UXHe+ib5N)!R;UlA_XYaFT1<}=3K>+06{>`I`F0)R zg%bktLdWq!g?Rav5AnhY3)Ig<@i+*T;LvJ3KU9K4@lXja<4W)mSAs#P1c&0CD7j#; zc&G%Ip%P5LTD@gCJNVngJ-w^IX#c;>z$Ya0zi9?`0UG)j&A>Xdf7cA;nxJa-FPZ^g zu$g&^<0Xa;_O`Ts>T@D=&!zi9^U1O2~f237(8|DqW<2lM}*Hv=xS zkc$#k$VE%tpyaXXP+|x%fEzW{2Z0+S3~rV|iJ?6g?9u;4Sx@|N#Ci{^8f`fcmbwWr zg!Tr8m^#9ca*Urw;~}pEI$N{^?FB613sFFOkD{P78Si=Q09>Q{38IW9MNv@dV@Kfv zp7VjI>|Fx%!~Mg2+#XhAynYh!I&U}N_1v^n5#aS)i29g9z)w8fu7*L>Yc^y0$C!Qx z&@0kYY0z&dpekvmVtxqVhhm4Kvey{%GYj|;y#;ndie8~CRLX}e)SFjVV+|GyhqNfD0{SY9cOPMVpN0De2H<<@B`?Im8TkiO@VI}` z4*(qf7yW<^FZux;!0-RlexT8?ZWH;(jzrJwJ!Rb7aFS4q zQW8`pK?-k?i5gAw8dZ%_V=$MY7$w~!hH`;!kxqxUiJ(ksMA$!V!9TgUVKPLZvWnUS zl%-Ld0DDg1f$^YF0}cTK%6zZ7&Jmf`2+EA10TvjA{dqR{v*E2^hBfp9fLq&mP_{K; zP?q#XsBEJ)0p{<(^xl~Mg+K=(W_S<&FTxEF1JCb<=a<6#-dF&D-p zgOJpj1OI5}48<20$JY(;=j2N>hy*Vrg3tEA_$i1bpWVPxN*b`#2`qUg082i*fTai! z*%NPD`a}fABj|m^2X9-x#v&ZR^d&%#+7-l7m>sIby%u$M>_f6cp%U{d!KcHV zAO_yys&o)yAQ`d*+XO`By%y+xLL&2OKx6~nW{bi-oIAvTCm&)!g6VP3$|D3i0Mc~q zqi8c9Et~*IiyZ_iqE`Sv&7e~DdYGSHE0_U2t2q@^G#5=N_okPz@pP=S-nNkLza-^KZmi(FxDr3MP=) z`JmTNfu4_dsKV1yNk}Q-kb*R>01Si$2pAmU1CVa(0$>o6i0Je50|zT_Rhe*vbw_DL z(%_JRU3@Q#!lJbBr`3y205c4LeYdsw()Z+vCe!r0giu7r=Xac$+B$eFYHz4whMIRb zcN#lNWsjDcBF{mb*w#^zK?)tLSE1n%o?(;y7wy1EK*zu;(P4bs}s$a z(l@ns-DwKc{HY(q8sE`Xmwiy`D$YB`>Br|XtPCn)qP<K|oJq7U#hye?OK~DmiE>n}Ln1k-Owe<`>L7ZKRXL;QYE>x}U}Rpc7R{1Z z$3oBW+G6yyf)VUHv7?Y+`LXEuEj}xDI(n82v~@w*8ZRG0j(A31f}U&Tt;o^&vGdXA z5AcXnctjo^kulH~4kNnp2unO73r1*u!dxxET zjWE1M7+ynx*NDel#bU0+@@~W@y%w}y8ykBKB^DuhcyS#SZiH^hME6cRe%VSbaH4 zqcdo1t}wo)-e0g(=}~=G((Ftv0B03N0Bw28Uv*B4q8w^Vc0@(O6wHXd$H1oz_lio* z=S%&L6{+_W@PSb4oVpPm%W40Suf$&)@UfS(pU_Szw6%)cIZ|ca%r+S8 z`4+X@?W)Zofyat`BKH0P(~I{M;80OG`{kh!Q76=0;HJfJE#%LdTWl`4SjdGBi=?nV z{oPhS7{HHMatjF0)SbBlU-Ro8;nnNqBlYgelIM2nlDZEtaCOFXUpPG+mC=O>bLtvx z5PL(xQR_q2ZQ_&!Jm`xnK5Zb6x{V{cy2S^j^@{S6ydWOE7Tld)2kuUQK^j?auST1O z>r#8J>{9IcmdN_O+-mlXf0*s-k$L9Z!!dA5I+bUPj)6VX;0V;S2#!EeZA2%!p4ZVa zaQ>kCm!>!?XQd)Y(XQ=#8>(2Gou!Fhw#ftiDt=YZM@7UqA~yHF>aj|&`-%EiUGpOC zb35vpy7BIgO6mR2(!|I8Qi*yU*HGf`WjSatzwtX8)D9eJCKl=XHiN4bUR|9HE6JgatF_DlcRk2CXnqBex{j1At} z+MNnZw!@d4O&*H0{HUb9s3a>Pi&tI0S>e*Sk95qCARTxt+1(wPOGduw?Y2La<;dAC zlUP{vr!~r*(Fv>_HKXnk%5;rG{N3G#n5>Ib?YEDRE!gUD8e$ztnX`Y=uRB z;ZJ1CLFt!!C%Bv%J8E*>ncJ{5zb^U@v@|Q`TC}MqGi{7|-^X4L(#eg{|FJ?_b63K4 zrrrjB)HzGmBY)WYT}B=_jDLgth1Wb!-kmreQYGDsW=U%;xz1)Zt4k#T$CMB9{>na zZ-58Vwdl!!G|b-yLCoI?X_y}jX}C&$#1!pjwQ(I_4L@gC!_OYp@WaKy&k@$}qcYH^ z5<5usUGgKbZ|V7iu6C~Z6cWAJvAVtnyx7H>xZfoi5eF zfqrzI7CrlFS@5hYMo$yfNL0}e#iA@nGzbtUj9{q%_W6jnY`CCMAxK8gH-bd;ti=}x zTHxXUBM2@I6fOn-_fMme0O|{!QMpCOOeEs2(1}E-s?d={fX56kQG%B!g(b+HQUMi~ zsK!gY!%I}+B^qG~#wEA{(F#`}(3J)!R3S`Nau3M7*>WTFE>O-vPpRAkJw4%CLQF2c zXd!`%7GxM)v`|wSQcGk3>usLszJ&EoxG!NH4EH6h_efWu(&@lJa3%4Q1jYICI#5)Q zysj9ERCygJYBs+4FdN@|$iX)(-hkNF5g>Ll4*RNfY87lQRHd1ssj4#6NEP!hsnPfI z@y&+-xGKSZ2x8N!L2TY0sQ_+q&?-S}S|f-}s{^sojRPb$=?pxDVV)c@Pn+d+Zotz6 z%u^KRDGKwX!aUjI+Zb$o8{-h%#t=0KVsZUHi*IAx!?!U`Kq^9)4$zI1>@?794c6=j z&@8IZa=hhn0c&<6)@&oztP|F3GHBL19K_~3fwd)RR0gzUd@5L*VF%V`ECp*b9KhPF zy6H%pb#N0!HwA8@#OKATE+Tx7t{$M9D7u4i6GdkNH&M{dgC$5snXrVY28>5UfbmFs zh7_Mlg@f^kFfbm$0OQfNf$B!o&?J$708wSuq@qoQBK#j$o zkHt>GVlPz5*MdX6#$r2Su^(Wuqp;W_;E5{*o(%86c!o+rEL`5u9|q0puYzXv=RmXi z`=D8L{{W3J>%9Q3<>xkiG7g7K4^`7&>H*T5v-}%SX1|aDMN)I z7GKJ^g_%mjOci0K5`ZaBDY!Xz7uZ{b!8ARCS_PSjxCCxaq=TCiY2fBW23+vDj^!@J zn%W80<2o?<0a4O2U;z444TwmT4g*AKFkmgD1JCWN+2&SoTWJ#bi07?XRXX&54*0gx zetcVLEcgg}HTa024%qSu0k(3T!3|$uqVi!YFxLs(FxL^>FqaB$Xuv)q-X=xw!KE))W!S4`VXrENCFpNp2}&p|VNPWPT0x-*0*h02 zfyF5yU~x(WPE$+4;x*XfA=u*k<#jcX5xf&7U1QP%J1 z_8e+S5XQR`ZJMX?$aH0^?`C8@cn0;ewJt-E=z(rGfWO>k^)$SXG9pwD+nb|&pn-gx zMEmylMsaaem!NqdHrYa`>IqSFTbcC)C@yRiH}A}1QfLfyZ>G7Ttm?xbCvu)fZMG9VH6?8C>u$#t!c9Sg>^*Au37bWc*74uOw)8t_kljo@Pcz&1o*;#@+&%1S% zsH5()s^8gK9vg4!rPHhJo?_wDIl zQP#T^R?MafaUN=G-)&_A1TT*$03_8jI^z@|YRg?wj)1_lCAXu|Z_^wyd9A2>nB>;Z zOU?PxQk`Z-$irk_Q-=h4_UII;J0Km!e_Qh; zu_NBVn%e4WQ_s4ZMfLDNE=Hnr(tP)Ce+TV-INUQZ&(%GOT$dk55kKtto~{ZlD;d{8 zn$2%^nVy@#T3>FJi-XM<2iq=roo~4oRqnkIo=lWp0XvtsSU9Ift{WeJ1GZFn_#Rsr zoV;mV;3O@+AU1aOlTl6@bQ1W#oq>BrkbNAbvDrjM?!##g;g*}KZcn`uA??J@D z9)xZrZb(<+hIAgZ$pQ(C5WQpHwLy9mRSJ0Mr$#|4de#Xt(6bfVWKlV^$)eZLCR>LA zlqEtuW46N{L?POP$R8A!vR|LE9E|feoZ*`E+>2_8S?Ba6k{_!&igucGo){CvV@&WJ zqzreQW2|xBGfhLau~89J-K4S$=;~NC&)u|K)8}FJI4ZO%k+s*7i~5*sW^k7vY9Q8U zs!$^K`XV?|m7u!aF15y3-5Rj^M)lUBM~bULg++OxqT^t_{GJ(&;_&5l*l@Qzf6s8Y zJpX?kuKi?wxkvZT2qzyC?CmG#4_s&3^%7F%jXH%X3p zM%1`FJbF2>eWdd4#m0vf%tlp9r+naQ%qHH1x|B4vF1FX*+k>*EUNu*_$Hnt&u-t<(F5CQ; zVs3S;e!crCpt)n3Ex8qTSgZ1pZI0Ty)F)J`y4n_-8#gb8qkj7k^@6Y_yD{Avkk2WLK+mvOrG|ya4Zv12$>EZA# zFeUH;sM_z-g(549IKDTfJ1+QR^lHB9lE(dc18aGlEi!>L<5eEh!$HK@J60=S*h(D} z$R#Eb^*K3xj^%OEDbn5U6&|B3;>h3lrG03SU)&=Y#Clcp6wMj?>B5%eG@i;MsGi&8 z!b@%GQgM`b+!m!fI^O9pYTx*5c}vvaSJYR&z1WJZoO~_yAmYpOVxZ!za>(bHbpEUG zdsdD#MO9fQF>q3@qbe6W`-J}KmD*b{Th=vqIqCc95wOlp4a@;a(!PC$dBoTKc6o%x z*Ncz3aBoC;+7u1xOqF{EH!bxF{j-Xepg5iQMDgQt()iQHVl=q*l?{^b+lCbyzXLzO zizC-k4WXUlTJgXKJH>@^m0IsHc(qgR{-?W5ZHh(Hy~Dj5I%@y%`ynvcJ-t44T*-xN zlJ%C{fWNO$e~qgHr#*{(SNh^r-eW6Au9ehS5%eTGaj>(#e39(k{+OlKh>hE2(}&q$ z-2Ap1T)gpGYBXYFQ)=KXBue42Z%<86>Cy&VxK?t(t@?qlo$|~n;?=6)W6^gO3Tk7H zvrHVmHJuB5kCZ-rUP=A(4sG_hl1JAiGGxR2*(GsZ_Nu7B*uLwxR=Fxh7(9y$V&tYL zn(D@NOo1K9&C)BtI=~El@YEBgi9Rmghyeo5)~+e0<@o=*01YfUpUFo9#Tf1 z4GQr8jb9DpZXAoYR8Gzh_f~cnoaCPx*EMZ+$wA3%Rag4trs#78UAfg+{ChJ0&+O{E z1^L$`oMr5x;P?Pl+0*)l=nv=oB|j9e*TjW@GavYLJ-=!k`&h5e^X1b^hr~ZfLs4F6 zD$6+SXvCZA9)^C{)9&;v?GR(Odw8ZrOi}M?G)dIaT$DG6O)>H4PxjURqZrF@tRKhD z?wyC6r_d}Leqh02euYE1zA`E#rT6k^D8N@}gv3MF9{(H=` zpB#Hvl)XlulQZ2#eh=eA+gQUaQSd0gv0}82e*FJf+V$3;nRZ^9Ov~c6=m~#!fAwjS z>u@K``jZo6abZ~(tl=}vRevhxiuo7jDsZm5$O~~5ucsyqbVR)>QTcrA+SwL+IcC$P zFzmM`=K@dkT{>jFSioBUFfg<&aWrWsRm~}DaQg#CkTmF34J54gvhdT6DwT*HV0+@EK>Yf9=H3N-*%+ssO^x8o>tDj7Q|=%Xd2 zBoxZlh)*BxRE^U$53@p!R?+Rhu@u~Z{VGFJ%;V4wEpJ?x%X;iRfi{AAq~(-h?;(SS z^J?yIlnAs!?pQH7E&o&+&!7#`m;WMS>c{jL$dh3Su_K4FkDxi$#?3`0)qAwJ7XR86 zGBR+!pgcyLGI}}V-nVp!%h%UaA0Tl_W4?WTt(e<8&D(c+LOiMCui0xbEOYl~Cdr?T z>(X55U5OlwJBNJ&SwCzv-x(1H?Yva3X&|%8A63@{orrn-<%haM7EO^idPf53V$Ah} zqka})a>dre`F%4QrKHzakL z^Tc|;?A^m3(~VG4-`ehi<`kO+?m$r?K8k9+D(bC!?RQ+}=dALL)g?>n&Bf0K@TBIW z>Dx2Y=v7bdnzR_H3uc$9RWx&P(#f5cwRe+@6AGt>$tCu4?-;2aO1dtkwG7-e7jK9B zw{?ynJaK1Mt;4(IU*mYFLIAS44_lJX0NDiNUW$! zMuEj8QJ2oP%vfSB9*gIhDR}dfqbRAh%=Mo*iM>rx^wQ&Dtp{HUP`zGJstWDPyqKxj zpxnbVk3D8zWpdEYU~`@LI2atyVI}sp=5}RdwhR_bA_|HO@tiN)IDX!u#=h!?;+1jZ z3#!`h9nn8}#gF7T&AOmXDVwO-L$tjQ1|u2S%eRnzx3K{+1%fH^iW@US4gDh zdoleu@}Fb4nvMK);fYlmU9u`DpgcNYjF9$ZJv;pI2~qa*y9F87{MsQW<|af(+~`Wb zR69_%%EeK4m@4HepVuYvk$3rD^MmT|w2I$?DoXLjxJt-;5wB{*C$(psaf;U|aMcn09s`*s4lhQZ zgBPnDcKlh`@voPuQV>Ej60M2JK&zx0GaQh-W3gn@hb8+1OJ;*v6s^WA<|QcRujmRJ z8GokN8EJ_>SsIL_h%SplTv5lOyfaQ0*S;Bj!kvj(9FOY>vA~^XzlG-5n{gDBAO8TC zIL1UMae`I23KfopDioiA3uB?N&vf)dVz2HfD4!fIrvbx)`D4LCv0xN4Y%(isGVVew zSV@^G4UaOzMR*90;x5CZrr=Su1y~)+u{vmr@hJXLMU@v4On)2%(+w#t+x42u4dl?1 zt)Fbv-wfi-Wj^~(CY9d0uxZN~(U@cl)sA1~9{!uQrr%yKo@hiCxn3{pI2ZXc)8cHb z*st7Ol$$+UD{)NkOjT8IZB0ZYbB~SNd}M$7Jx<;eN}8HutC2~ss&)L7OvV&rwQ(0XWO?;E?C#oB&a_b5%lTwyY4p`NP}W|gW}^{w4! zFfk)!_L1mxRlBm6vw}nYEtJ;Q_xQ1969bbmMiQc1WN7t3!E9lje+8#nK>C+58#e|U z3NkXur!xOeHhR@u%3R*pI>&`*m-%m=hS#01S+{{$Up+A86r)3q=il4g`ZI^Rrk;6J zb1-5G@IZb~aNbZ$hwf%;HvNQH$S@UF-6W?}*D*QDd}665a24M>BpggySI@krIhd5B zJr_%u9wrk$XSDV0F;dz_34^8T@;26y!HeHWc8>NUw}e+QRK7qN5Ne$m@~fOf8!I%u zN#51>b$7*wEq{?vHLANqe)_q9tSVLS>I-mlAts@hDJ=>wB*y$wb#$L)b|$$}=2phB zI$5hVv7QlMpE6kRP9!}0O4LJd;YBPK=Fe4j8KtRhO@!fh7OCBabm}WYHgzJgUEwX~ zc#R;|zA0Q>G>|lf_&mNtg~aUop4j!-ZJ`UXwz4qxkCxP0ZGknL`3G^LdSLH7;fi|n zB59m()J^hEC!1L z)`OO$juWQdBp)8?tGp0{(l%jRK0A3V@Zx=#wEgVn;X>G-AD`UURSEB?NFjOTf5=f; z^ZMgZQGZ1wk3Y*e4+PAEJV%`()_cVqYI&wHG8__ zWycH4kG&YG&+KG7Vo)t0Lra-aeOc!+$q~x@04mt8IJP(ImT<>8Rn`Jwei!%;V^?qM zMo#WLh1)9jDe&FaSsJT5!ZAW_#$sV(coi^NI8HeKHtCEU;iSgd^b}a#zEr)quXT|N zaqE9R_qL6dFqq_BkABm|rh>`fbFGUs(gNX_KN?;$y)lQ$W;(HF@`bAJ`J z{a#cbOqn&6otQ^z4)rx^`!@eiUDw*&R&ulhs_)KDS}fA>E8A)I#Jh{_LDjpJ1Bmro zrOfFl)P&8)8QKOs#}u~tZSp^K@y+7{7h&o*Kz6^4^<;3})VD+4D>U`(jItfwxAE{# zrRwt|IDLJO^EHnPCV{;i50fq^0;;s~lZ<=dD~?CVjh~AH_G^PsB=Ki}GP3Tzc=e|B zbMd}yoFeNoAA_|6w~~?|4wwoPq#P#AJ{N~O2xCfYClFV!Vy0%W>6?h}PYe{?&CzT! zIRQ#fZ_}O_toNy9K9|axpKJivwK8Y>ji>7*EYX9g{X*?=hU6qfH;dhCyPjB4JpgE{6%W?0 zt!K_w=6Cm$75}wY_+RA7BTEZ6a?G9*r9GJh-tw0CsUX=ZF5c?d^81X>o~PtBQvYNaXxn0-O^oKFT0DcMKJHZn<0l7hN8`z(Ozt!Q6)9zI zXd;H06yExxT>^wVN_t(tq-fg@%PsS(K1tcc^T{ zShEFd+6*q=aYc6*w}|Q=Yro%mFjmxixg=m~a`T6{%ptp{fr!~a+!UJQK9##ZMt|gE zH+s7YBL?md(qN>Dg)`{N5jvs2eaTWMu8X$EXMbR`>ZNeoF_k{7y$-37IU08cA?=UQ z4~7-c8MN_7Q=lW;*k1X}$@oH<(kbgNLR?-RFAaCm4miCtT|utYrdjmY&=Z(KGoUwS zAZRA=jme3u6W++N`aObcRdrMq^LBrI$8-n9*TNiC#W+R#+V;6<4bfbIHvgj-G3p
oCT)E;riw|!RO7o)6*vn~>$Mb@a$pG?WI{H2HukMFJP zU6@OD05TTh!(7_8AJArHSul7H?W~b);|Ggc%;ftY*L`2pQAP3#70;eh z&qpf)Ps_{334X;0arzj%PjL?;#Ej8^5IwiV=o6l!CjLfQGcpCS1_R~{V*t#7iv+Nt zVG9kW5wQU~vOPwl$&<6Wo`6R4F&gz;hevgwJrM|x4(^`+>RrF?d6M*oqHsNTmh*>l z>qDQkAl}*ufxD6Rer;cY)ZjBoIBVDWQU75l2JR2QUH5; z`v){_sxieLD>Od+FM{rduFpQq9CGri_i6Qgso#D~RhW-+lVU6d44I9?zR*nCI>iGC z*`2_IF6Bt?6l5Bkqg3>RCmru$8ACrmQkc`bAAQ1{gp*8tSuyW4YC+iM?Ura|a*?1C z33LZ%C#G2-Y-IJ6JZwFj&*Y zzWoFIla~FNr^;${%ft;$p)qTI^SHFdMJImOmMpe3xjpqQIVyLKkkGGxml~k<(w>_! zZe4t~X+ms4xbUM%I^Buce{GB|GFR7Oq<=qd+u(6lM%nsH%Yy!!Fy@DOzYl+-D_pzH zo@T#Wo!ob8hwqLL@$Qd^+@Uzy6b|P{(dyosT{3-S%|?-q)7P!kdLg_J*=y@Zc%-N- zQD0G534O)fQs&vg9$qHGrMCM4*WYjR&lheS>YARVsPY#|3paC2SJg8QYnliQ zl1dh7Jg*3cba7qbhIKy>^%+p!)t}p%uLwu=lWgr%&oz?>2f!};F+%HG!uKM zsn75$W8sQ+?(I{_S>G~?0V`2gTtTUy|YlA(FB2{+{^S0(9+Epf5oZh;d zjKdPTj_V!!Mn4OnCd;=sD_2-NpGr6=BT7604lzuG7Z4oMXDty{0*;bSVkiGWi~ziv zHyw*#_℘=drkG$j+CY!N=gZ`3O1ix!7yJ(Ek+UEC5302x4Vlw_>P4qAf%yH8z3` zg4MUN_=EkbzCsG|PSzZ=7W5{a%x->Dc%Q3YP6vQ0X~bxb*~{6;FBScEn+mCk`*suCjei<|8GR%%39a0dl#Jf2 zJ3^M4jQXEA%&k=JNFBlPcd$R7^b6$bpCVZ zC}n;L)|WP~O>2Z^*JcR=8Ixtraj_tR=OlJlV;Ko#^skR{jD0lozIbcLG*C*R-n9TP%hTTF1mp?kjqhe0Ainr^ik+-n~6wd+V&RBY!DuC_sa4t!hMeT~L%Zk%HR8P$=L&Zb@lt5a z_x*6^>e*>$7F(uRn&zB5dGcH2`j<pPR=PF`NGEgm^(KXqKqxf;pQ+(~<< zQVvP__(j`jalUssOfLoFeXevsXJegT7ABH>${Ndn8uRy zDweVNpA=(BCu8cV{h|*~vI9$I`bxb8FMqoKWs>s7`PlH&VT*l*W0hk&o}cHh7F7J? z@WJS~V!GE2wv(*2YNZ~%T_-62$-&^dwW$A9Z6Nydl}m_Jj^$&Lko-K<6yPg-n_r>( zdm%kJMi?3VB5@L_|M?*rWjb3LG$ZY6--*JhXf7k!nP+DgQhp5Ff!>Wj;fLPordN2M zp6%!#)USA&9dK@%k&2OX@6& zkaCjY!Zw>>%Kw{Dr21YZRG%VQGB*oh*gW+2-8$XW&+pRhNbO%5{aSYJKZR(pVO5pMp+(h&GQ~gkJVC2z3Rpnhn%@Jj=zf6 zQ+z$7n|~2L+8884vIHqT(5}Ru&1v4$r}GyQh0hCzSRfDQS2kq|-D6?>LHnJXw^yZz z<`e$~Jy}mCx+_X7aF}j$`k)+K_gyNIr0gZgV zLhC#rcLCv_XoR-H!SW~9sz|p^|Ja>xVWnT#q6q|}oSD)&*gcDolUT>Aly%k@t7nWL zCRPs!y@ei$`0YYv>sEGO?)`VlzuWrTJ-*s9!5+JmmNvps<;-M9)ME}>pqru1D>oydJ&&`SS6dHR}aKD|uW^91+sl{DAQh4JIZKDHVm&g!akwAQR60 zKHSmn{na)a)M=~aAX~m(`HornOerMxM_3DI5ywi(<4XmM9Cks+c%?@HkDX&%E@4l|g`}ppiLJjrFMK-yZ!4(`qXFGGwwb&2wBLk}e9O=@q_`5c zW)6|>l}W_bj-Uwxk9|zQ4cugI8`#N-j9M*~wDCzP`J|6o`_*&GuK1g{h5Y zEP*C_G_k9CK%c-?WrBmRXNxBiDQ#}{fkY-u;>o<4<`qV%v3vMRbV!gcYhgdS#Ks^VYS))R>>nx3>w((iwkNZT(^oz!Ii z7i~BW=+CefJr-c~t_t;RrN?BUnG7aw@)icLAbbh~*`t04cE~Mdrp9ni1s6S@za^d@ z*z-w0YdNpW1{-C*toVv1JL!6lC7)5CZeG;ce3u15>fy~UG$S0_ihTsaqG#o|JByzz zhSU>wip-X2XKOB2b@?Nchr5sa5$#DM7N%DeZeWWmHkh@D@>dEUb%0sPSQ#r*(^N{8 z5BZ;6T*#02n@{w&f@sK{^nbFu?!CE`EWYad;$y(5D9(~r&pSU0zpqE`qyK}=T_~g- zBRgZN$p2h8opdLa$V+8?&Om>7tN7RuvcGYKI#f9{=Hiwqi0_W9L!Hg8OMpL`8G>T0 zO|*e2)%&%dnG=Td5bKIYI7b+^<5q{Pn<~+7U;8z`W$qWWP~aRPd5Uxy>dfeh;n)p0 zCyxi4R?3=fgo-kzI!23vuiwe4X%>-f4_R`kNMq7$m$K`h4LhWw5AU;-G3@IjI@f7c* zp7(yO^gGLi*Fkt;TH(^D)0)r3&5%M5Ul~XA{khOUif>=*Y4-G$i6HzsWqt^83+?Q$ z6Q1%)K!#?X&}7%3n5TDsW*UD*=oE)r$$5^=xh|&n*ZkInAZ+}PLP^0KV*A$!!X9*G zeUi-j!uoap(B2hD?S5(OH4f-LLjN`Oy z4m&$Ev8nCj)1v4jsiB_d3H6zC--o7dZZb&1J!aV0CPjC7iM&?a;E8`ajP^T&UnI{7 z3`I~S=eYSa1Ybrfr9m%Mvwh>2D%T-A;%CNiL>R@sA_D+S3fhPWe!*QPc!97})g%gh z6j@<>27PoYhHTh5bao+ct>88K(5a#s4TyJF&O?*%mZ5!82b!mM)0Xss4bK!iPmAq` z+&ouMim&`GcIhmNDY-d6_=V3L>2AbF%5kw1n%4A$1hJzFmR&$-ia$3HKJqu=>Tv*-H_2TCTJl4$)1RVDIL@Hpeak2q4(lAlk6Ma>IMh*TF zH*JZ>g{!&wDP5o9zb>Zw1V6Phoj`F$iyf&@A#SBB1va$L-=%&1zM>h4q@SP+Ma)y? zNVlO`6HoXdq^O%H`+#QUo{zbQ6k$C(I}CkvP!rH(6~z5MfZPWK>l#w~)Z z=11&%W9{&P`=c}%twx)~2ctDtACbc3zW%Y(b;74kHX?oX0yJp@$7nv;eh*{wZab&)kzZwn+m2oyU?eeiZF{j0Rp+M zLVZwadxSiQ&OVn51HvI`GozH8HEd=gI+_|N@E%SHduIyyCrGPa+a!tK6dUvhXnGoJ zEG-%E96xjaqU{mNjyi&8gU7Eq$%*+s^=PO<~`v|U5VO#;G7~X z2k6T@$#nlUB7P|5PaZpb)KOq4ZNGlwEMZDparAy+*g1ywHY7a+jpm;u1AAMSx)6qW z!?2Jxg;-uuI766oPFeR{+s*8B>n+8|@Pwv*3Q=uSs-FDS16OLFO7&u8)_;kY)qUDU z15ylx!ep#8&AJ3qjH%Zd{-JmTP--wh*{RGACG1h@KEZBgVFNwTWY-GEBoWEa3;zLNvq9;R$T9m; zn8D6Nzd8bC=^YhnbDL`B!hy%}Q^3XU$ynpH&)6l&J8d4zY#3tw8euGUFf+mp3DQ-S zZ+E8W9$?OaUBGawmtLxy*}t}T&hgp4uT+NV4>V4BJNPMP>dzflR5?4{fAkej zR63)9j5UI4ltMEmK&ZyfR4(estX}-d_Qpt^=29+AL@Y>o=UJs$a8;7qUB0h)al$w@z4&7azq%QyMvn|>BF?z*~lAhlLc{Z}==gD6Mtw`jY<5XzJmnughHIQor z@6o&K6*W*_j^T`3HAxKVYe_}1^hA?&+Sf>&afLQGQ%4tY>+|-x^ z#J%b4!0m_!aw1-Dva+Wh3W>o}X)^3n5kE$3o4d44?MJWH@1FmT-uX~Cps=cUj%0XWNh?Oia0+xaCv(uiqNP68qmpHP87U-XUejid2>SpLOdF(`g2eTkdsV#ZgUX!?I(? z)=U3Ejh-fOrjT}&A!5h-*yc>vHl;8^yx!Qzja0(a<7Bst<-j)kqOR&}7A*L-@rGiP zUeGnmg^XLnF8ulX9PR}@cY4g(*Sp>?L;wB}{cNOnuc7I^#KpSXQ#SYVvzd*_53^F- z$lI0i_j&vs*Q!?ez0%*i;_;p~%Qt?qayOEdI+21rae#lq9MBCFe%HDs`^1@kmFa?3hQ$u`*7i!n={ON_clRCL6tD%#!x)MCQf)BYar{92Ct_&mB-_mzT2xAXar_8k1b=qq_X8JyqKCxo{U9#x zxu`10{U8YU|HCeLeVjC8$IL99!s++myf>1_&h^hy&lFNqEazv>LmN)n0-yQ{ZCqYw zKZE&F`}FIfoo+|h6dIUj6o9QB6~=%hR|^#SodeI=rt^O@t+|%?^l04gB33p371}-I zd7(?H4jeyHRt5m0z@f?iY$?5^QME_>+mqFK#p!BCopeb0OaKaaHDTBfhU52wX0-wZ%v$ zkewV%B&MiJF0xq+W28LB15ML?G6&Ikp*tt2N=knen%^b6m4hprkMar6tv1P=Ypd$*@^qtZ?en46(`!mA1k+TP!rFh#4fgZ_Q zbwMYaF$H+B*;at4rOreqUm5#*RbE=XAcGq6K>BKzAC|&)vS73)IU*`WzcPx-T?X-18ht=eX_g4R1`JW{dgA@OLIzR5XfcV~BIc6R2o z<(%|+?;U!lU`~Md&=X0Rbn{+y0$CTmye z|0wByu2_Dh`@Hk#nF9xgos3|7hK_SSG_5@s?=A-|JNa_Y46ocGY;B5nPiVSPL!YDU zj~Rrf-IqPG%)GR&qwbh&XQ|+(d^5OM;C^qbWgh#M1|NkBdQTsxU z(oYU9sz0!%7&yiYIXWaer5{ZgntC?Ask5KjD;ONFC>w9yMySg{C;TMmg{&$pbk4$^ z$B+Mv*Ea5f%_`p2PCu@CXENF)1RHRPV(e&fT%Lgy zHD#Tu@_h1*YL`AIz3^tP_3*sMTW!09;8^ zgxbJzgcM#|aTLIQ4_;URXhWmLM;JgnMan6V3H*B(ASdxE`GKs`Xp$BnA87Fx0-QZZ z(1sr{z;yirrVnaD+-Y82Su0#LaihWCWG%))NhiGX4}EoeQ{~j8DIxzyg0IK#9-FJ1 zd)z}sj}r2WUE|x|eIiG6Y~&eZTkke8kNny9-^5vTNWHS{K`vlT9al9eK9MWafM z3>kZ?u?f*&Q#Y530NkZc3~DGodh>}L!Kjt4N@?`wT|brtdrW~!#gHe6$nz*uu&8!s z<3=9OB4|Qa5nI}VK8PiOCZ3_PBOzX3x$9u9jYIV@`6{(r1$%ptet_brgdO@qCr)na zWTHBwE<>$aJ|}bP9e(1Y_LwX;Ir1Qc#W6p@m)O9KC4oJplF4iz6p-v7Mduod|0`NT zgV5iO{-pi~62k;#d6dw)Y`%ANZAk>uLR z>E<(31>N%yX*oaGnMdlNOu@kj3+(w~1Bg_Gh^$`6?*h)W%_9-k*Du6r7* z)};28#4p*7{;>{}LTW)@M1_M56;iV?wOmAir2-{r5!HNIN2_i_>p5zOGX_#0e+ylZ z(s%+(0*cN;alC+-wnCxD&hPhq4BYC(S+FktPn0VtI4?nZx$#t8SX(m8#tPDdYBc#V z5h;&S0;es7z~8(;>{PAiiDA)S>B*C*wiMXLmA){*xI9q{tQih@;o{fHNTIgiFqR9 z)jIw#p%es4z72OlKs<61+W0w)BFT`DG8@O}32Pkgq2aPvSS;Ck-6CN~O?o~McYyGq z;!@8nd8k){ki}aF_4;87(;7wodW&~OCmUtI$?79=E1Kqe@qrRp62?Y^oBTE#6v+d66 znQW+mK?=i4V?$f$|E*hqeJy3G!Wqy$`U=XDm%u(QNZT@Zo1tq{%eBQ>wquX#JqGp_ zzM*!qy>z#-P}%VbP>;b|z@%Sy4;1gbNO zkLp&s6D9OG)@EW+4MQ4RXOfRn@2e}$0nL!2!G5dmZbBzO120hi(5TCm2V#*6mveJ- z$k(U}i@cECY+pg?@e$hoY88ftPPM(U4AIUr#0It*LiQ*>(lHMY;(F5NrZ8(41jk@Y zK8<}Nkz1B~By}W4AWO7uh4WL&pOTXip()y!G0##Ka^W{0?+rP2eNia}gNCRr8LJkva4W z&>JtH-sm7Q>?xu9d_qB$X0&nb|9KK?3Nvun1!pRV*lCPPdxEyiBB|!|q zi9OI0Me9Hph(SG390QAA*X1G@fllg2)js-PXDCAZ68wLWU~fmx4rY%=b9A6rmOXJm zPm${)a#*E1<((6&hY8ysDU9macJ$JRcc2d&9Z;4>2pN9`X{cd``NN`iFm+pT(#kgd z4z*hqGWUZNVI}P0n?Cn3O!p9sjRm$fie)M)t>{ZA=SZ02zvh@X5@Frq&^XmHh4v3^ zKMd|k;LiYF=PN7G9tGnk*p4#{KsEJx*=E>?Z$QO8JOoXO<6go`vZytr;O4iJ_Mumy z9@nx(*~XH+%T_-k7~KKksu8Fmk>gOu(pu>Hl+eT2vjjcYdOfgZ$8tho<3609Fa$;g zsvVS0L&?($AqD!h!rOFKcMNLng2FMhi#KA=M|q6VSIF>o^z5T|t5M$NiNGd4kr)DVpl3}JZ} zuoD>_dRJEj-Scc&s5h$he=gn#g0{dTxKSJ9QKs}*$iaXm*6QDC(`{UtC@^L5CA_Pw zs_=7LC+@U;YA2Uw>|DUpqWRzslRM#u>X%LbKf|#*_r)rDha#@6!-E{WLnAKC%g0k=|xu7xtH(KRqH0gJR~#{k?27 zjV(%6=!0*WTP_aY!Ztm?U#+a?%v67~Fxhb}>-G8}-`>>X_kux4zw7iOEZ#RbBk-&1 z2GT95>`Y^i_~On+IqogJWO6}hJNHN?)@cIXk_|top*#iSW&sQWFAK}4#jvo9Xmkby zN$guMGuLhdh=L%FA3Z-B25zMBGXTAid02$>{}INp2=k*^kVb$7X}14^ zAkFWMO!R8~?TW76uP^ftg!Ry(Chh9))`}+125n^`3P1zl2HL%#0X><#MNn_JI5sG1 znFO{F4p8<1(Bo{1`S#GYhBWbpB>09TJ`?e}P-+d|hmlGVi4q5^B^R-ylherQj`3_e3t)s+l$EHOf!8KwWB!K|s z79@cT)X)fQsC;lQ>x4Vl}tQ&;RF8uSN01&p$mbT*vphhr*8#dRyt|)_Y8P z;QKa?9S6g&p?cl-xB1!ulsuTw=b+@#&slL>3aiIM=pP^Hp{tk7iHHO{ z^8XQD>Qz<_RILi3Dy0aK7LY4zh+5B+!%F_u(!+0Xt2R)=ys;Htupa+}e4n!B6Z(1f;D$9pW72-;EacGXJcPGIEpS2u z=d7DTcKGLb;bq!8A-7O%U%)cLCNmiotHuH-9x-G=qSi628aT=%tkY96%MCfj{21~r zXfx|_$NE0yaE=Z&^)fp`)vqHx`C_|3ZY->=@yTs%RHbPTT6ANL1ztHQ%z|}`M}S+~ zpM#6$44ouYr5fb$O(|nWDAT{lP(S9HEFlnb(_zwBAe;mW2HvLIwIT8l5wH#9b*BiQ z#=c!PpV_`hYQ8|ty$=Q0qZ9=m!eO$kg8&R?{WPbEJnq1Wo2T68-cT*yQTE%}z?nlbgh(6c1N1wNnON0FHB z#dS_v_q>iiBAzU2(yrFK{$!SLce;;!y=qh)nz%XBylTh5 zJn%9G4^7f!ur1(H8f^)U?Y%8VkRv+Zg4F8CJVYrD@89?E5Gk&ozly8!1|(?+nanhDnA zxx=5A{HKLW@7Vf7Y@_acQt7G`^xQcYCZxmpXf_O!^lhN_VSDOMB;u_d*UR{Zm~U~a z6E9n@b>Jqw7s3u|R&vxNF@Nbbht+3>bTUrX=YRP`u=jLGKfzu!k^ZB$NY7{p-1)wJ z_}N_ukjH(yUJt4xSYUpYv75DxU7QHXet27R$wDB*=iB4i+6GStT2$ZSp8kjM{@Q&i zoMvqUKWe2RQhSq$@7?NWgyCFq^2!r0JARNCJMbd)9g78}qayoUYjs9V8>hm!muy}C z%!jtv4?Y9BW5lpLGuuDUe39juolG&KK)a$6EYI8-%@57wn_K*aNoJAj;9yBlb%}Cs zP*Sk!nPzR|vyckrUG;>-*)T*ZA`NI%&yY3O7L|3H1nxJmg$9wKV3tAQp<;~E?1jpU zRk)fr<=BDTA#C0&Y!#l1&aXUWdBmCK)DD7{1*?N?xt)oC+L9+9n(tfkib4TSK879y zSPmhMK~dxc(GH4Lrzz*jkt1Le$iHPC#G$QVqA8TSm)H+oE)xr(L}_9pxL_V5)PTPR z9~4dpeBv&ly0ymFKozGbAt2fLGAafnSIX#i1L2(|b^kyYS^NmN2*$wub5u?cEy0@7 z7a7klB9()k6mLBZr`%uPD02r%o|S4&+njit3iuNTrBKb9^>@u{i}+?oD#!mY&pJPB z7r8lKJqY#Zms3qX=~4Grl4bz>KTfv4a`4D)seP#rHJYr-V6zoVUtKdc|Db{&)Lq!t z9W#p8;!_sWnND2`-x*R{LDbBwE>qN%Loo~m3JNg2BhOm=*bxMORNu4;PORp!)>(FP zSs@V3T?wssJPNYT1d_oFG3`JWEpFBVO?M2mKoe|4>@Ec%un5J$3TgNlh;U)6Bxys% zV7gcOrC#x8@)nN{Jw$zjaU$4V3mni8wm;(BaB2aZ$taIjgX-`go`>MV=@gM~##||C z7r7kURXT$u`WJ_@*0XGzMH1x*k%&f!15-%F_qbBtL@CdXTd(}+$!B{N_Iom8YAewW zcY>&9zE$)^X?iDLPSYiqDmr<=g)zIaW^v51CLFO9d;6?=yEQ_s1?1 zLZP+6FE}H%wczx|t?*F18(MX0cSy8L)V-CeQ2b|GIQ)TfZ$r_z`tP26)3*sJ^*;^I z>F|n(CdmPGHXz8cs-7 z=Pmx%+u(lhiO8B%@AqP$-`Su5NvAm|n@0&+`^x9(1%44KrD*w%eRU*z>s0of~Q+R?}S0-B~vJI&O(&oWx36J-5+>2X7YX{uH3P3Aj|hYhg1D+blTX`;HN>|k7FEVpCfLa z#fv?5+#s8}s-xY*K{ zf|19Q1;`mnQk!tJ*0Sy3<0rVPi5M=~r3<5vYuO&M6FFNz22}L_DM7QCt)!=JWZh{3 z6kXpPB(}QuTQ7`&VLUK)!VTLU+NhvQY-V)O`z5^{P8dQJ%KP>*GrjDciMKCR_82(YsK5Q+lgp= zENY{;eebu5KaUhriP(7}WOO!uVn7Esw@#yM*j}AC8laly?tlXxykp*_e;9E)M(&NI z=kx@kuu?=O(y{*|{@T98F@|z*_R^uo_A&w9urVfp3jxXKP2iLz$=8|(d`X?OI^Z~?8xVd3OwYFW$ZjDG#hi+`2fU( zIK}BC{v1Zjer%1Pv7(*i1KLL4z^Fyjk0SiHHjyWS<$XCApbb$TvJO#)5APVpY|O!Q z`W}X;k;G7AOz~I7mm&*YCSQH7B0%MV@(x7gF!a4jTx)&bVw zOcIwR1yTpW-X4GEs*@OVQ8j%NS(%Sc!kDW?IJpio-hmZy`>{Hw+&b@#x6II|m{u13 zX(X9W!(PEhI3I*$=Qj;E9Y*Ho(-o){n6psh#Vtr4q}IlUxuLj!e=s5&69LholN)oj zxfVjBFdWk?0p#D{obSTe^+eGOWi8~iei)`)9H#F!17yo?weQ5kHoD2TsM7*URrm14 zzvtIC3t}*QYR{Ohqp!ZzqmA?De={GD)=r3oj+RT(ljrr-l;?%BjHP$J;K>1z{3c%U zsGAD7Uk!Pfa@r-(>%ua4wP6N541ai1;XDv8JO6n8XbV1S3qwvuFV%m*fxf+f(c*l9 zF70YIq$-++zgD_Gaz+D~+iS$gu>JfH!JS%Ed6)soLRJrP+~5ksD@>Nx$*f3pPIr5d zQ^N4{_7Qb8=us!D(Q-W)os4cr0NaXD#_|5PCy~Ys@$a8Q4Z))k#UJRhfS{vcYYwtf zF4HcHo;#Iy!}Y|#^Z_W*f#THT)W#gwr`~YlgL-IzS*JO%A*G3@L*sC5g2^B^ZMF`_BxI&G+b zlZcKTPHk=W^1=O(u^PqDh1WEDz`HMl4gwITocv_ug5#VT7qVjnaRus6qv!OyYns@w zVI#Z*#AqWgmu$m#ez{pvNM@;H0%MiVOTFR9GQ>4rSooUek_Q85<~5`l_Gq{|Qdktm z-k$9NjlW_qP+mmt4pR zTbm>Kn6$URo4L_~GGIOH3p6x&BbZAT#(ePu8u(u>QaQ!`S;}Teabjb_<|=(ZHQka6 zGHJLFQaE2}UoyPI4l;|mu%J^&VTM*Tro0~-Jp)5pD#MJIz1lPLxnC!RRZgQCp91@m znwC+zQ#lcqZi%lif&3`&wIm?wM)@O5H%m5qF}(fo{5h`8RW1FFY*iqwmKlcrf$o*R zah-gII%vicFe(-u*%;4gen3@Ce+J4R-ylTq!eo2dbX9(Ci(L6c~Rb%{n z6_&qGMdSk|gr!T6sT~m0oP1>1FgP9Wwa=Ns_Y>D?n=@cA3T{gVnxkPX69SLl3e*cp;e1jaO;3re|7%uj(;4L#J; zO2d@5K|w^z7?b^*;e*@GV+|zt#;2_fDUG-lTAl^I{vpd^@(`=$mAVX+XJ$V9NN{!= zq+?(;VGGRie7G{?W9FZ{`?yp5iGhC@qEJ+GF`e-Tft+fV82ZN{%6yqeBKm&7 zOe<}lcNt^$OTw) z#bgO9W(#7pj&0Uq>{z_`2Pk>ATzb|0ZW)V>mWXeV|~z+70l+}Z}V3s%sW*4s|U%Uc00x-*v5|szUTV=U3&@lT#8z< z`GqaF>`4J)d}E8FapRabZcg7!b_mJZDoSI_wM@M}%&#eQFUMej-pwn)*HiDB)2^px z7-=31{31yxp4q0K2b)v6iDmniqC)pDxWHU{^G|ZAZR5 zz$VUa_ZbJq6>(+`HeUQEFfwJ%+bq{zvmvO0bOl+FsLN-3jPU;sz4Z&(V$l&pR=(+U zd(6cOuCk=&UI(M!032OG(iphF`?&Zg=Ns{TQPg@!qENZY=YC~g_yj5ydW^EliwBQ+ zrom2-_}i)IATK(&X_kY%0O`7qsGCqRqpME*Q#bojVpG=vw(e%PauR_a&-~L;a4P9C zP@3qaV4o`H`p2SR-KjXJ7{|%V1gMhKe@!=^WHqgu^RHUo~(?8D#@b0XL0hc=`Bwd%I}Un*~`j}qz-o3vVHpOVotmJk3Q`5)GEY8 zoAp1|h5oUgP>9j~{;_C-l3H9S=Fpj|XmTRGUvKoP`|GWsFh`oDDP2oOTfo_R(X7o!+Bl=N5({CVLAr z-!bw@2_h{aj-AHGEo*LLNB}9a0+u-j+1fBGh9F3jn;KcO1v}!Ryk40@SiA!xtmZ3B zL^T;cl?RRK;#l-mO!sowU(%QX{t^@jR9dtyVyLuDrtftKP$quw9iCTfUO8ksgSVUS4oeg30aFHk3k6L3cr+?KEXD7QGkG7N*Cw z%|=!H!vmqGJSBWaD}x8q003Sx+6@U+=SFmt#4j%U(rGn`=oX0d9wkf)FTA|AG9n7V z=AsdclfA@6&9h0v2gt=?%rOgmQ99JF(oynl%F+{fem*M#%v)DDEDDuIS5DlB%`dn1iG7czSV-e0rVK79)dN49sZ3yb9ADkrB)wB!I zTPGC9>7hxoKPDqCMEa<8{zz1D3 zis}c^O%s=k0*kMXnb<^`&0D;!mxjD^u3;bt6qdAd=e|SNY=gj7DBZlMUWAo@G0+?q z8G5lOQ2LrJY%bAj?CAT_^MUQ3mdxIlokQv+!%7UJfpEEZztZsGSQ@PjIf>!+Y9 zx?j~_hOTMWj%g+OMS&hRj+xMvbk#8lx~h~^l%Lgh0^BMNoM&+@GN7OWRefw=fF+IY zz;)*m@?{V4b*!7 z4?;U4A%S}XztP1Gh3)!Ea_?yWg2vH%)0NZuZCidAgCz3%RJBnW0^l19MBKe9y0RK zbpiNe6$j}jx>tmL)6m8}1a*yYBa?qriV8hp+njA1jC#UGLtc+_bAA)8I5STYxqYm2 z!?bfl{zW|L>IOg%Gh4h>`MCes$G65VkSZ6`mbbi$NbPwz*{Ln_G+NX|45$2d`BSY& z+wqyldpPn)312WPtH`{{H^Furevm+P+D57p6nnxXr#?f024}GG1d32eG|E zdS-2ui>qBNjEw*BR-q}EBdFcW)iOFdMc00)l8dhA8`mvlaTg{|g2m_HlA?Zg#3r2o zx5l;;#i^acNvGf02=1o2;)I?t8)FIcPxgMo4ejWT5|U(NU2Wf0 zwEPn9udlRr%!7I#ZR6NUZko7I6bQdM<_Fou(wl#VcHQcqp~0>G85-Q?pP|vOI*yo* zQzSqgR*r>G9pU=_un9x+f0m~7&(hF|b3Y-Iz5YK-BfP4gj{KkmtX}uM&zZoixKsf~ z{WM>&qkp!xh0&E`1kFk|TJbZ+#Qu$qDd!9<*SGDL6KFlWrN1?OWG%QUtEZ1(?ZgM7 z@mBW#P?*-gAn)@p$ZzkODp#su1ekmL>LGh)5@4pHMyAIIScLF#mF^xUadN^BOFctJ zGV%Pg_UCM4o%YkMTs6Z9MfIm3$wl7OD??YTK6tGNfJ#TLA#>#+OVj*qcBSY)_9sMG zi?BI9iEg&n+j^yBBqd}XNr;_clR5M%4ND4D;-cL-QuyrZo+sDdYOe$kX6r0)??qL3 z-x{wxy~w@$`7=18c+a{29`8A{54D$)^y((6O5(=F_1DW6-;}++7d}5)y*7p%s~gi{ z-g!65;juL4Q{LDczS!9F$EQ40liw(zs`q-rqWsn{`;uI5%xez==TW$=$Yo1B|K%;c z=W9D{q-WUb{0Cp`k2{=%ZFYQhJhsFnpWirXz7AVkA3BdJa6CjVkPmykv=zE(+zb0} zM|TCWcDtQY8R-7^b~AEj?uSU8@aMJA%wW{>Kg}9Q4=!}@yklq$jLU=GQe+&hWPfb`rF=4VrDUmI+G~CC_ye-t9$^ z9j*L@1f_EyPxx5wMCdSO*H#=56TOIi-&D@zJyywlJZP$q3prVjB_YiJQHLHD&>a+fUDPC_Qg(oadUH*CLq(T*ER z(Q}7s$p!lW6XW>nJlto%!5vjUCVVg z=%f7|$XC<#;wK&!Ms4ZjJr?agfoPL&W=>}I=`-@a_|sNIo5HAP$r?CXVJNQP9EDAk zzK&K!0X6Z8gcm{m7EYv8`a){T582W`?CjNq>zp9NpoB4zouq^n3MiAmvd(o5BC-+L z>4~g7H@=hEhWiXr=dZ1+Ktww)+Hm!(gw^#Z$PB_0+6aW@G?^`=&bFlJ(mWsv@0rm1 zv7F|<_@RZ9>vmM{RjsYu#!_AszB%~S*8^Ldh1#+7%YV(kATLm`F68Cyx+wU#{&-j^ zNVZ-U*+;(E%J|dRjLeUsZ*xT=(mW_m`|!?eWEDQ}?{J)Cer*i@am@E>gc3XX;j*qN z1z!(G>@+pohl=GmPuXE?AJGH7Wi=6up8T1=WE)j)CnZUw%#xL`KnLMev`s zE0!w*(R41;1@y_lnlTNxhu`%M?0fcn?&2E-;K= zhC3d0tRauAN08o$#;z@mu2kyi1u~hRH9^Rv^bmer1d*NuV7a0?b;uWx z3Fm9qjr`wc_Da_IL@+3)pXcBO*`)L8q#~<^@U=;iVB|YADDSLY$B4^WcD4M(n4%3U z;oAHuvCDJ4f1V~hXHst$E`4;GkzEmD*3HSTozZ1vp~HM&97A?s{NlF8Xx@`eY4cm} z_%6HcXxF$4VVG7Q!@8+)IM&Fc9D3n#Tbu3cA>z1Gca|CP+Y z=&LJ6`JL2uc$YB3COjOB>0<5eKV)qr4I>vALtp^k(K` z8JnW3%fg<^a^}muh^^0RYpb?dtvlMwdc0c+R$G?Cp<6m!&I5mKvi|NIP6+pcyhQ?X ziw!d5{MB_I*bHQ@y}?^ig20mX$drHM;Yk~=5Na}3WM>)-0<9f>{^+Z`cJRLN7p8Lqv6W2k+%~X0Q8_@Mhb`Hy(j)pB=3x}1gc2hIW!Mo) z&t-0hmYl4A5RTg+E{Zc1^boc>6)$E7t7cv2Nxr?>hn?3_IBMtWbPn%a^3-eK-04{6 zENjl#_`T4kLz@p+ezb`jI%c5TX(uvg*-Q;|teTCI# z?BQEl_v#0o??id@d!&k78k!G4gdbg44yaW#P@X-Sdv zizE4aOxw^v&Qcf3J5Q69UQRk>IP#~m_+I%)-pZezZHZmIYuiCbLNNlc7egLrOt-fd zz$eL;Mt7zN&J!7w%|q@T`T3%2o1WU|obs#vL(gFaDtmv|=?YZF4UrZcL`z`4tCHBh z!RCO|p^8QnHBF`Dk7}Eq_o|a}dWRkwjZ@v)aPaVblV(@%h<)VWz%R8`lzy`<{6=4N zk*5!BKN%tG&1qj)wXyqJii_}I$iXBvv?@M*uB2|kH0bGdXp#!Hi;F?e{Py^XZ9sBw z(CW{+>WPz$;Te59-|ar-!C^JMGkvPl?}Tq@ebiZ`JuJGfQJB$dNS`&mzg77et}!tb zTs^ERa{ulDJC4su;Ljt-Q8^adViS(vr7`^!rKe8i&EbbJ*+b)m-Q@Vd^&`%?9Y)i1 zquFZy-kuOzK}}U&m(#L^hy6%hWzW3fM0~Q~Wjgc6?6Q|0W7Ph)QTFJ&;OhCiBMs** zgLLlMlYM3dKcoNj&fOo;tav+8^Eag~9q~X`R~hS6ENJ=A4u5j<#?+hq$>HLD+cpO> z^BkBk>g?*^RSVhiZKSBt!g6wEUo`EgED!dROP0CH`kg0^83Zjz(nl6Fbr1huU5*nl zVm4(y!F%I!Llg~X@2(D)<*cM+ea&n)&9_=R=bfYSwo_-T_Xa7nlsSpd@QeFtvp)ZO z?Be?TD&bJY;tSl^hHn3MgMt!mF}&xTE}c<9N2ZF@^t$Jhy34AC?f=*>!c~W!S{4`o z7%Vlq7o^jZjv|^Z`kmJMerj_?B{zSFrgo7q8FDdur$Tv%Uh6a#^IGWvlgoAswT+FR zSFC_NbX<9IGDK(Su2Va~&2Ox2wS}Le_QJuL7&51Hx$odmMqOT{zVp=P!J*cS%YEh! z#py`@3^RJVOvPe1y`pj2ZK(K4AhWcx@<#kMqxv=(OS50Q<<%5|3ccN2&I%lft;i&o zugG{zynY^Q5wNk)(UF~=V!@YDUX)bh+0u8N!?djdd?~Odb{gJ8uG(;1ZV-0FJ#g#y z?e{&8)7l!`et1dJ>-a+x$$`j2xnBC;dJ0Q^N0dx7Ze+ew!(omzerljnm%1jYelU04 zp4d|zD*8V9o%*->p4>Qo>rnZ*PkU~S{IK>E)}O#$?)*^;;}N{Ye(T6jlMhEr3OW#m z302Qz{uCk|R^!V=axWUSF6w$$co-qs(4i(Cn5&WJ*#-;&)G9U+;>n z99^pcm9b$1r=shBZeGkhdVO%qm+cHJtj$iuHsVP%?PZ$H4U7Dms^mR4+CE#?eLR)? zW^Y!DIZn#-Or7hGCILRfyKR z4$`{5p9;Kb^2uB{J?Q%_jnj+D6(G?O(0&@R17#LD6{6nuU;61X`{~d1=??+K+V4W? z@xxD>e|G#l<$qH%*xHTLRMz8Y)Z1oFS5DJnb3JgEV+;mf-qeS%l? zl|orsG2t^pNGM(E%Beg=o2h(W;L!I|d45Bu-rsp7{Z#EsQ?>P>4}T4FiY<8EAN+lv z!Wlp8;&SKxPnRpaQo`xyE`ytoeyGb&r4_y(R4XVy(!22CO_$y5hd1|y8nZJ#rPv~v zMb3jJ@>|%N45J*_cS$pc$50t zF;FNS<9JOtN}AoH%|-4*UVO9l2RV@VWOZKs^xnb#hc^$pe*hh#{hx5u+uI|v>ZdP1 zMtfuI&;AN~3|^;xLsNH;N^?Rw3# z`KxKfE4dsNokGR2T)rqhL2mQ05}(S>D*Dq$Hywhz>KR&o->O>6!i?E=sdE3EiIskK z?o*SJ3W&Q5G)8ID!*bfBvodtzAQ}?_JL^Nb#vsL`= z8NPHL&WPS8c*yIX=kYriO4WLpy5;p7hRG?bj`2$IFXfI>306pf3I9ae}Ax zt1ElVx`_SBsh;BE0cQ=|6Ze1Perznp%dLX4x}<$Kdbjd5nU3KW-q+bzlhtL!+bJ<# zr`9f%-HkSr5>F7{o!8#RefGd^F?|C`qL}_3?eRqMgKw@%iWTsDwGy+d`Y6?69NGTz znTf8|7W~qea~e90*H+U@c~hzzXFYwN=X}U=u(Nx9gP*^_bijdp?R?{>;ZO03<`%)` zE?tT_24-Qx_9aC!9>o%~3WZ(fmrXfJY5i#{bH%*J!yg?)>jm&1PDGdsayCN?a3 zy5dA~?=@2n|8Z1eG52aDFF(oP$2UrwlvT;Yt>lm*aNAM~ZqJ^*?kyDF#b%Ovw9LGV zElZ$Hl>fk|AA5+GmLraF-`xcj;kxe*is)ybXcOfXcLS71as|O{zAIG8vlA}Su)A-P zeq6GTH?526E0p@K7N7s+zbrd0iS91-u-)vQ<4>>r-KBt1JRtNcB0>7%?oZ1lQpS(m zSHz0CUrfld?);nHKJ&lent7tFx5%Q%bs?cvK_x!lTsW)m=_5tf-K}@*+47&Ra;e&S zj)#1xyX61ho#OZn>$r`d$&Fg7Se-O&74h?wApr^9=Z9LkDOOUaBHQ(^VDCiV<9wqa zZWY8{#joEopWJd>!YRYy8#kr?&AG_vsB_m7KS_yNmFJQCQ! zb;D?N`w1a&>$7L^JQDWL9wu;?;E(U@xB9LF3TwYDp(G;09=o2KGgfF@HW( zc0wt}Bb{o!F66eWIOyo5C&q9a(THfnq6-Ik;>;qBN(f{g@sgQ}`Sr`VI@R06`}rF$ zJJUZ#+0SeKF7%a}yvT<?BHPsTLe7-&#Lw+Ee2Sa~ z0p|;L8w9^^YE0S_C8zdvw?WaU0SC9;Szg7&gMDKy9Ne?MyZ1$xy^J|7w!0(pQ1Xvg z*K2Ezd?>hXEae#Kxe(Fu>X*>wfunaWnc?>(HGng15^Bo9f(CF7Jxy*a<%!@ZF&IR03=uLLan%G}Dmz_VGmUgj<;(2q@bysx)u&>jiL*gaQ%opX!KG6pvxb_+xJtxhs%$;;^h&$fEHF|*B)R(sO z>)K`IT4UXl!|Ap%9`)u)84@|8XW$Q}zDP_v^{2?EOh)+U#BF@MWj2_jGAnN0v#TlE zn))thmTNK^>5ptN9?s?xKKos#tUibTrB3R^b z)#Q|ma~tf=u$DejHWKvPBk@lrK-td^@l=iNrBX3hrEGSx;^wQ&rY`O&rUY|;^~w=3cpy*-B`scbosJ_sJ^5EZ z>ObIKXaj&(2T|Z02(~0x=EJbS?er65)@``=X1uB@)=@?A(ya|`mwC_7zk zuXTVOmh-aFu?i$FLCNrpvKRj3+YjeJE~CNKEpzOzw0vh5r)9;n?2p&AVz`v;gHk|V zpi0MgWqY;%!>#&r&R=EKRilI5bBMOVZYlZB`(tLO`ZNNoWtx-9P8Z*q&idKf+3-xO zy+P{v8{O$=ZYPz<#Fzq^A_rA;j`vF+YHBTAz<3-HU-C$!V%;Ljq)Hj@Y2#}z;a|lx ztW~(EQIhOqLI^rHluhnlJ(e+6Ef!@iC6U$C-YMqJm1>Ot<$B;@yXsRp*)QS~hpu$R zp5@q`Gn02*3Ww%ndJzw0emNPtNL;#i>;Av@&)TLp zW82>dT8v%gs1WqV1?rb)=+p09eKB!gWufw{tJlfhm@ALF&kOlJ|9qJHDh(#^s%VgK z?n2z&H9_^^-6K8%qen}91crIm2G6;AUj8z2dav%g@#RZ$*kZzM=aUqT!%^sw<@3x) z%v@xGmjKlwN8(FiT2FO5Y_HheS!QPT&*0Sh0(wRyO(w8;Ow_d}<-r#xO}!@Tw4T@x zC(?SNxW$*T#om&KFJGniop{%J^znoJW1H^}?7pO0S)g*&KVaWnWY449l2Y^Z5<$+# z4}^9 z{JQ?7qDV>DNzFZAPwN8yM!^0VedAw;#Dte0)_BEKbGqIpa3Y+S7Fl8+{idF&Jm6mMgV(qkBZre{Rb$89%pa1c_wF;Y}#_`Wr)z;mrYW&sm=#e@JDETGIi3^@@C$q=Ofj!^|@cE zc_~z?=yTjv!h1y?Ba&L(C3JaFRylHJCi0cb{4#}8Iv$?0e=q*>IBxm9^Om`GoE)&Q-S&|Us@9Jwt_Ajg6}m=&nQZlU6;q6%6m!9 zTT$;OP#pd4Xg#G*XMJQ3Kddh9U(;yi)6P+9YBM&~--PezL&oxAdOI54bPxH)J3cI) zb0w?6*F`ot-=A)Mrdr!JP9h+@Yt+K$z6plN$`teaz9A0QN!3~PD9c&|WQ64WvA8Y5 zTT06r>QS(C@Nbo`456&rFCeDmowkz|0vgx;$XDv*T+6_%N0tZZIy5dw53CN13v%LC z`0W3SZ?c7rW0ft3Mz1zbE>GF^Asc#&R07PbdNb$W{@O=>sos%-cgDq(L~Nwtx8|DW z{;vR~09yZYP2s@2qWaG3j_r0?Oe{`L9p^xMd17jHd1Ya)yEJj4Uj2IL32t$!CpIx* z--3}luFmqZ%)4?DMdYUju7&at!Y z2HM_HBA;Cucv@nT-57a#YIVmacye~<$3*wu@=AAU$H5-M&F}c2td<0eyRl%)yRcw$ T{W1AZE_AY8!ii!y8QdA%jQL%!6(nC~KR76A+lomk&krI#+2r04<5Gk?IOGHFK zN~8uMBqB}eO0S`Z9zqBqq@8?Od!OIlciel%_}z2Qxc_WN93k)beDi(YXFhYzkFsvv zzyI5kASqsmkN^AKv-j`!e@Ff2(|;8Bj{^Tu;6Dodf2BaOP$&fc|1+NaKfn0LyNvR> zbrPblWkvs&{{{Ddf&FiP^!Z1>*V(ado&Wmz!OB>rYdbd$yI}k{kZ)D%H@>RcIQ(GD zVQI_0RsHXz1Gq0oDTJVcea1r;*z~E}G4Ha6QY=`HQq##hiRy)i;8z^)8qXvk=xcqJ zrY3NVjBE`yvsc=bu-Gin_sw#4(AkFvU&JlRqg;wX1;Yc??gbH@xDDO0@rliqm=^j(BncLlBJG2TIy7r2(N zl@kFPautI$s$P1dOFPG=YNbd2T8$wg%)7%hr4MQGEGB*P64?KG6LpMrjMnt<4h>%% zPx5g0+1)C-#s6z;`Gc+n=h9W5e1fuofB)M-dz3w}hn94`)lEa@RU6A^yHDK$JqGwU zcIy~1O_Fo>(TnFSu3?Smw5dtwCpYciWV?=Akf9yjzHNj-{yNP(zN;rJ@HMT;ivqZ#{)hK z+wLh$6vMUM27*xRm9H1#Y<$W#qMgYvy*K5(HRJ`YrL66OZwl-HDGR@U6ug1 z?Fn&D`30y8%MQz*hmnw>ro3F&4d(`aqZwbjhS@oVp}ym4*2oX5qSR1sD+gBWKv;M0 zv|5r1n>j4&U`N!PRZRu9DY^-Y@ed!z75;u(2gJi}EXSVa{*1G=tiM(TCPN<7nhXIO3Lh`nU?1CQ;)j9I)bp%nB)z&{z&IcuE)+R zVwXA02ulhU7k)Hu6y8{>@ynLEUn$ zxrDvEEwC3D?M=4Pa!Kbnsg>^?_gZFxmpsL0`Kbl=8cc+5Mr(R9jS}^17K6(U8gM{; zvy&*P<>&5MNs0D2+Vv!!^6O+MlN}cR$8gY^j0_bJtd21$fEYkLB4z>ZG zsp;gfSZsD!8aFhc`mvX>bsaO?Z>)Oq4I$+W+lX3W7jSo1hUZt!BgTPL!KyLBxqNLQ9_(Cb1=UW50{eqY-&e ze4`l+nb(T`kU#U)Jw;(e!s`NRW~9w7BRU~!&|@^NOu>uu!fSa{w9dP(AU%=NV!NI- zUK=a%60l2P6N15OKN828?4`xvv7t*TtVR2Yp&R_JbM7mH-NBGU+`7kP0)yN)%AZq2 z!^W7ChmZX1GbCR6Nc*c0hf$FuAwOg$(z77YeF6vDe^$DjBc$(HpQgl>&QL`YF zMt+pOvaQRK=L_HjKM`=cB*?1elKT(0IX?~R5f3990|)s^z*ca=tGUNR;k&Kt=_M0s zP(XyYa62nKb{o@Xw6K1#Z(LE_6lZ!0te5yb*!rxaZ@e3ct^v)6A!zhT6Wsd}>Ctos z346y;rQfGL0@yEKuU5~hp1=rL7%i9U>s}Zxmuu+Ottt;yT2W60=Z+0q&Nv*ScV|WL z1ZgF@pav~3W}tC>XXZ%yQPWK$i50&1tKu=5r^2F%T}Eb7CGszxb}LW&XL}()M(OWC zPGnzu*;3z&KpkT;K$8@%d5BeykD>VUWol(7eQ1SRIbA_SRe&TqgbD+yqB|a1^>l4* z2IP(5yUket*9|lOGL#8ZdTi>6j_;r35`hz)TpQvGzW596k5TKtBJSS0JNu|z3h=?| zlqh)4`{sO)lu=P1H1yk~A7P;5oBV}0oZTI6Nr`So9WRJp_IZcBMn$Q-AN)Ws3emWw z++d0jWT4D|fPo8zQt+Q~d%tY&cK>~M9aiV4!2wHq_)qRbY;@04UR&az zuk0D>A6=``8+{M>CO=;c_T9p3AeTmc3mIzxfwDr0c~(CwNb5dl=9@?Ze`X=#TyFn$sQ{-4 zLidlN9VyXXKH|iIn1lUnZ)p?yZRw^{)lNkQ#sk~So_^CV2dW&Cp%S`84%SWObcNXV zbnO?X1kzQVHbK~OpuF>uRZ=r5u57>O#4|6SF~9~dTbKf~|Cug>wS{>>!4J!8-P0+( zlYtV#=uVV}fpyAm`;;?(&lYg#jH2l9Ge09vOaBRILOoCR7UoG-e96-gXBGY)RIUPM zRtC?ycfn8Bh@KzBZ5|eYDYKHEJ}Agwk0tKb6XG7G?p)IOO|2m39b0-QJ876II|*|w z?=@r+3R*a~bA`AGr~!i`WGXdbmjlka3exiXJeJ>3Oz-8ySP6w|TbP7%19N4v)+yMi z%6(@&zjO&HP!XQCgNDzzBUH7;jvbT6$XK=#pHdD4g2zL;b9m!*ly~sXtX?K3#Q)?~ z)3DXJWk_+$FYUaMdytOZXSv!9tESa2#sOJ)m1&=!4t`2X{huRBD3>+%m69Q&mAK|3 zPC9%TllgHL7@sDz{AWcwqn~@p1O12xVuyb=E2&m0Tr}s4sHuKW4B6I%=b+EtWXyL+?q{DAP$E&D?VWbnLj*()s z0BnB4a_@3`lGx(|)EP)=uV^sK`q^7Mp9Sn-xnfUz)5mYx3+tZzuLla@X3@y9{uk7Y z{l7E`gEdfJ<(*(LPMZ!(qYALv^a@N}QORwQtSI=i7f{7`gV@^%qMMxt+4!NpZNfQ`0U;XjE zSs2)ucU$?V^tG;g-A!A{$u*1A->g!iNW|J(#p$eD-SDrWU1btc>8j$E(>fb%)&1H7 zxuiO6OutpF064`NZSloxk2r}RG;tup00YpnRQpbAeGYbX~*Q4fLi!4bPBeZf>DI9jwHojfn0mN%vPTYAf?HIdeH+OmrFRcuTf&O{DsNRrw(0qT%?7NYs)0f0SY%%?u}j); z&Lx6oOTkXLoszR5#79wlZC&RDYI<~DVO1ePMq$U|K4_*?E{E|+>fg- z%HfGlyRpxZ6pBSUQ{ppm>Y*tCF#z$AQJJS*6qbI1(_z$}gl2)^7}OJ5?8kSXN4e(N zc{C?)i1-oU^^EI9KzM~+d4qP?h8ab6u`ZJ~T{7JV_qHub;NuF#^3U zY$0Q>+O~ddw8jeCtSVI=_bmLju)K<&K5zEzZs_YpeTPN%TAWX%-Mf2NmdB3?V##Z^ z&&<;4DM~&?+`LvCHcvgE#mA!SxTZAcW zNJHlBpAlE>OjNerYr1Vo&~huai(z0gVs|C_YRF=Zsa-QHh*U)h{TH(GEN#PYg8X;t z42-prUDn<{EnAa6Hq8m>40O>IPRLqM2sjhNFzn*;GSQ#^L^q)Op~8(Cs&(8<`v5`7j-Vp}5b}X*<&M@jlzpCAAeB(X=wT zGW8&R`8vR$(_3FQcjak2K(N^+3Qc1F2Ug}ckfS`BYJlt39f%ie(^cO(e4~7We}8al z8e@c{N@Fh2s;N+(jWldVB!jB^R9|f~O z0?b_Cft8Wj<{?~(!P;~ew}5?Y1xv*Vo$gYU_!hNCHv8@rH-YYCX)=cD+KP?HW1S>) z2`gGrdgLYUiOt$^e0>%w)zb?Nl5RN&M1yBV~eqTd(A9kq?B-#`U zwIw*yTxSASy108-W^$q;!$dzvuhN3LW)vJW?i5{lkPk*}tOga03lB@Z7N4crJFK1y z3J}~*(v8Of-H45}jX}!|#)ktRjH!xt(rxwpwY}Uj%^tX@fr*-vv?nD!107(gIXmBd z?%h4QME9||>RG)S5A#P4G}ttWY`JMdi;l8gxyKVua-_*#hs6-ji~hDW%zo9EQZ*gL zoflBUmdL!Dx!Uhr(>`CLR`bfHEb(z#X)59xBu{XKGP4hgHgGw4QK#kSpzRFN{j2GC zWiWR9F1ip%9d`nwfpG9bR{+5Drvc^F+Vdtu~hd3Mf81w z;hoE`gW_{aX4bJW(SsK%I_^o@?ZZ>(0@-cs!OdOlY~f<*rCxz zzp~3!)lzjG%_S~~Y0dEMNXOJ~Ei4=qbgP*Y`YwP*#i!dl%lGJjuYJmIq#v>%^aXkR z-Q$-Doz}|=l8l#1UCg+?aeD*6kpyG~Ilrk?eY(nuW}rHGA?lOl(r# zU*s?Xv4ojdf~=INUf>Taw=_-a|0S*(YZ9z!O{-Iy?l6z++~K1H0@{BZ*-*qxMx%#y zf%mWdUBBBt+Huyx=GVo5;QhBH&WZ<$L#1H{?utm62VGLvBNEkc^|DBV)ey{rZ^bP= zOEyjd&RCbe%p%JggIHT&hZMaH_;aQ4;T*e-)Mu=nkksAq+4BCAww$@fGBNU<&?c>NGzc zcAM{uqXtxF7O{}0kj}3Rl*M6sz+$Z*Sr(R8t6>OL7ncH`ze#=AxRwCLEi}O4CAKJ#WsmtZT64#rg?(9mT-V6Mg$8f_R z|1c4p!E>D_qjyw(z50j46FCbBZc%7Xke1GwDTR$lV%r|+H*LTe&aw#C^!pb3rV1Si3|6FZBV~Y9#n-;XAT$99N1vw+$sLQ{DAw77aexIa_jtPRo9iAJ*S~pEYE4lhM#O%5Kd|!9q*s8&k1; z8Pm!p#uoM#%fT=M3+ihUdzFMP{k*hxoAV-e=4g8n@(^PQJjHGMK(K5Z1Mx>TUBQ;k zT5A+Y-=UARLS@e`&$Djoy7Z^DJ~!Bn9TDZpH_dM@pMFe6SAKY{pNq(J=AYr#v7S9# z<*z+EpwEY6ps~9u!5{+IiE31%#1(k%`Q%)Ond^#-Bemy_?#bl#6V+9e zxSVDtymy?khY!(@^XIG?9Sx3b{E;74*8SZm$C9reuYDi=tNQl9qc^5g;ftbYtm{KV z?Z9|}w$cK>DXpxf;ovVG27wyasRfhm+tQ}!LD5n zOn$_kpSR+ixD4sFcNN-@v9F@R)FDjFWLmg&QVvsE`K;e?+-m$4?$%NF@0-HbueR)^ z;~!7vf?m+wxbB*e?O^($i>EnSf2!*4m5sZ>yPp^R*jixwF}=o`%=>_0OtdZ;Wx*7h zbxyD&s6GR)qhQvNpEl_{E!K;Mjix909U1!6KBhGmQd5B0^LQs6mRof{s(byaz-zBE zdSfkDPc5Z$V{HiRuYJ3< ze2dK65T@#wg~nP`sUmAt=dWY7OcbqOfLGU7tgGxu0RM3%xc389E5LBqAbbWo->uYk zuAngSlNn z#V1=`e_CG9S&>{!nWt@(Mq?1;qkf2~>CSwFCS(WRRMyOq?)|Z>>{00yR+cdMec%J8 z*-!cGj$0Jn5bt}Q5k5D55TDP5ca@Ws0tnCF zHSf_2fKMNnE+M9`SsNM5mj#?dt2&|H4WWPXa#$L5T$Ni$&iBhUw(1P$65S6F*P*0& zosYZds^uUf%~?bTHBg>1wGLYB+$1Y}x-&zCt6F0jklg$9rSYd!Lxq$@;t{Wiwc0qn zZ2MK)uT-9Ts#uBKDI3@1JZAk9p~$!U>wdxLP(m=vh@VK>fn} z>TzU`XM`@GGl1sPUOu#VAXO3_5o0KEK5G=Bp!-h{HB zkbZw2v!34)+v?N~$B^5kCVE;+sVDF_L!_r?NCkvkRIAs|d=vsdh7XY)NEn{BKTB?z zC>so@Jb7sBLDyrXk8Ioi;XHbdE&VYUQOaVB<}H>OiZb9-vHO5T7A)nv&Iv~70(8}q zYU#$?2_`?bCLMSX;Ga0pz7r;>i2SvbmKk=PWC%5FEu-$$w9l3t=pB-NL@LCjPR|ox zVw}fvh&V&}hM>UKk>T@UYn?91>EE@+?%s+XtkUwKe535X;;kjPhduf`f6Bhp-?^Rc zhSCrgx*V8^=4+BL)QR#4|BBI&h_doWqd5IgjlS6KA)R|OyAW~FIymv7w%3)w$+Elq zLJ$Hj@6-Y!%i5>J8b2aTMadG-e{TgWN$O?Z zpX7-~)tR`GC1;LO2O)Y=K8E%rChTT!&x|ZAX?=9ie?d_hF zt9;$WPLHlA-evIob%Uh&0A<#<51Jhc$Ss37@N4jm>UT?DcE4oP)qjzpImL^EwQ@*< zMt}Nq-{!OU`PEOLKi@s1PJ3Y4BhTrJYn^gdXQ8O$q&aTUbxEo%Ns+vtp7JF>VFppJ z^$z?79uHt-hmQ2zA_@O|-OF~4(Hk7&B{5doZ<0^yX@A5hGUT~=OM(7rTx(&==T=gC z9<$khcEAdElp6D=yw%r|=xRczZkXSUWp>T{c!hefVe&9%JMV+#mG+zbiysN1Fk0Hn zX8Nfiu;qrUec7-V%bhfUe*^aM%eAY7O2VZt6<^FUfhn0JCfEayRu+HrG)3G zw2vh*{Ol`Do{Z#dcrjBoIm7D@*Nckwcb6>RMA=x=UyR=TlIRkkF?9DY&o5S&uk|k_ zY)J8>xU3?><>Yp3oFhgeiqvURT~d|miGD*k#ow07)EGJWrGQ<#>e&$}J9@vX=Il)^ z&VdM{Ijzd|rmG~4Ws1g|*0=nxY3HCf@?la!nY{tOH*1+_FBi{$BXsr|>alX}jQTCv zCR8c1B*Q29v25bE(u#KX$^LgY8A)p)mzK`$$`0!Y&QLz4e^I)EYPmzyq%qJ=klNq| z>iW^s;Me>&jQq&q2PL=D-6JUN@FkH~Q&5)YJ%8}lg^%%L={YGTzQ56#++vg=d(4PL z7@8ItdEddIHfHZSMoXR680066n;(seMX_Z}`&xfk?!LD(SX27ix2k{RiN&P-3(*1i zl|!uIS$j6dC@E~~R>DS9D!kklqHf2>1$|&YtZ?!0NDe#syKB8fj_>CA1}=Go^Z7wK z%AA6@{1HUPm_YO^L1%5V#3Ua@TMxKF7KmYXDU3E1* zPCjY)l}LyMEhR=J>lTO0X=@F0sGx$;mWH;{G5&^lRo)f{C6d3?I-)j!dFTSN?XXZ~mtViE?4TZ}9Wa-ZAs5 z>En>{?~JaPID_>WuTY9!c_NcSC!Uq&zvg!l*2d%$!0)aPbosXT{H{3aztWZ%*oZixD)b~D^L3pPI?pA8eAU|}dTbjZ^B&Cgc&Nk?0S zPJ&=v&bO3#>c;&Bc8xpV3D;YwdtW%Z`S0f-*Eju|GS9njCWqPjCGXxxc`C(q3XEC( zxUD?vlT}4u=l1h4e(_iKNN&8oFWNwJ^SUDhDpa2oxaD1S0k4x9@i6BiC96}ppR)2+ z``(c33u1j)tQ(24oT^=VVkfzClz-5(5Jh2;|M~Hl=%chPN&GPyk-Tz_jIWqIx=@6C zm7f$Jji|c1BkBAe<>d&go6s+|Cerux&TyxMc8&Ns6T$)C_?9F)kh7fse@{5=5t+I#J zEns7Tig-Tg>n{F~67=lWHfF)ME%79{JZ$d!$|lI2MoM&?*121BGiiu&?vW($0)uyB zQ&Lnnhy8Jw^$B6fy#H3V5H)RUb0cB7esxMjh)b-K{^iQtvu}Mn^4`JY644>+$T@H` z%9@j1^_WTaxI5D}{ zBW!jCb{K*my8evTf(mtxJB0K|C0~}~gq+^+s=*%^$-OFe`5 zg)ioGgfEXcYxJ!te_ja9@)cTKqqJQt@t1ohIva%)I0vrGj}K#OYq1r0Chs!YH^7#Ia~M zEaAVJQO$wn&ikOXj`f%HgILxkz^O~2ex%u3VD&?sv~Zf6K|0n;&G9$*iEm9Q>;0W2 zk!^dxLM0C))?y|1TfyD%n!$00bgzhrIt{Xk-PQWQWmS>#TiM$;wp4r7(lF(wKbFvQ`2F=3N4^uS zNS!M`J4f9Qk#jNGTL0vrxm2wjpr`<7HUvwWe$O{|WR8#xz zYrVf-d&wit(3p;A22KBmb7!h~>0POF-m_MhWSex^Er#qw#a^w*~>Wrz~Y;%_l))c`L%_cavzJYjf_O)f*C3o~cynkdFG$ zGIEc|Bog&{`J*o|IrFsKu>P4URI_Zr#y=NH^~f)vJJQfUjQlFonLE)hE9}krpLg3; z>sp)$(ECmd?>Do35pmobC;BycU)kY9$gEPF>tADeIN}oup$5h9-bnsjVXS#Jv;3{` z4xj{{F&~e4pW9dOF!<_HF1e1F*rEWRBU6_1E2r0UE-=kBp#w_zyAj0j_(Ifw=~YU* zknvQ2UbjDm@-TN3?9|M9T3fy*+_F)rbF!*3y737+&59&j=Q!0}+hhWNfI*OSFW3|W zP_=Q3^KRDCqNb5V{~jfu48A%#!CHQcmHtg!*WEb7+93peyyg$-u_4ues})K9bShN$ zdqDB)R)Zgsz5Gt%kgO<)yWl1K98Pr3LmV>e6Rh5qKZCM|+>`FAF56t3Nh5}A);UOg zj4`uN(&XPJELUlEQINHBYnJ?e&Goy_{2zOjNv{_`f_kmeEn(WYQsK>@U4`x&jz0L8 z*CO`lB6zkd=#}>-@uW!p72SSD=Cb65pqh>N^FymQFiFJPbf0qN!D7bY924`Kmwxsz zB9-g4O8fKImPQrVZ@qec!>(7){y2Q_|K*oKW4p8~+b?X`zMo|#_-#k=8evW^Z>>!_ zIu^>$EQ#z6RETViHv>=n;4VW1a=4O!BJjL0?EH+=Y(mYxlQZeLH?Li-xc)4$&!Grb z7ru5Z$9&iC{9W%qmG;cVd3`Wwn0fcSIOmV7?9-ZD$K)*B(Q*q;Nl#Sz=V3arcY& zZ}ps{sp|!&VWV|1*+0v4EZX%6&PX(z2{z1AVvx2ZFDGijUmT{A&8C;TLq@{i8F-61-& z|INzQXJ@dlsCxqqkd?7IZF)25@?#u!_iPNV>ol`H_8+ril){ zV1D(g^*{0wv}psFY`XtT(0y*ewu^4+KSP5=2+YFG6Ta4DC5@+7-8)^#tOquB%?1}K zmRRR+1ovt60#z@>s@}$<_fo(-5JUaxTP=J?^{-XXY3h2@+jl8C$SnmwoBVYI`%g6* zC4)mn+Qh0p@QH^Y7XxP31*BgSsY9NTJ)?Vr6a7j>egJQK>z0Ape#dUD+u8lHWzMPl zM}DAirDTP9e*ddOE;OZF_+-TTlZg;!Fe>8KFB`Jb_NPBeB;qZSA{!6(hT(;8t`CYd zjFi7^?VAXP?2EH3l4esr-X>1ysVjwbSfor^OV>?w&M7Si7W2zFPY=8L;Xs?8FY`Bv zNG&NSzBX(*r?9#=>5Ph;Gk8JyT82j>;?b2I*?GFnL{Ma7PH%lArvFdUzd51|doZSa zu)4cddDfyj&*GMDMlO@BNTj4r&~VHDt$l9oHAUa(*5p~->V zQ)g5RAAvPE2E20rbMWq^L17>*9|mpkl4SU7eGwd3-%_R{=snx0!Ho`UMf^y5OgkEy z2x>Vmzosnm6}pD!Sxbzi3z+cZ)W-u8 z=kForK;${X=P=09k@ru?;{|o(;BudEbi3M2x_*)A=$T)R4jLYHiD&QKe_#{(!@z0D z-LUB2&e_y-vIND(TY@mQA0NmKh$ua~Wko&*N!}DD@+pW9ilYBos_a8_EPtuyd1k;y z$=R1@PSNU;q9kSa#d-7^HBoMSsd9X+vRiNL%9u)6ID7tLT{ExHF%}n3kHJ)U+G@|e zhaK%%UR<0F;Y^y}hQfThwj-$lLmeA0obFjtSbrqU--1I@8MY=RdzllaUrpRo9gQ(c zYQ4@4!#Ath(S4W41551QEk#j#s2s$KDGoRI@whAOpAO9K2`NspgyXXg% zGM7^5>n^++c)(HZ?n#>H;hCcT!S+;g}yI4a9e8>8`!)W&~XL*q>gdSXvmaO4~}z(54qJd zx)l#nn-;D`T6yU=tQ_B})3WP*rjtXdaf&v`k^Ansyz|yJa}BlrjGOYfLiF{E(M5ku zWhcbX-&T$`(n0kd^6y<+D;5$f%`C0@E#14{tGMPwz2q!i&Zy=`u}k(w7}_+>cF*8I zaryo;x-r43{W-7b;PP;?DVNBuW8T8Oe~tLQlPuNEMup2c$13`ES~ecwUhl~GtFKIB z=q6ruchgdoYXBr+F5GCf5JF2zcO%Q%je0)E%9Wp*g-u9>XWv2=WWH+HLn{iEQ-gim zw*{IGUVYX#EAjMa&Bh;LpTC&c$<&LQZwGt7%mRE|Yd6)h73{c!q$}dT#-zXr&IWzN zrq+V3@z2DsZ_``#S=(WqN_Xi_CLp1vY3ou%4cuuZ)yH$qLKY7BRmk{<1Z`AV-sf`8n%_QR~^!1h6L zefjdp^CnB~+OL}}*IvbM%gE#%{`B-q#`;1IhyiggK_$Isqhkqc(!0#lmOF&I%)gHE z+I)7S;pAs(GSRD5O!~SXF(;Hza``3L^$!o8rAmH08Md)Y^b}d&*+r2W`^Mb(VEglK zuU{K2aARiqWr7lH@7u5bHPTXV_S7XYFNYm5VZ8ON}H`Tm~9M`$sxt5C-%2+&$L zZoFvUm^^KHAoX7PQqI{sK!ooEFmOJLLrwz?` zK)Y!Bv_{mRV-)(x%~kZeE=U7$Pt;EdGn6XlO!FuOiv z)c@Vd{G=RCS|6|N!Mn=V%U5rN=<$pLb4yPTDs?H`IMc{}fr9fIm$f)`lmyfwCCSEJ z!1vyB;%4#>3I>!8E4|3Fp1W^3;SZ|Em3j=l!W-D*tGPJhX5=90IQe#1&7EVFJ?2*@uI7(i^259`IQ;42^l@l-HcA=B-19Mr zzk{5K$I2~KyvJfMujMFmO&;2pJRSYRHy{Bhw9lNh1Jw3bXUex@gWI!3KM`;pqnY); zz(&xLkWhp5)OK3`1M*+35l@)#5|lYJVG?}r$%%KVsgzyjoEGNlGUxZYUdD>KgpA1A zS5_?=Wray}9-Z&=B|^6PTALCIzSNx8y5mQjbn!w|JJw(t#ZL0Cp-j4xQJ{Y5m0PFI%WD_M*Xi%DFSUBs;0s{wdL0!8s@se%`WOx&2iM0h$xV;xXlk?A9J_ifx!c*Or z_+=Dn$YRLj!>|p_Ya=h%W2NJb%U(|V-vqBcx&IIrUVi769AYx;3Fjz3Q1Rkk4TkKn zb+dGfmi=Qcdqae%3J#)(Cw%Xlxz~)d6qL&Y5FE?-^S}X@-o-Z{mRis^e{M#e;`c%v zvsqi2ll3P^otJNbB4uNaZgWi>x1HxY{xu(5&`0ad#9}q6{EZ%uND1_?r94eh2ZNW; zE(Z^;F~8H(hYR*ZH#t)k3*$t8#L@AOgq^8sX0LwrMN=?}O;`MClsLc3AomTfSXjSX z*ombFZCycC-n5?2AS!pjmvWwD$=e+>kfzo3OUih~58@WxAAeM~J`KAmTlUuR>W-zZ z!aDLU-|Y9AhrW(74NEvpoZm0x3iyHR5ARU3(6Q56bWe@VpWJBszK|xdvzMqvmDKY3 zg1zj(N52@W7)B1Z5^L@-W;Syb@A+f=egAO((oy_!pEuh*$4o>?vFiuEs2zPH~Q-+x8qA`Tw^14sPORgazIqFEF?xvbvqR3MYzD`nY zg$HbopXiu+Lns_ZHGwkUn+z}6Ib!toN=Y+kGW!(j>y3}vI_PiI#)TLQR z2lXA?#5Hln|K*o5E6DIe4WqRw4{7%?l4JJS3|3e~=-F>0e`u%vf>&2AQ#P!~MZ2Pw+lPS14^k=9HlIkE}$Lc9CH~AH0to$xMy|FwI5fs=C5VHSKWE^K@tBq~e^{s!mutdDM_ z@^;nJfX_g58}q&W-!MLpPv<~-U%AEVS1LDN+>qpky5S4;%QT=_DUCg> zc<_sMaC%=airvj)5+-G;+shYk6pad&JZJmTr9CUeHYd;oVa7P;v-A2Ihj&W zv=J*>ZG4+L{>;BUC3%TkYkqnkY-zp4vc}Qzl2g-DJrgLS zw3kf1DPBH~cQJzR_kyZNqS7LmdgHw4)R>8!#0AOKl-=)<23E(%SRUb8OzP9Ch*O8p zi`?>im;dO5=OAvj+z-x?!9lDC0_>CYRBZ}Q1lm2@%Z1$K4NBxn3E?Y@rykNuIzk2Y3YeKw_b-VrK4Jv(Cej-JM2%CoJ z``*D_iyYQ&?1MSvf8=u83ThFP^!Q-A8n15qC>fzt=g1XB}$tE#e9_5 z$c5zWVGC!u{1~R*8ZuV3xQEWJp&z9q+`ajmGg*9tS)Kt4p9_0jK$WPaOOU9(wH$N{ z$5ugn-mAPF0TgGgZuq{RERLX$Gbp5=0-*E=>MV)6fnz}D305C>0a2?**_w2A^5l}# zqgf_DiWxXpB901vK_vuI=%-rf_B6zqC6TV&7po~t7_B`t_6sKW8>JAHR*M1ep+H#} z)nhGm$rd_{R)`U;VGm*O3{0!&PIwA+;RoRfvUM}Y3;*OQPcX!rDaedsdw*A4{Ubn? zKIE5e)e9xL-kP;Tzr8)wPBED^K)>BZWWnDQzhf_ex{#j!@I>eUc!vCR%Ec5;wd*9( zJJ^Q zyE4m@Ud63R)jYb;&;%9HbV(J0&qHJ;F0|`wMZGOJCY?C>QzPZc{>Y{GE<+7ZEZ)5P zp#NB{HNtVW&(YB)lW5yxl{&*bOJg=-rR8@<@NEwXECR8zB`ew|4I5VwMq$Xy0StIA zUrMBt-F>b;o%j=L^ijZLgXlyBrwoQK zCWHkQzmUCD&S@3Z70NvWBv%4)Ea{}wtuBeIbNTfZm^++ySxR4&?ohr$Op1$;i->Ed zzNX&2iH$b6Z@vv($8lL6fwTVbeVC<%J<@-3L25peKGoY@;ZB((XX?N5(?9b*uaB-Z z#x6E2-V3+TTTq^iBTC`tr_OwqW9=r$($4uB)N+rE@U~TCGrW{K2Uj7Aw4@|cRY!>j zrak1eTaBpdRb83qGxU$9_w)`Q-MYqEsI*Eg9wRi<#$y)pey#1R$K@|vjmci9UmzJ7 z%mp`odV@YR123I*CZ=d%;_8yD7pJd%Fs{sJ<`JJ$aYm*J zZ+DDJ(pkN9gJtmIHV){^doraHqwkSYB>FSom{C$>|0JeNZ>=HS1aIpPkM}S_Ry|nz zIQ=3Uli*?%6)(ca=~X)DI(%m9RX!5E^&eMmo;jidwJ1R&0Ic>mMuBH)@G5tAv}fZRG=q!ltR0-Q`X zcqj^ut(k5It{bHoxQITE^<8Pd0^EWR076O#p@vOAzfH^GPg$R@UDPs9Vft-T?cwO{V}%O>W{V72Ti?pK-eAI#FEc z__7NS#wpVjAV}0k*8scawx0yhv}8MVQE(`I`6d9e$ZQ2|>Bq&-JiLhqxWT>Gibdm* zmJQC2zh38+6qSAqu{QFXkGVNfWq_H?Nv~@AAHB84Pw${na^K zAD`)SZna=?tF06!IpBt984eOHOEI6)zu*t>{$T!VKQpJwRK0cT$>Q5)^YR0{ zKbg-(%boh4M9UgD(K3knl<?sD%%?a}d{kt>1{j~0_3>{$b)SFIavLZe}e1teYL>!+A#D|^%_(+T6D*^F^JwSYkrp6QiZz|?*4&a4NV!RfB zR~7R&7ULVle3IhyLPdOFNdO-$F`qnu57rsrLrntsNQ?QT1AI)+s3Nv-bE>fFsvMa$IYhoWU^=8OEzs?CkB0sX)H6i|Xhj>b0u4pr6y9C{pZ zDC#=kP<#X6&`eFhp_O@F;jF{6krJh3SbG<2Y@AB z2YfXVIgb1uaGdHRz;Tk|3OEEfj+_NJPSpu;T!gp+nn?q?B1gl%1CEY6+bWVh1E>I$ z3*cy29pLD=b2!oRgt!8#NdqSU{1AY*JOkkO02P2bBF6UucuO?^e^*=q&jY?X0(=qy zJ_HYdkE^%>62*LC0X_tGfX}SB0^IEULPQy!O$0KG1Tst}0~v-t05U9>2xRyQkYREG zkYPk(ob_`NJ}Dl+!>F{xg3aqr|#Td5&OI}gx`=z@!# z=z?_uO?074jE@rIT>*T50)Sru@CLt01E)mirB(swMTqrD5qAiyau4ppjaPiKp$#5pbuLe(5Dj6hvZ==I0xYC0X$pWfv{qIpyCb+1M*A8 z1No(kJMQ4*1Pmw{thfZ$0|H^*0|E)_0ZU7(n~F#X6M+L%i4V{~8aOQ4K!^tt0CoTp z5SHjHx@<3QL1n-J!1llaj1rARE$E4zA5dcH;u1avlq9qdC`p`}sfhGLaS3Y!B?%n@ zN>Xleq7LxqR=}T_4}d@Mj{tuvCuNE@IWC?Up8@`OC%~VO$%!ifep-wV72{n1ymJD8 z?-k>t#duc$PfLiC1NhLy=iMYeZyIo3*dxFYvf`3I0vH054H&|Ca$++Oe;-g^6mk8k zitG2Jc*2P5mm;oTg1CM^1$@N-9Xbk-2j&XM)13gw!xGCA49G)$49KIE0LViK_-Y5> zivT=TEGJPcr@I(mA;yd4L;!NG-eW!;1SXz}m`^`Y?{qbw-UG$?H2~_JLIvs_dFWn;LxqJN!2$KnW24zcB$^fqMLJOn^i{ z{tEE~O9j$PfdlCUiziqZkY2%SAiY>OAiWzWCk_C3WidV*z+;^NJYH-cSusB2e^B=B z;ZUyc-|%-WYo$`DtdcBLiq(!Hgl48z8>>`WtBqA;(uPV*B@N~_RtZT`sU*8rJC!t* zG|9N9Y?5q78=J!1wlhVHF_>Y7d9L&7`+J__{o_5}=RG=(8{<5$>)c(R^K)J!u>3xd zZ>=b+2K#w9KE}9X)IfZMwoslj93NfWG2TIZEUzYJg8dBK!9=)Y#Ny*}5Xwi0JD3c2 zjA$sIL`nN;faji-{|OGDjYYWju&^}bJ3~aQH5aT z#8evuL)Rk#hW1Ya7~1g>!O)4Rwg`r<7Xu94_3?T*ZNOb;p*MoX<*wJHXv@C<7N0&v zu&A?e4}!(zWq>MGM*&qndV4*b2=XkDpMMqP&tUnBAfI&zoB7S&+8LGg^&m*|eoQ2G0FgLh4@Bbncu4bpOe8)7 zkvQR0QPvLf8-PfBv4%AFxkID>{1Ktz343Vt*DIjW@7IGy-(h2&I9{E3;@J{w^o=ZN z8~gpR{A-YZW(V?J={@L_JjdZ`C^9GcZ2nZ5}_Wt ze4!rHczu3I?p{(*TB05zy31>UMpWfM{Txe0Frl-Pg=GYI{io< z!OC(6=t@-!v3w@TH~N4)AG%VN36{?Q`8Ydk!*M9T-9SXI=i&UCVtZ=Ao^D*9YN*dI zKY%@NE6RRD$0ZaWUsD|ZXdHfgd_(c^HO1jC!QmGPJZFGBu(%Uxz*4Sf!14NmSzIWv zxD#o>;;u{Kc-^H0DGZPY7I(r6Slo3(ygp`ep}3sAa5=lf`ukHt9GOsVz~XfBfyFIn z0ZXY$hVb_Ri_^&m7Pnk>6~gcBRcVaEpYI3hmErPN<8nR$=?&Wt={3XUUxMLbmscem zudv-9pN`AN7t7~jd2f(s;_`9F@?t@XGqQgOmnR8x*mV}R-x(hlG5E_}1pb;~`%i{A zx`X`*VE^zTuwRJn=VAMk!T#X@us>SWpbf}2wXzxP(rVyP(nR}P(lPQq4@H$ zPrwX*1_&iElVD;dVThT;YJgAzGYKYU5`L2Q2hfQpLnkJ0K_|A}2c6h8IRjZ@1BgOC zfKF`f3!T_R(!K!Xd$IgUkmv0O`T3a0y~XlpK%RFH=lAv=}14I)+(7Bm~(79`u zxS`ISQx5j{17a~tpmXn0Jb}@iS&K^aRV^mc{g7fp2U1K`p%lMzz(hI@QY`o&NP&(= z6@k1K6SHtUSZ#m~N!S9>q8fl`@iEb|QXG8^o!%7?lzI!qjBhVOG4uzbNO)lRN-U3w z{RK(;IPiA@_V*_EE5k$=O_9j{R2)x3%slcSUzU>gK9GNi<&&{|0K|s_2(3!P@kxaE z$owHbL5ianSF9~25>6*U)LPh0eb7B3(5PxnG#Gi@d?~dbt8RE~q4Dn~;_-{}g?M3m|;6Yx92YDVI zvyGwn-(W)3>UTIhSP7|{26;_><~L7uS~;iMyP%QP|=nGsDuLz?O{Tn)VM2- z{toiVSRUVA;ORUV-+vX}DM~LeM=F-kihD}&F&nGsErD~q4qQezMd+AiU|hjhgz5M~ zB?BMJudoFXs_2ad`B7LNU!nTih>)Mh!K8G2q2ddzxMw?F-(Jy+FPx5Jd3=Q`1?Got zicl-215=C;;R_W7v@(@I(#{4PBH$dLnWu? zQ-nffjjvE+Ve$#CfD|Myf)vcbZDuZ{fcaUVu>hRLSEz~j0;3&LASlH0KrDNvK#Gag zSiV%C!52>W3e_E2H@Yc;l$`>5c({ZzaS2Vw_S^|^gvo(fhWmg$$Opmt%P_120Zb4y z+%_nH35P}2Ld2wBIRLZoi36A*_5e)C07k2s)I*!DtFJ`$>oxsdjnO0bv?d2rSeu=h z@_o{LDgb0oC+%x?a1KcTKi_`9OvP;JBTjuK=07yY`Gxsj=X1%}>kcEO2*OVQe{ zipMC(+ayvHQIF(!WC4G%*;;hL0|m-n zw+6q_;ilc*Qh#Fdz+Z)Q&b3+;#{6<;cvkow%juwb*1U+m=OLVs9<1*$zOmDTA9zQn z+4SRmso;K4<)`74l`3U)*te8cdRm|K-*HNkSJA%>z2~5guCSXIXj4A?L2?n^n$SMU zos_aULgq_H{hgwS0+)nt%R*0nML#n4s+wP6Hy=rx>*LGi6Yvgp9OSs8I}}LafniC$Yv2 ztZ@-*Ji!`gu*Q9)f%{cCXqaNFjwwu$ZQ~RH=(%2Dg`Nu(6VUUJ;y8N#s*ua@)zvj6 za!Z<|L~T==tVHL{@G2X>ipDUB4X-4F>xt-hsK6es*s5|t;=@s9d&DbIi}Dd~ zfc_<3jh^0OxT-oJhK{z6K9UMu<)DI#j@$vUD|+p_h9B1O#TqQopmu=jHJkN#bj$DW6qW3gVK1LovbWs;W`53ti(H*%usB!?$OR(p3yvG>4$6CC{ zT)f8tyoV9qW4l7mgZCyxNwCMTBp=m*0#}Kiq_Jq4X#T&op;Mi7b#Cv)%O$bycsG%Mz#%vk)`uQu zz`J~j|JAyTw9sO&>l=DtF=l;(D$%mhJt~)V=phdT`Syu;|4PXk{8218G zX_KU)=PwdFbSl>=?9p?c;)Jwt5Dihu%6A9}3(@b$^R^8)F*SNo`)@_1kvt`Y(%0tI1HTTvE1NPPV9#3}0+4G`B0IHElHKzIS{G z>KYy)Ju?d%1opLsjyWA9pFdFS8)nh1{#Ye=xU_Ff7UQsZ@S9*Yb&Da~cQu)kyoTcU zcqs{mRzPd0f1ykG__WZLIWJNEqgZoX+#+AAO!N*|k!NsF#a_2o%p8Q7@)le1- zcLyHXw{cs($k#&|BYL!6AeyO>=B@4$(BdQ2TMFs1NdRK8$pB(@a4?B6a4?Cr3VD2# z;@+!78vx@(dl}G%#WF;b676JYx=6H@p)ToM?u-hgy`mn0>6G#k2D+_5^P|`eJ@*2N z@eTp*@pc1>@eTrt#V?W}Qs{2WLaVwvm}w6KONk0$p#FLX5Qe-0{2j7^7$I;Bx%7lDF6ejb=#>$g71F#;EMk z-!wp?epta6D`a7X)WMfJ0ICC$FeLSd!U8Q45rROMdM7|IE!!(bBtlyuKm(WTkQko3 z>4(FCi?S7T#C{gDP&diD=756Oh`s2>UYKGp+^`qciW6$U)cguHl6rv(9^Pg`oj~S? z>J?3wc#99Pg|VPnWCu4d`Yx!t4Mo<(`4ia_ z1ba~eHl+uMQSFEp@leJ3USp%s`6kt(pk!Cnqi0~bZZ{q+?PM^2+Cpfh$q-sS9NI`6 z+SL$R&29)S`jLYZa6b)=8cCJI7V(Q&sE;Qm0kbNr0A@A6+z(lKS>lhLTO~St=vMBy zqBcOf(OXW?V{5=|rZ2e7WI<$Ei@nbFVbq$ioFNfsu zD|7=OdDLP^o*qPYqWYe!gw%sbA zUHRh3N^xZMaAaK-CpsarymH949DCu1y@&%Zv^C&`wi3JuXF+7OyXc2^LS%1ZFJ9qe zu@}4uw+AnT*b5={g2G-<6}k`68xpUyi@*z4@PdBmpl0r}>ByqJ7(gdQpx=gc z&@T_Zw1aef#OX+ebfmwAbhzOIo?#2=$Z&vk*y429UejL!jwE46j^kajAy(n0uTnskFUV{>eP15%?LV#c$0Dw?io{ScmEE$g*i4y|FOCgr% z`7{LK6PhvMSwl3P0hDsY=erPsYt4e-y5sXbAD{2}_RanK(!L#(K4AXRy<$A)n-7$WEC7|uR%OHE9H|8RpFN*$1KGR1ayRSmb<)nZfxSqPD);CqTR`p(aGxr4B-*{1BBmRhnWOg6f;TInp7H_E4)CL~wJR7W1|5zFJPb#P2 zQv&%AO|ts~hk=!k?D;+$=zjV>8wl+kp4eDsie<%F>ikL>`!qee&Z)adq;GOaT3LCm z&ud>D>rzGBeHaHA$(`uqePz|5MjdYa?HH|wwh9q6)7{dF%9LZ_Mv@6Rxql)%gLuXs zoMxrzwdb`4;Ul$fop&Sj(J#I8kyeVX9%C2{I5$WtGtq+VQx!pQIly_IjsCyhFvmh% z*cv`UI~$NXMM`^LnVb$xB|~DAe_7YerabN$EN&z@_axV<^4?Zi`@5R_CZ!-L*jj&l zWB#xH(nABMIDZzJmSBk7gCX(=hDbR;q`+IehSiQPEdnj;JdfOhK#d9WDmKj|M`WCtlS@%$#x{1M*o-N~dcoQr=dT&+55g#lhHMzZ_uwJi4-etK z^pPSU9HCfa6xLXSH7u}3Egq>q;gPx+jnvzQo&G)?wKzgZy)WWL%+!Rf4af)@oxr+Lo|Zos#K+yGfP*L8O0Hb@JLS)nj_v!G;Cz@2RsXuMEI8h z;$`Kh(!eP9+x_{aOTH!4dv1Z*c13dX;cvHi+2aEBKY513SQ_pB5Kq06l3ig+pdAb} z#~*zHO`wcS`MJ+vm<+Rb|JC+uJ{rPLRm8QYz)vSCP^2@;UAA(6HIk$~%Y6u9qB_qk z$Xd3&yD9A}7p>?IC&|Z}^SA#$<{Yx=e}%^sDAL-4Ajz9-@sO z*QkE)dc0En&RgH^oBRnLY|r4q_Wt+5*7s?*x1TUtKdRgG^(V*V1J8AHIvhoZQUl!? zQooh#%Gz%!?H8I;4ps-IRXa&XxRoc-CFl^2#}pefOpUm5Z|U6K87p2*=_O1{e} z^_I*uMM{mMTW=uga7;>ksQmOg@cvd&NI++bRP7kuBb9m_uWai%oDM72I!UY1iUJY8 zD`k(qo)nZdHI6K0AlL2~Pwfm>g`2ZNEA>32MZRw>Mqadb{p;-OEq!1%y*s;qfT23y zEYn5T6rTURrl@qZQ>i6d-8+qWm%5F=|DU%p$5MiFUuWF*Uw0xZN9UK(oa7h3%A(~aw=R*#9jcH{RSPTZXl@z3lhk+g4xrmN<7_D}wpsdIYNr-Hvm zdl=c54l@SDmSLp(1`*A-x4+Mcnv@a7bxWqym5c=5+Qb$kv++&qKJ~Xe|6+Wzu+VkD ze>Ux*OO&|medl4CO`q2Vuh`BD|0?hIJwdN}rS~25j31X@d&|8!bnlmZt9k+V_g zl=t&tnbzC22WUPcA;Hee^b`6*RIW!wg}p7?z0=AUmHgBkW?8KKJxV_s$H2rZeM9HsB)+4_oSuNz z@|XNc|5KPoUvnUl*|!sOOl^DoBYn@>>QEblKQFcI>54RrkCE&fMMm_TJmS+7cuE?F zzV~NvCHmfu=8D%zCuFmi`JpnYEU<+yW-aqaUwAebTe~Qb;MU+*NU#yK6jJSj!y{(Z ziu9(=>h6~92hvuA_sl9>7WBT;+3B;cZ4d1f+ZY*_(KDeng0*T|sNz;_3o7!iIr$Iz zubz-CT($?TOfUEV+sqdPZ&_k(+Y^e4y!@roAkCq2oJOx85WZ(E_=NVxP!*p6_Y7@J>_yGP^(z37Rcf#!5+IXx*a}T4$OzeYSEa{B-cPYra)xf;Wpq z|Gw|^J3a7foMusTBS>2b3RO+UlcL0l-|aG)iS2S2Et=*lQHJ}~X#-zql?nCQ3oV~Q zS3Rx0&IrEXop$q9?S7h1lZzhD7Fk$Ukl9#2bERzB*YU*M?v+vE$M5I=9dD#MQlNO9 zG&qc|t>Sr)$A^vNTx}RJF3x^m;Tjb}&dMhXZ{{!3(cZ^ti#PnAv|0Xv@kD*C?@7&` zQ6oXkMy=(Vk@T_ZlG;sC16r@6YQ~?-JoIDJ9c;=!%+6oaxmbs>HaHc6#7l2gei_z0*G2s%8K2)X=A? zq96~&ma#K9`uhn@otZxs5N-X}eOV>rGz-2PLXA-~?a(UB3k@T}IcZtCB_d-Z$>6iT z=^*4>(21&yHOZ?>Ji#bbaogd1ocjE~>}P#r!Iz!QA>fOP+ab3sv!Ru0vsAQ(W!hy) z>9|0G8LVCLj(+t-y1AePWjZjDJslbS==Zw9r&VU33!_W(Cs*F> zSuXQ#d=wq>FXL(SPYf&m8DIH7`}L8eexxC?UVEWOfFv1H)3D@+N4lEN2lnfy&rx!Z z!~_q>%(_k(NuF;Bjz8kVJ$KK0LW7QVoFjKuS~a-gX(1?BYRf2jut>LiUz9}kTdvgH zGHpEbbeg`;)z+iUIml4QXZnFnd)`<5daupPmpd;lcld_mRqu22PdPw2-|l&Uc6T3x z3-A%UPDjj+9#~|ROVg}h=FxeRwy5w|cRiL(CHgfU%&R(w_Mcl_np$Qr|0Z_07LlY} zHX~SkCrzg`(JgWJ1z6c{8mnrsBFSett&$|w3o{&Ih8+buX+Bj!r4AoS!i6uFBrTSLcMNMrwmyf zqq=Du$C~moOGZ1K$EZ=7b_ zcdfZtYx{RC*Y8?-*4@9t!p<1=_;AEW(U};*vm>F9&}rL_RuWobgjGgnz~OcYK9aGY ziw@3M>uCs;mj{c{QB$0fqOxZ&gTWoc@9yZpX@6<`OB$T7S+?s^z zuw&_CtSe(cD+g=&1BRa9>xqnzM}asTOmnOEwW}ZTzk^2k+JRUCSKrm5;PmgO(fC5@57Y zez))+91XKy((F*!X5tu{O~o;s)LiU;b1>-yW1e7FicxSP)`}m4{nEpGF|6=j);Jp4 z%RNtz_>7FGx(|mFTfXRrc?HzRimZpzDW=Bo$Fm#bO!9UgZmVAA{FxzilhE>BKh3!I zF|h1{(lh3-=Es%>%GruE>&P&!3yp7`<@>a>SY5=IL~GY%ypBtrW*V~9k!d4SAMGj5 zFRoHBEFGzJZ=*`?__wI%C`jHm+5^LKS(JOtzHfT~i&eJgY{aQ5zwP z?ntg0Eati!QYVa#!0u~$QXdIYsGmfI(_1`b1ll0^6`R(dn$!?-bvEhmQLJ>8gc**a zj5_i-N67>7u5?o+GLQ8$)mY8;I!gMTVPz@c1zl=owX&&=sGUsJTvKsN1+4HM+Mi*} z=XY-@_Y^iV9xNpDKeUj0#mdws_0Ikm9M+ALqJ?Elk7miL>Ayib~0Nb^XQ>DK||jAh(}a8be#xp@cm$Jca`bvN67 zBK3BzTCN&Tmlil_KLl-Kg`*YiYwv=W18d*|rvsugH8>P*0I+GmViOa`9 zk95goom8jJB~v?JLr5jBluP<|UTWwpTp&03+Y*A2@e`%@QJK|7@TXFtQuG3UH0h4C zgyM!ZM7>1`)FFQy$-c*mVNiy1hdXPt&#DG^Cg1@2F&2Xkj~0>3c&W1o;g^b1lsc72 zM`kg+4$UXC{ID5*CuQ{=&i9|D^GpMT^)W2jH8=szG}XBY%%^5ULz1Ek{kLazTk@ss zf;~8t9zRoSJCdhwXXZ1h6@@!2>HdM0DsRq`cfpe7=Av@RMe7>P1)})yK z7TUA_N!dt8-upM*iD#Ayb2J-+5A84~(PqhG$dY@qFKq;SGWD>!6`cn5@gCadutekS z%&_qK?ZkYrz0qFf^?;mGI@mpn9G{78=j)NRcV(l6X@5?VvaN&$rq1qhu=Uq6enz)z zRibpJrc2v8FfIFURZ<~dcTLZ5))4nvL+7Wo77yV%NLA%H()upzs8YYI!hm{q8N~Q= zRaSpdQxZ7g&Z`LZe0WLO*5o`>BN6_qP8D5r){p-00Y~|n*T3PgFgk|SS%eEB=@t9R zkcDdG_$k%E!(Si|3ENQLy+`i3=qyZ_KUJ7ky%c<&K)T$OJx9neiMlccm$Ba{YI8Na z9lbP#N}B?pz|LyoqzzdFo7Nam^C0`R6G+BpYRbiW)@7CbhSP9@Hco)-pH%vG#-d-9 z2)WDK*weL*YEP(_jrv}EvKOzEA^pQkbK_aY2nbc!PMSgeW|`F-%1Yk#;4}n{6JgE0 zIZ`!TXj$5&MF0PKnjsjkQp`-KR+^O#wum@A_u1s$n=6||y+`sshnBz8E0~YkZ1|dB zs&2n^`OEYvVi?5&3!I_(#`gUD+D`9skriENc1q{8c50+LYdVMfI6xCRrZ(4e+;d}iQ6UkpCtHNtQeuH2>f$5wo8wSt)$YKf1G-m4jb7jp9Em7^+gO>0)ipH0 zgpa}W+5vA?LUD@xPM~iK4aEDA3E|&|pSjJ|Xc^^b9xQ#JIqW7hIjvVPA4!H!6ni5{ zvq)?BX80)wAWD|aL9p-k;G82BzuzVd@4}s9^#crk4ba)2^MQDh^E%lwmPyYVwi393i2R^c|G=sz%YLi??$h=78sxP zn>w%EVqhA5#RguZ`_ba0=eet+>7flXmZ$m-xYH$&frJiM`d8eduyHARftKX$1HHQT648DovS)=iwxBEi=q zM6JJn3anMXQ@j}`D)cC*hh%#M$0DU+WDEMjB{&OxAsfrHK{2E?>&RA*l)t15u?xD` z1?Fr)1)@>1OCG|{2kgmGwH#As7qwL=O1w?H){-U1il_8J@lf-0_BQ7*$b%nxMn-#s^}N5 z1L%td&BOT@%xrtIkUz+fxSowj(6+z>l|%YMLFsd!fBzy!3hkRHkp-_I?M1*CnQ#4D z$NM9G$Ic}+r}$gK{E9A!lr*#9V4o)E(EtI&Idpwf{*wI*`4W9|2SN_8ZtIQlDn$-^ zDKph|Dbu-B@nP8W82xJVVEy*sXq30S(M+gBPmD9Nc>=gxYCPo*(aGx>i}bU$h3jAQPESxnp?hFHon!gg~a_n-k#-tTy}| zWd4J93;z?Nn(kOYHO-9XDJVR?k;*AI5H^`D5Y(e>R-R%{t6i|=tvg^3E{zbK$KzG% z#~%uZ>vUY7n>lZxi}!NP!UVgc;$5Q0;H#$RJuM&J#iOG#2j5V|PlOvP!3sQend7O8 zdkK&4E_!HuA2qVC`2cbvev;QWJkmVCBTdN|nAOC;Ed$To@z6iA70+t%hG~nDy+2_s zp@l%TWr+~d_11XWyYZ^p{E?m)%C^Z~O43UDY=HePxBAek$i#Q)4YH7%TR(3WE$|ju zzD?5;#tb|_kaPw?5+`lSfJU;2^Ey4|MAfz8#}Qr{#dBrGx%K1g;&rCwxD;9i`mC2S zMUHhFTC^R<8HWu8sbyUTpSyCDX%XRuheJCae^m9vXTLIII{#|ty;n=usXQZOb<`fU z@=HHkImdBYiiRFOX&^Uh@J1Pr-CHuJ|4kU_!~BnmuhtNIwFFICOiw=_Ho%xfSxy@W z(H49mPPUwVnEH8c&Wbu;74z7_+lo>LUi@?Z|30DrlaH5L{`m4|*54E(ixZvZ=0rBc zXH@172>u#TH@+M0Wl(mlBS#ctV)^xhhczP?%GD!FR5kZmen-j8MeL@3fuZKlQkh;S z6A@RARMj}6rp?*f%Rte$D2U<-jn@&yWgZ+vvCSFq6@@; zzfkq;zD$F;DIPSsUMY9<=l|wNO_%%UGk{d76-~+R)K3(3Czi#c1DE8DA%$D1Y{Ux? zDa9j3&MUrqn2@=HYW6boIn62y!&I*Gq)gqRZfzrc&#*-Kz$iE?d$LAr?^@B>Hmc7F zO(p#8EgtQ_YF&C#ACP+M^3Vd|h@sx$;3>^v6g9n{X6?w-;h~}JcY3S69%(^|4tN*ulOs&tQT}~qt&Ws5vpfFIBK#nq&{LrW|ZVKOWTEgVD)tU zNli|tng{Z3s%o6TEoM*H+A!-4-OE0nVRiar=ZT($_gOASNoT}_v#?%x&ra_txm50* zrz9HKzAYf_z<&BPBJ-p2^ZTI*Y%Uv7I1lW({?AlW2WRzxu;_Z3r@SlI5#nw(feh;4 z>^w^5o%udU#Q#JUepGfKzH30;Nydutx|BtK*ZN8}Ti*3zF=&Mw5yA}8{Ynk{xsvaM zFVw|K_g4<+HB>dxD*IzaP#)nX1nW;K83k=K;mpEB&hjKHaY%eAMYc?C@*HPAFG6Nk z%`f8l7N%19MSL-kamxr+`j8j%^Y8?vd^bz(pJxSCQ*S^pcCI7UC~7(2z4};Bmgm-P z_Bzebq6V&V3bg}hXt){S@E2u_cyND9edq>4xU2a?=-i=RrLZeTVcc7NgMGFqT6U#U z7T%i7oN87k)IYeLsCirYztQaf=9AkEFSS34uD%X=H!^4;_0+D(el^Z%!uo|UY|PfBE@F0iMH+dh|jw4P@{Y|IX%Uy z#No@(*zQm>zUQZfi^W#)sY@M)4J;GgHmKd4L*A|$zEWy%B`vS|=j7Hi9_LKkb(>Tn z^zlEospHHX)_e-MeN*|N{pyi9FGm0MmFIfp)jF%gW!!FyskC9@aNgM=X??%8p}yzk zlMM8ylF*No{I30zysfrsaGR5`*<=6H)vw<45z{WLyWMwuUQVh<6u;!$+6~nLP2FL~ ze{T>E#2ZZvDm%?B-PVg_2`+vw+K!&hyVicCPCU|Wf2GWN@JgRuLt4<^!6i(OrBcoI z-ipmnoFh)!o!sSOblI!cA!Fy=kf(;4zQb8tpH#KEl&{Ve+vR6^SiiZ%Hedz)x;->5 zc+=auH6=QUbD8IYvs&L=vUU>`9NGI;J<yM>xT z^vO(d$5NYi$0B1BmpjCUmK>0|6dVaM=3Xu6TZJ)rw;izC9m2n5r)6|~{Q5zsA`hg2 z{-)whB${!$)(LI#qG&$I&|2o4GtXAA&y`a;dq=uwQ;BK9dyLAnM;Vj5FJAd}wQt8| zjR*Zpy>iZHwaw~r!u z=UI>CKWCH57}?gAOl#lw!w*fELf%DIV+>cgtA_nhC7wm*6|grEWL8`KpWW({+bMN1 ze=X79zTyQn&5Xsn!1@;!P!uWm$s5tn@5=ktPJ=b;Uig0^Jg* z$z3(d74qRf33fE=tb+Z+pv0KDs8fAjHR0FaO6KBQOP(d6TT&~lZ%g(gUOhm+Z_#-pp*$&zk`F$+z#5c;*~&@sg$7i9 zbDkGL>Xq^j+yI}=rcky;?v&;a2D9ingA+|;$5~(P4_i-I8&JDdlHyt!;|;%WsSpfe z%k%#v`e)D^Wkd2o(v=*)j|5pyLK-6@drYRM%Qz^M4`A8kVr7WjM3)+md`u@|@tVIA zlp!VW*-4{Q;V6_p;Wclmxbw*&iFfG8>*rd3OX}Pn0=BxO^3flAa=6*JRWtk#p@;Q% z5DpV5ohEfhVD%9bv+zGiI~VmZio9zVJcO+^-@Sv#4h`@fr_Q-R7ijfpQqV zXh9LJ$gFe&>SnPL-MG!CQ>)uNLT*vW0sl#qQ441gLB)!c%(nWYr@(=9L&~*Cd46A$ zdd#3?I+%1)HL`)wkCdHM4Ry_+djG=a-%#0~k$LwFFQQW$d;OgnlqkQ}F*4g0&QgLA zBT{bet+0pm?vsbP5dDcUUx#IW@W#mfB; zg7WsOHAGi_3W8;I@|DT7t#;%gi}j5O=^`hTJzsCK=%=lDhJ$%KAf zjYC7i!m#j~M7r`kF813k>LgX#f2b!aBKr-WU_fR#Nh+W57ewq=%DXDUeHL{`4(8;0 z!d36wp15G``2+L^>&Z{x+sI~uKao1BGHuKoejC4***EAvrFWr;6zpM6n~{!eGT689 ztJTKSH4c}bc?`8?#S+E?{bA^w#kO!xtI~d=&g>7ft%&B8@;SKtnUg7lV)i^jbXKG^ z?p^rb5}+{&wOpVSGIk$iAuIcdkiCm_46zp}H%;|FRkdi4*|pEHQch5r|U zxU*3YZ86FSPxPYHfl{H;nkVl{F!(8B;38{XP5pVZC zyBt2W`a7c77lI|yGqO7^948|4Mt{W;vn!UACls8>fZAE49H&~N(OkLMKd{7X=sat1 z8pPgXAvvdoV^I_O*VAF2P_D-bBKf!yq7~V~IjKtfnfm%}=+54JT7TZGK_7rR=gsKP zzEbNR^gq}iR=D!*ZDHCsSMv613|jgvYD;<6N!h)3LjxE32R^c<4%&Z1e}Z~D6}|8C?squJe-j829ec9Q);P@R?7Bx?F6GyO>*zpFk<$$QQm3Jslg4g+ z!w+!BwQ^6zolQz#DH~8~x*M> z7A#L4Ln&_bU#{L=(Y#f;m7NOb#i=10HQ`BoT!5%gS1%AuS>}OMV zOz?Sstnw0(iz}&R-vNq!K~?EPoldv5>Cc-qcx6N^b5A1H+x#Ole8Q*-Z|vpvo44de z>^ySR`M`|-KmS@r-Ec||P^mlqlTqt=$cQ^(PpRe4ht)!wS@+$r3GX-iE+G4kdF?*ICic}2?RWl!gt%ud`g)OqnXjan4YIx^^%sCu)o zQim3FgZpGCLs9j0fmf4s;RLSavZd*GhWAN@WZOXJxT&Qw^|MP;?$iz*kfk}eGs2I| zw%_s4K^i4YTy;nDTT4IUAKWGKgC!T{GNOW$5Vvrf;njv{NXcYTAmTnYE!#ojSNT9t zLD$MV#4NcFh^7VSgXk=;E+lF>(HcZci(-L|jFm4#64sV!?eMM1ET(ekU? zZJZej_pWhd;$ZF+iBvz|nXy_F=CyicP4u$BR7;!2a|tnQ-jo1KoAwW<`HqO-%q>cW zC7t9>Xi44-udirH+tZ0o=gJ2J(R~f9{S(hF7v&4gCgvB@($}TM6&9La_=3JcQCe?7kJ4?zRcGn?gw zOl{v#qyUOWmmflVhhGgYsjAXX>UBBI2Xc3_$QT)>S=?fWqBFv7X@?I><-3t6%OXvN z)ZHeEj-#Mh#;_k41u$%!<7+yt)5|V}JRL82w?kfWGviJ6n~+%_x`M9-r=d_XRxT?; zd#BG4rx^_VvwkqJC>iZ8h{SPbxCuU^Z!%|iNzlsHldX@Ul@m`(Aw*?G*?rrmC84Vz?C|qp^ofh$ z6Izqxv?0`*m z=20iMQ?FV$S5*OeRBLFfBEwa=^D^N)3Jxdxvjy|CAk; z^L5@}hQiyfH*0$#w9g5K6nf7@*%PNC%$kWUWpiqw`T8WV>N^0^b}|XsWXhz;SUwnZ zhy+ zd|No@Rp+8uMN*HBd(`qYYcF%^u^Xl|f$~(uq+hXrU#3_Q#UEv$$KJ-TYs2Y?$@7Yo$K@tE)E?wM>!R#72p*4-A+XXV z%32j+v4kOzH%^-B*%{u*z4O17CBH(=r}k5!ysEy02aKFCM(2#8bg% z*@0#z{=Coyx_fivj{YL}PJO4%S_EM)sB3-mQKY_^06TVOUsd1VvT4oB>S?BP2 z_)|uk$04YtffkO^rg!)((aG%t-pDDVk{RXXq;%~>rS+9%W^_c)&G4ezh;(0STDmnk zgZdpTLN^cg192)%^p`2F-AdQqtU)nU^*KYzt6jlpVV44xeqq1iFeV&%m$?S7r$Wlfr?KlgH_`n|z*t1RZx6&cC)lz)0< zbn_CkUUH0dp-sErBoRPDP7FWXgv+pL@0`d2@bN_O4$HW%8eccK*qstG=a1$ldUJ1m z87b}PRr>7;Wju6k{Y;K6nYo!Xm0OfN-oDEzAZ)t3-|NMN%8|*51KhFOzK$Cz8-98q zN_{}5BdgC<%l+{KH+*I5*z%=|O!zb7%IsTT9`iJf^V1e+bCI_B^x8J$)`&+-kPi)g zy=S_+_v;g1pKsXj`PfMJPAk`?wHK?-jq4m~pf;jKjN<(4U=ezp-y-y}L9*oG=d*h( zR)#+|R0qFFC@Gu~vh2{6X8EI^`?qPf2Zzu6;KiSfLDpju2H8nP&h+uMXh^Op@_>F} z+%g_vpx1K2YXogBldah(7XC4Y&Im4#e@Asr%O^l=PK!xu^MLtgQb#@&K{s_FI09j@ z;bb0+{i&xDL8G!L7W#l;%OKLAp9y}}no@ecZTIv?@gMZsjyQRmW(*pcX5{@P>Q6tV z7@Z{6zZv5WdI1-|70pjemsTc?TWhseXRS`z^&iwkf5bAT{~VFKM_&&Ssd({O zmOLB%xv)7D{{q1rI=W`{EiJFz=B~xy1<+WlBfLNAu<41p2L0jdFDuvktgq6JwSMW( zp^x1c`YL3uy*_KC%^-MgVzicdDNk-YwdDEY;2lYfCB)(wg?{-(iWh%DnmX5J2F)i< ztX1a(e(68-r-v%kB#9K$5^)<%cfoB`WGNmw{v5@`dIr#r_UfWeltaz$E!B|21k7`^ zCvaw%t59Z;pateDi4$4j^C6hpKX704J6-F1uDmjRR1!nCR5Q0kQ~I@W&^)wTL994D zH{`g`2$7Y>b7kMzN;_sNnz1cGsBO~9FPolAFx|LHKeMQ|@N(OyoEhJKND3&~itlkW zZaCP2D{$R(NdLp_=&@g$XZKAkqzWr%2_HJ3R_-(GbB39t+7LaX zO8iKk4ei;JlAVcfkJPpzmcHe1TTST^!|t}dNlVV7e?5$lx{u?$JmUUy=(LY{@#gP52+gPEX_rNjv>6|| zo+kv~gT+@1s!)Dq7HOSJZR&N-<@pqSj2No05We*-gdiVo2%4waJ>={|>mITVc}6z~ zJ;NRP(I@OkL(p_WKSs7xt}S&Cp@=enWuuYvqKe>IP-ilRxtG5_zD(=BW?r&W^yWzI z>w@=*ZO_Z5Tv))38Gox`4f-z{lVu+$$63Up=Af1Xy~>qlZ(G~OEqkc@TB$j%PkY#T zt+2$-rco=JiCL|3vNasuH7$`)J1Mhi#6nt1jA>L~fPM$tY_OVUib!O8V2nGQ)Zrpm zfFx7-? zianHmt73Tm;FWR(jIsSKc{KG1x;doY@JF~nsl+qL;&1iJp+CaoQx4EQUC5n*c<{Ta zGOZxzmqA$rVq@q%^8~7Io1X8#|0H5!776E=@%n$6>I>0gPp*scIg{#>)Gh zlZm|U9tf{a3&#Y_tf4lWh061+3&6Vc&#=tZ52rGPfp7Q&$1%k{ucB9wlSI^dHN`3A z58Fc;Dh+{#Jf_z4E?fj=dCP}W!rWt6^adBQnm+^=ZZ1U*^Ao*fgjv_ff)B)1S2Bx_ zWA>-qggi^gEU9G>>GX*dF%SpuIJJ2k$p(%W_7~yum{lZW?ES2zFDEM;u-|j#3%#lK zR*r!72k8jhlfIBlsAK0M7C(!65{eBytJ?O2b#~bP0;@F+xMkQjg13vB(%Q+U5Bfk+ zs8dum*{riNH~M;Vj)Mwc3l_0W)f3J=qt=TI==XVZgcXoEC-MMq`XYRd2qIO%_{dn^xtGZ>_ zfpq$~w^xDr!OD*U*UpDszP$JPwL4!fa5p@>bLHA&uh0G8gp{Hxb#>zo@LY@w5FNBB z7+|wIGu&Uewe^fBL8KY^5!aNJ)&45=Xm@wg=`)?gT5?yGa$6_YZswH0@*(OT)Gpi( z=po{((x3m?<0FL9kAmlVj|%mS5-u_UWIgWs=)xg-(8ZvdV zrU(-*zPQtdIfoDBXLd*CE1_P+x6a>w=G)<*Ua+IG4s91fdH!hE#@vMjnYj!48R$Jz z1Tp>BmnJ{Z!{8LfG1ppLp!*HK0jqcDB)mq&1uE4^S*Zum8Ol z7d$1Hje|IiUYiFir)`AWMr6>}3`~C42Hmd|ylFmarciV>f{Y6KIk<|-|83Np&MRpa z5%ZyLq`Jc@(4)$5Lr}i#ScU7xid@x!!mbnZWr1CA5X@5oyc#5iaNFYnFwruP|6p9g>eQi0!C9ZQ3G&EV|*IG z7NHU(bD$Boc9C|1TfVN^0BF&jmxa!YLBXReDZbs79m{wSdVypJKCO>nX)8w~Rv%@e zW??z6wrzY7BFz{8lnOjNk2)cHbHDtywO?dP_sE^GgeNtx(uGp;WKu9fVWznoKPP3O z2m1~4zrH7Gkr>v7Gl{W=WozqGD0&K_uld8kkBfW1zDIlkKk*K)}Esa*OAb zt*SiSaQ+cU2qRXe{3itIM|R?;xv`uSu~fGh;@YywGAU4nb|g<|5y&L@BamY>@z8Re zW>sj<3Fz;~>u{a#sq04}feG00%{GX+@v1f`L>N)Kjh1JCqk+&rATVcJC}O0# zV>nL9S=DE#;{63+gxFgs_ZWl(N(6*I@1|Xhi{Ahr9)-8!b!<2@$ys0wm|;n_msE|@ zIBX-**AFM&hP`uxHJW572KBIgf^Ul$iA4p#{KM=Vi@#|UpfM!X~>2+QD%DB=LH z{Zi%iyRnI=j-IFMAk&c>T3qJ{8=0VA_QN@U$A;?shDUf9LN`--meFF$(8sA9Z!j1{ zE>&0*cXJtiUKuI}gbae4t}#ZL@j$A8kW8c?tv~M=JPE*@`-D2a4=PF~Al0_XSfhmk zwqkjzM#eJ)H|@v~)(pzDAPcGEhoIRMa_(hZpSupMt4@>ZZlZ{f5Me#7E&ox`3tw{$ zMGOa7M`sfe%z2n~5Oq|XERNEk)IbZ7r`xOxFRaMlr9ZJag6joX54$+OhE#?zm_7q9Dg$FCiNEI;@{|817Nq`4& z0k3L+I(nz1=i3OvmOO#orarJctJ4qwxXX6spEfD3jto zk>@l?k2Gq-2Hu1F)O`f}#vGCxB@&I)_&lwehB^n^g6a%WUM-aCR7om567c?|Q^O!L zR~(0kCOR+Mr`pwLBq7$mvX-$KO7k$(Ix*7Sn&WqFK1D+$BLxuwqlXS`28FmFu-ojr z%`gAO$3b+H*NYdKK{;GYYQMx+*tci58Jk1Z*axkFajyl*NJ8(J@G_|}M{yp3j#ER^ zpAnUT?Mne(dH_)GPJlHP zlDHN;{UAy+ZU9?CQ;kLmn6Xw2pxsT>(I!gq6skvjo9PwO2UG{5iRH^^1qUep(|G?3 zkv3%;Y7P?*2p`~(_O~J&C2d3)3P4+?lF$Y(YdspHj>Ztl%id21fXBnT1mgM6s{fRV zlgE9rBFutOT@aB!0VVUY7PV0e#hHZOfy1zRv~0UlqOJjttmr8sk`eYtml`Tq2K$GG zQI(0?^B{K=GA5z-c`?p7Kov7hzMK zzlzGZU2;~lK3rsLkjh-3{I^7=@gbmRfC(Hm@0PAbl)YDaCX?d75zM%=($y0K^II7g z9$zB}{)snKfhtNwv>;dnC~n4HMOl7Tni)`qdrh7&U-4dGi39&;WE!=! z*`_`g$*lOInPa#O?79y_oXyC?s4d|#ge|=suwv+4cv3>XP?@$U$8e%5An_N?8elw2 zh=vyip#@pQc>L!Al_}@oXf4+-m=~bgTMvl>F>kvBEV{WLSij zqTS=A9bu}#Q^L50((@66a@w#PZ(zzW^ifjYVvylV*BM-Ubvs|!wo|8Th5pw)Fs(=D z&dW9VOY3j6IhG#9gwFrZKpBkSPL%G!Z-m-YpJ3cuU#BDYey&Y9<>HIc^yx{7N z6%nhOYnv%LW`e59=nkv=-si}~@aSoNO1+##OaWA2TfJ6&`{`z#--q{S>bkv$x9GNx zMLlo%UNzPii}g~xHp7ET!Pd9_3dr@r z#6`8)AGVW}ZTl1u%{dg7fe0DR0!L6Z3+aS3%Yct+lURVIo&~A`Ljxf<`!nG1wRC2F zO$Bk2uWmJ7OI`Cs&tG-ml9ApL!5@b0ZK5O|7#&3g;XwB=#%iqq9chZ@!EPO|Xr)E& z4Y2nWQBa3YtIrn9299$^jYs4SB)L1zMjK-%E%0M-=g#5nVdsQD-m3=e^DUTcuybfA zk147?rl5Z4ikYf}k_hK(3erW@444emqugP1=F}{J_FH4(7!;Zi!wvOzh?+T7QRvWQ%_O=Mpdk<}7{(g6`DlH<>g`G_b^ zqqirA#G0JV-P>qVjD;pOqgnR8d}A0*1`5l~)yi9)CePjIEZB|1U{29)F7d3#7&FWy zSgjOsvut%v+f#Xe8$#|O&E#rpy0jmFTj^XM3FruD_;;Riezm4heOy6WMo9|2wQxV! zq1`u+e~%j)c?9ZdLGq(4PtK}>4R1|ET@z4F;806$`Lsqj5rhRQNI@I9F9B)+(SRVV zuje7LW06pN4y@jJRAtz90L`K#E;0(yAi11tfRj+Y3L`)ew3kQC+XrD&2o%GWk}*+O z-~z+B6JAvi*O5$S?D`LUXHhPAj)Hp=0ezNN<9bDO!kxbP7@Rz)#HSA573 z6z`~JrzG@O9@bMK&#Ax$Ar3$lbK*3-&;h18L8^m2G+2#s+H?QsUub6{QDiJjy0*5H z1_0O#=B1WOLGQd-2+WX#)gNsRu_Z`wk#m>`-*b^1Pf zMaN``ZWg*n4BG4}fMIk~Geuw>EmuWTO-2=~uxLAhujw+Ir@gcf#Z-_&^^y?1Zg8DB z;<&LvO4rnekjXaK12~o)$aAfglD(`xh__Jm*U@LILR%9N&g5Y9zVZp`P7uXnjtT*W zA%K6KVK8s7LL+aQOod#erEjUiYGF|dS1?3jOwBZ1S}EC*gt?C%L!>Q?$U|EnNsOVO z|1iUIs;MiKSY_)Xkuj?apcP1fA8&n9M7WEGLp zBHFc$fJ;!Kbp0dNLWlHO+eU;K%OtJDi(sCvMZ2`iY)fG|AR%UrBd8X}z^U^^e`erF z$akXZNTwt97bB&QZ>%hAo~j;`H?-7Tqom zXsGbhT=SX?tTY(Up$o7}P?QH8se8Fxw@a(VO3VukT`pxKPW+ zw}s=l%vuR#!{-M)-6{2E6ySV`nMMV7E-liPwb1JH3w3!VcD%T}tA(2)EQ|DJe)9oX zJ34Ob9s!o_VIuHdhh6{;*Tu5=t36kJ3%li%#969Q2#)43rp>Sl){2wtz|f&EmTE+b zjn}FGUrZpgGlO>S#*CTtOpn8&Dn_TLPQ;WMdNq&M&70+;=Z;r~sU|@(hKoK8>K$?7 z1TK}BSCMCbX&(!oduGRJo3afdoCR33iL%|EO;x-Xv_IssTS%s?da6EW#`8#_VJ!O^ zK`RuUE22nH(%x)uLG0g5$F|aSU8a{_&9+bF<1l2SVZ@EPW9xGfY(UbcQ^!x?G8(b= z<7O4UkmQPYusAGKK(yE{yy{`xzBBIFw&%nJQcXOrSewBTW7s}nI@Qp ziyzJkiU2%9)T;H>4FVG4T=W1;%u*IcK`F7KQgEukOOPZ@yvlNOQBLH+5j!C~EO1j7 zr6kV@djMs(QIQyHWoe4Ss7Mt9JR`?u%)(mjLsHzEK7C*ZXo}9o@{FRl-4LPhq_Z3_ z%E9`}^s#lRo{ehOcSFs^FjV_z8}(VR;*-_?RC=id&-F9uDZARrLOntB$$Jstj`@l( z@N2bKO>{@jO0N}~-R?gMJXB3eFM4(md^_W(Y&Q%CWq>SKh_5Mqh)~7!gpYPcOb+LQ zxHW^{mRZ8KLzAU!exqM>;%iKQ9iDI7s(<9f$(-f<^eA=YbnqLU3h=wsk%Gt3xj`>b z%$Z#eo^>`)sx%N|HjlMktmD!sO`0e7_Cy0AX-va#m%*N^$5ECrM!HwJx}3IpPa=4* z3jEuE>J&!{Z_wr6Ten#;Q%q=kh+JTZUWN2#J&j?ltGgeCdWDAuPJR6|ce@nD;T3uR zwB`}qjU|j(xZ>uo|EaAP-d6l+9sRnbITJ@2VdfsEa!!ayMB)Cox%z(U&FqL`opp(Y zv_s~yJT3?JT0Ok|Ps@ilz6oK+?H*S=4J_`Hm%Ba^Z)7z0bN)x*$nBbD-)HfQu_>Op zXHBukM~_n~o$ei~)V&gWAe)JMw-rtaE$V)j<)2*aPbCN%`{pZ6``6R^7+V|K@5GXp zkK8)({<77h4?CUM8uvdryjO3U%#9S ze!Wzx5c((k%TN7RDm>A^g{1Ei7TfM9o^-6Ztd|sDw>Yh4_j=Pr&vdaoq>_46b$Bh} z>@)zkdoO)kPz#mXptP$=wo_iOy3=!7m8Y_5CDl1&=heC;9FE+J9eU7tl)>&QoN^{% zQ?+!Fx;9w%HMq>2`DT{4Zd$XUWNCK(!5<4vW`whjg$q# zTla>SRn{n}CMB#h+M;^ia6z#wHN*xg`i}h#BQrL9jXkR9JEiE|nIHMY-l>|U(^wds zz3>PWI2ygc4mAi7a+aQSGL{e0Djeov2qu9Cu*PCSfN16Tz)EeUG$hT>j6R{e!U~PW z-atu35zo_@Hx7TJlF`wqVwo|x5U)U2=UecW*>uFu0C3 z>{oa&efW?ZYIhidT_j=JiS)f@>1Mn7SdXbFl$KEgn+H`}C_6~%mvNe3^1H~Ekj;vB zWha~uJ)Pmjs|w)As{Kmx2?96n4AdD`6|L3fpvqxmki9Ht1vn2ds&W%z1Jp=SJaev8+Af}lWh|K+#xPQH_&L8~%6O@K3R`4SLB0_vn#}Gvrg9Aqw$l;|5 zR+7+#g^+v&NPj9|MNSdtA?)%V>2OlG?r|OQ??Hss_m}NshO`3o=AH*q7<~V+&W)KE zV*Vi3;_tH|BrokEa}XYig?LHPX42U!4Z=YBUPn~Z1p>z?LL6po;v!BXZnPXbGeK2d0TPSDN>joUr*knZC5a{rG#3QP_ zt7F2fyGSCU*BWjqE(XCyAD<}0i6n1_Xp1*fwAR-u^U*rEw!?54q6eui89hKRCbmwE zSWUpJorJH+Mym@aXo^CaaPJD5DcA*qFUCUxdU}q<{7(1~B|0;*K9rh@BJ|vYtGP!) zKSZl9apor>kZ|upbo+I-8gZBvOUQf5Hn7?jERYdPl+q`nHxq?TK`eU0{S!KcLwrCK z*=4kE1~dIvyjA;PqNz6{W$1zJ0rj0Y)$iD5cqQb42w(J8pQ9$~3hqKtCHz%z-O1Bi z{GJ0n_G;@00a}GD5}vblXUMe5G^ok_c28!z?fKwIs zQ|_Hmk1^O}4HTGe3ZvFGf?p{th$Rh1vlu6@1t(lqbQO3NvS9xGf>CgDOc+3}i$NQ& z;bHfMR%WA#tJtkTQD_Oal>Lhy_&}_ulgDyU9j5o-GHaU?x7ODNIqlo9>p`qesxJ^o zoA1Fu(*QSQp$J0*lZ45oDcd_JFl>!>oG=~BPU{z(g&FZLt=oTr@KGc<8PV~PwP2LM z=d@sj6%*K!UQ8c~1ht`xlxVkvCjTptu|Sv)dNHet{*Lua4Z-blLzjzp6xdH^oC&N8 zB~!_bvMX|G!z||Hh8}_9Yu7LhyGddR{I4{4h^sR2(9iw6wt?= zh1mgwX&S>c4d9~P5}bfr1W~2hgA~r(kkANAzKxALXK4KyK%DerFQXFH=Q_5=J@(Q9 zl~@yo>j0f!YkL9ss1oL*94Q>U67r9J10MG?1E8{aF34zzqL4dMKgu~YH4^v+CZWGr z+RxMLjB-s#a77MweklPrjvJ)Mpv@#Bx_DU3V1`0CLy?=bnIuHV9k`~MtNnUg-*E|a za+eg$K;^gxcsdtdW3C6uPrD_@Vh3^yau=P6)r2OdMn3<5hpU{V_fp{_5wk&-`!DLy zzlGwxj&96HJVT{OuojyB1NGnSIDt)C{0ZorwjC^QRKd7N-Y03_V5yvYYCt5oUEwt` zm@z{FM|V&%M_7EmB4hUR#R5IGK9t`Cx5i!r27>&&N<6jhy*yjPxlJR>GD|ZzsD6Vi zq>XcBuUZ3quNsLKV9<^vBNl<|0vxgSrUh^WrWFVwHC6@_L_rAmNKqspp2-90ZIt`# z=s`!#SaB%3ip9hZU%_jUZz%|)k1#d$3^eT;0FycGq)Ecv6%wpc2;M~xR)eziaW~nk zVKQhpBjxBCGi4YlU@#DbTj4ki!Golk0#_C61Qg(f=tC3(%v!E7u5DXrl~ON$fS056 z)JV`nLJT47yA?Qo8k$|FE}TITC(2G90-V@Fxe3G;ro6DEPR zTd8-OuFGNnG#Xz+^z5^^~ppScyWs0O;ctym~^N zdft}c<#CfnKJ8z9trk(G1{d^Lq!gZSjEkEih7o6nipaGL*F{ zV?eFATgKaKWG%S)CTO+tYz9iEuyXC@#mg5DP%GLVQT=J+M9krmBarBzeq&j#`6E4M zzsy=?(AEg|iiRLoe=Nu42Bz@%JjPn87J7#Z8CbG?J=&Ml8cY{#tlTxGR(Ju%aqiOy=`I0W0 zHI9F`p5BAd8%lpOviHs78Z*~>uj1PtIvdl%*KpPlaEHrp-e+@3Ebe4sMkQ(;)c zUUu3uQKjX{q5(GO%#g4`HTscoV`W1G!?a6Un8i)ErKxRbUnbq>7P8<=UjYADW_(dRI?el&AQ#3a>AQTa?) zomQ1f%C6qg;?!bAW!K@FiZZk0m4!r=>AeRK|9?oFcm2^?S>?d>?j%Y|FDEV7zA(Q! zKAAvNF;ocF%-nb}PdLTjOAxAy9)L$i=Q7&^-*^-bT>6$u5zhliH`Sdxfep^&MF=FX zw`Q*FXwHF&u`7Cj9b~g}m+b=|aZ2VLgm0oS_LUYfRO1G>gzb{$(bz+#JtEHS{!vPB zP5#>@$x*B}$@jb=3}wLPyf z+l{7x?&^c?2Il9=(eG*wxkHIWqs8(EI1RI_%>4UfmFJiF;(i|jo>Fdz8((8!E)7jE zQA$a~YUX_Po@#EE71)&5kv5w0nRo(&LnI@-(qXX@(}LAOb4 z64^;91reYL%V`ja1?&bB)-#NNn&s=B7=%M0A*@I$Tp-i0v1&ATo5?(6tXPz zw}+zsUx*eL32lRdA`umu5Ty<2ssQ%{?rjT}4JW=yt4Ks>o3YCBLEiWpHk>deQf?~8 z6~=~Qq{Y)B-)axzgf)r5AUKc0QtC%z5EazWe;gCG9Hw`zln|ESu1nxm9Z;H2i2q<1 zbWvIb(jSIXFYv%>9=aQ1GT}p`=CBIo;8W!^+#n~?7`p~b11(|=*@Ei8^xh#}4{3(fXW{8z^K zec?`j#Mj(JA-^IWF)(gp?O-OGkww%{k~$Tf6qT{4@B2tc_W|h*4nUg3=?wpYQKdK( z0ie*eK=|0)WD61;y4?pUGdCuTR=QYoxSNy<{=Yzj(nJqMW<_qRAC}pGLk_f1yr{uy z!Wa`=fT}&91qh(Xh$bp6!{}BUw+L0jW7Hw3o1u`6K+WEfqNTHrTO?B*q;XIqGOV7- zNJkBz7vb^b$>Nk^h{)yKnI4MjiZ`PjCge2U7M%ax!T*+k7AB0C;#y#+CVv!!0$93Q z;LdIKDQRiCzh$yEIqJHod~WCzN@{}~myGbwfq5Hv+`I5KM^WnQM29I`7nmO982a9FrKG^$6m94C8|m&1aMa!_PGXyaEn#muf<#Q?|F^(phjo12~cL zR1@-mEPN176_&!O|4|*vM{xc}Mp=@&Eh+?1g63kj zA_vX%TV^rkQyJ=SJEpGrhEr$u!%~x3f8(|`wAv;(Zh68|qijWGe$pXm{1BN!q!s=k zmrnCi9eK8y4yP22vPklYF6ysNH%wg=$eyy|pbX+kXBUyTpLfj z1YG!lwB^5`u&qv&7F_sF`xnfg?xV*3MyCc`;Kow@SYJ1tvUG(XpZQJ0h0WU~;123t zlkb4rI5}-oQ5~?OoW8bms0<@V4?rvourb#O1>coGXwrQLJ&Wz{4ubD0$?WB1O|2PB zO=msl4AcZ|CP=_AviE_IUX?89gWa)d8=Fy@Os~ID$oPZ0XUK6Wau08M^`Qe0a_8&0 z?`5Pv4Kr>vvqnLf9Nb*JL2;R_3`KRlaO@-fmNYWJMS9JM5i%dzkh$WzEw!b1Sn3I! z`GZBgW)=|mDus0(^wmA{y^*iX$lxagnLXnNaL5M)|v%%gZ zR?=e??ZsxY7H0@>ZX{_be%($Uy?-^#Ec`>$sUQEa5YuuigWwuIGfkEFx#dqTYqpV7fF ztDfM`ZZPkD40{SX@YRUYrEX3gNOm3Dvl-Q2G82Hzzh2lK$XH5R8<8X^iDjBmCH}W% z?AKwdbHig$%oN$bK84}OwNCM6I&9_Z(L)$UOH7W!R$^Le@kPKmxn|q^#g-}qKQc1? z&cYmHc4}bbr7Gu{E_?l|t8j*Hs;xU^6gSNV6D>er&oOW=qR!@=h>Y>Ta`y#I#KwlCZO|N=AC%wqN_|XiMIJ+UeN~ zbwwQ^l6#HD#?|g8R5G8;Z){ZGd0C?wpV*TUZ!pI0jCqDfU}~RkyqX!hujynAexYd_ zne}XI@G?;rRM`p(f}wzeZmqZTuvzQX{pAdL>?+aY?c^z^iN#3EM;}wX!4VGA^tIz* zI5R4N?)A2;Uwv|^;Pxragx zCma5h_l}10i|1qRz59JOBJ|YC0B5mhT}Qq?W!JaQBJZM)!eDBE3$`t$Zaod6N32c~ zGS_1lr5<2%<_zC9@jHe5k`p$*n0Jr9bAY$}=TW0gd$Ub;8*K7bO65!Af$yb|n{|DM z3uFId+7n0S?EdCmwGSd{mIgWfJ}i}QF0w2Atj++xRDg_~C)3>Ux-b~RoXk567Sh%Q zQomkrLYedMxr+QLhSSTNzs#J&!?D}u)=kILXK4Q*9pzGcuHX#TQ|uOsCmD;y7d{ie zT&QfdI5Q?PVD3`@gy51=YVifYPh(nDH(^GB%z@C`UF7rKgNaO4IR5~=F|1mT9DDHC zbBVHzYfB33azRNS_33x86vAFF9R;jKVc!-?SXkI>SHRJ(w3T5i0;({m3Wj;p9%g0N zVA(IN*0ehOT<`;j1sU1ea9|ovtlH@{Thp4ty;4P_w38({TT`~C1M2H{)hw?($ zcRn8Czv*Eb#$ za7FLBtF}~(a^I=A^XP`q>cqH0pC(^pZnlQ3=;hIg8B;~)Cor9pnCFu+CR7m$^?;D0 zXXDnB*7_niBY%~ughajGx22%Qlu^a~da@;*ur;QDrKU-4nuTo6va*gPY$e5)fol5y zt0tfPUp2M=RpYq)Uo|npTWPEYl3TZyGHe*28inm@G=f@-lcHX_BN&au(B&I^X+M#s z>KS@JbAo2K3g(!aAvSZ7bb>%CwkT-#tiN!#fXcJPoctBpaqMGC&L8x-Ll;WMeNjPM zznW@RS}LH!W#E-<16?c#bBm;L4;568pQzgi=Yq3GzS8sm)LkKsKLFpiH-*$S6syHh z0+$_Zb$-S@rSQ)Z9YcZ$J&_DJn`0TrU8`9H{!P0Es;`OuwkJF&&7CyPHjWLWNVbH~ zNnMe}!s8hl0{EMGEg{EiiT@CLWX5X}IEheDkjQz~$A`v|VU(kMM09H;1)*MRslmGr z_ao%1D(AT4jarJZ$ap<@Ih5Ed>M+4j{6?Ms+j=}@6OB5a6twns?zhA;PWRAS8ILB@}qmm{W(i-gsT-=&-F#I5lO`U`x(dVNvQ;dUq_aGFz-d-(8l^r&W# zpUhR$2V#_jyixV59D!tuV@X?5X3RrZ9YAKS6s0a9@=}_V-_}B#I$|nS%FmHJRm!gn zN)D)38L>(pGF!SLUZj>pFO))-Cp{k9ym57^e!2~_<@{p2owo`R;-|nWa3F6~FZS7r z&XQ{0Xv$U7pif1Ej6IsGzt43}VjJmB8)Jjg^q+c-0gM@sdw&U!PgPqFtUYd>RoIMC z3boWc-Yqb3!FiQ9aPfP%Oi#ei6B}HUQj?e!)%i!PakDNW>%u|njJtoviJLV|XxtsB zwh>1&bUEIJ6)D-0r<27sf9?t<4FzH>vzJBIsFp1D^hvm2HKnp%ffE*DSODY#mC#e3_K#!eb6l>vu9mZf*|!oWyiCA+;mTJj1q> z)R{(@Uw^@Z*-CH4e1z-#(po=E0!-Yh&P;=sKu_?d6x=9S3Ii~ogOz{{M7_ z^~k*RDhkP_iS{ zYkN?a796LoYs+>K*IfAw`X(14Lg|CDNJG^t`PW{+_f zwJ%c2@YppuT!zDb2?xFFE(^8LTZh5+2BSXWXL`@H|03jh@i+dLLQGeRN}$Mxm0Oq+ zg@AzAG)as%I<5SEeSEE1O3qd6qRhEi4Nl0Vtz&orUX;TdrAh;QGuH#eyOz&QN&Cs&-dUm0{=n| zpU~v#0Pq_`?vPRF*51DKkhb-%*%+au*;kr$hZVZsn~G7R3WSE^WN8X>A*78c@?zLe zVF*V<#aWnbloKWDF;D*OsB@vukT2vryRO?X=j(1=(t6-nKZg3&KJv-CX@z1l{#LyM z>q=Mepmh92Jc~7hWGc4qR%P6U0`Vd`4vdTx(tOCzb*vmESx-~rFJ~_Jf)J<%zEImB zUEliag4%GvPC9keP5n$r*zl5??4?u-aoR3PB!r?))>lFKgI9Andn@kSn@$q%JDl_; zu3A8DQp)*di>6J}9eK4PXwL6h Lc9jAy_FoAY`_1XP6O_6mnxxO39 z;o1#(HCwX>s%=Aouipox&2FXnBj!UwG$uO)DRk4oANV0dhx^IUm9<~E^2JkijP}*7 zcI(f1NMc3zYJcF&oZ4q{bN^?8bD67hK?Yz%_14e!tIFDHz*Ge5MM5R_CkDo{5VF0D=JYc=UOiwE^<@5d|N zFWOYgnWgYe{Tk&Tne6 zA;7V?J^JM(a34lx_jfzY zuh-BQDUALUBGi7)#B2hgMD%%5Z_gO}qoPk|UnJJ`YySIS98Ka+9zneQ& zIy)9y?rqx+SJ+PWc|G9c5$fQCoh121^RBBXm`!2xxkDE7bEsGivz1L5GaKEX=hU`Z z$V*Oqj2%5nK@Mvbr>Zk%rSr;GMpuikuNJ9ob&%)ENcCIa{r@grUv78-&JhoC1=~P?G6_+uf z^pgFm0P(n7XK7i&(e%mMHz{i8KJT{?{`GXn=f)p*JYK($_;Fg>=*6K!al|9Lv?ptH z?|Nu^80gD1n7@jUTs)@U&SMk5J|{ddS)Ma{WM&}X`HEACIIq+LAyt+AyG>?~O&(d_ zlY69hB2;fi;+3L}O}6bj_gRI06Emd0m_o10qT7`$zJUHZ%YQm+D3>}WtFLkS3ZL_9 zZ+~kcEn{%|kiB#oXR(-p&2xj%KBG@hq%&3}+zJ}?Kk*r`CA(Ogx9*o{dzIGMC*yL& zOIji6{pTAe@5kpX>VErrNjBVAF7oyBdR}nR3WLX;B?H*6Mj~E3!XE3pEfDF2r5RpT3zpPI`+%mI>A+6 zy(O9BgJ9Ji1NPYKrB=U!68k{fdE=a=Y-+@Xz?WaVJY!v(v^?HE^}4HVX}Bkq&nUaO z)3-(I?Yob=PaEV6{v&tR)|ye;?03&9`Q%$`QhY}L`vA-Ke{WUE91i&D-1cY9%1TBz zf$bb#HX!@QXpJUC znX<+oHK7KP54z%ikUm|pDVOqy90v|X0F5t|L~YMT@Ik@Mu%$S5j<~_ppdU?6557GXHj`*nKe6YM@M_ct8PfB}^UYI-1Pgh( z@Q-%gr}Xz&^T z#_G9-&3&G84YK>e#SXs2!k1e3vasT*NOPXC(%0s6v$^an+T4jvOfP>M9z11i-T5rZ z`P`k2@BorW=3~*gLvhjkKeFS_{TA<8F3n7SA!sX3R($m_O;K6fW>42#(hK(9^QkFv z!*dNYnP;4|l~=za_j=AfV(0#mN$>R3*5<5@zQ&=xEUk4s_>c9I^Q&L$;nx}5zkcj; z6o2)CU04rvVlzHbGCumJcC9@s@p9>9PPL@O2w|$YsLAp%_5BU*t6zg9f=)TvFHaOb zIlnwnvim&gA;}tLlZ=-;St%c(&xvo~7vu7E*?A{I&T!fN;PuN9?I(}r1cf1a4e_lf zFDYxBHF4GWL*N$^itccZde}-nt5dj}BT@7vcW9#MPGRJCE;jQw+=)N-&Ynt?9kSv< zoz#A1VkeP*YF)L#DLXgcvAC76)-u#WLVZ53XKdBubN9V&PH}O;mtap+Ri2~mqTy`6 z+idO2Ge<1tMI{llN`I?{TB`K_HIgy%|2f<~vx1WQGJNk&D9Tq&)5hyS@vQrR-lCTU z@M>f$oY%dRo7NQ<9O^$A9lW#|?hz2^oo?k);E-eQz`yqD%g=?EdIiH(*;IY3^d5e_ z58kpq{5(Csy=07>FkD4{)*^(C&IbMXw%oe=`rEUcJi&z^Nv#CQvl=)5J&5Vt*@wh_Yjg@ord`_iBUYID z8Ga9^bWAf-KX5L~lDrR@h)JB=S{?y)5B+{|O#R;6b}BshLzUKnwcGyTN8;IYWX{i? z;1I}HxS6jYH&o;7JYo@jj#rBp^Ir5$LDaQ_+yc7K??lNO;ty-P_@B7DFZz=zR~^6D zt&9`jxIR`lD@H{1NxV9%Bm1f??3K&&6E<-tGo`xE_Wj&jKfv|#dr7hFZj-NP+*{8-Fh?zmWk zC*X}F{Dx2TWzxr|pzciFsE0~{+%F$0m6wV&n#H*q7w;(S&%7EnXyYs(dgpGO?-TuR z%~pC*ce%f*X`KvlUJ%zYpk!KGel)aj7X7%nv(4jts$KlYB>kOj{032%6>sr$$j-mM zC*=B%6p~LXOg|t+Bs}V>(H?_00)W zt%uqf0`5D+?0st4qGB_BkM0eBdkeV z?B#qlXX=aB;>hZ>lju*B=9Awab;`q>L+6B;^#!i(t2$9@8|_tbKS%9M&Quzzof_;{ zayxp}{&?2OXQ(sCd(&UVW^Bpj0_Dx&M$h7CI2+^N#twr&rVgH1JtYy}KF@qEABylv z;P(w7M~h#jMcm9F?<|k>tKBbmzUSE|tpi0B&9|$DE=%m`=weErweqPji^lquha|rV zKT?W@lSo6sw44$P{F~8*XD4rig}p5ASB@~eo0j99vRf7i3)$1AvyC`+SKkc}kJXqV zMS}{h119`!w>;d`mL>hm9pUnepVW8lZ+)EmH0Aj{ha|!9BY#ERPAi4hS-l;tTsx`v zB79QxmXeaGx`Cm`3*qFT)`#;i40-F5kc1-$fqE0k=qLk|J7v+3qSuU38;@}W=5B)| zRxbdrpEBAcB6{XajZT|^iyJc9{MW(2j6aS4G+%QZcA;Zyo-`w}#w02Y{y8hC@~$8W zB&|VG?c~qzZj_Uu9d`p0VmP>(NKK1c;yGg}RJrmYbdK>k1GMe@_4b5dZ`PziO0+%X=Z6^NKSZVogp9LOKym z{Ay~q?j4U|=YIBW>dNk-j}N#SJb}!-cf1(RTDbCRHg4?ksXtxsLEGoj;Q=~toY>hm zh?5NMh$VU9v7J`+aFXV{!&yAmVjp*b^TmIotUM-fXf^8y6!C~%9KMuhvY9SW^eGzh zBiu0D{N~DaPSaP;Z<3qqy3<{$*fgugea;ev_ZqD(xP$nqKR0H0zdfbO<)67#sU&z> z;F%5=?a4>6(8=>%hOp$|R+<9u|44!Ne#%bZ+4$ZoHw~RLNoM>qcq|HJ)K7QUdE>Ey z=lV9%_!?$LZJ!$R%#NG81Ye@0dtZC{cl;vBHeU1>883O-A8RrECYHGvzm@YQHm@&a z7f)K1k!bTC^ZVGSOH8rT=PrJVZdWWXQ@U>LrW6R0HRVP((z7mC!bvPh4t3eT{M5O$ z#FOT`By`Y}H_bH=lkrBjT%cCRHEU=hI_=E4i(XR9D{j3$JZY{M5t5)HvfKZiJf`>a zQMSpA^pRTYN#bB_mX2#xSB`_YzOqv`eSm^>;zO8TaUwMz#7Y&Zbt`zoLh9 zT$Pp2PJgPJc4@Lpx`|)9aH3O1a@S1d9aW*z(e0H#3r7vr{9iImD|w2ZU28l1+UKww zcaU71<-nLtl!W7i&yh9~cm5$2i*w3juz8QWg_tP@J z8VmV0bv1Jr^Y)O{ipZP3MyG)k%M}LVXlI zROozH0O`5&W9ApzOq1%@;pk%>?p;UZBGKVPFKn|9nZ7E06njOcbA8l~c?an;%ifv) zj*MN=?5gpj()ag%{gw_zldfMxmBnkH5RANRW3k(~j>qzCv3QB}&g(k{-q25F<9Qyi z>3+3;@oReh!^PMB=xjnf$D{Lqxbz!D6Zi1#z`iq_UDj`KC1;0eUlz(}Ybueo7v@BM zx^Jx}t26Hx56h|dghu!3`YBo^OUP(Z2SK`@>h}`~7$7i@iQGi&Zn#iZkJ1OzDmrzof#I$zOTpI-}X&rZhf7{xRg6`TY2^fm64Nem0-v zDG68e%*50u22MN8#aw(Q_FOYa=ab}@xY?M?ytaQ;lB)$FYs~+2z#{VmUs=%^(Nh{H zGcb>53=;SxH=8qLjSFLc>pN+g@rZ}-Q!|L+lU$ez({~CpT{$_b%yH%6`0i(R=Of&H zm7g@-&+Fqi&F?OI|8EuYpxnWW(|%~DJ0?CSw8=-_$a~%89C%ZGD#0_3{`+ty&)NPS zxv}?{wKuwEaw7v>w3h3A7Lh;a6eGjCuDlrLmk;$TYj=KQ{U=}NURAsEsZS9}K?jj~ z$5RKpnF34yUf8oob@-egQ9Wj(*N81#q+M4wf&1b9+*`3uPKw@{r

NWq>YyPX({8z8}uU_+Cz2?7q&42Zp|LQgW)ocE%*Zfzn`LEum{K

O-?H<${e_Nmf&JeT9OnW)x{&J+ z^$+ilbAe^kX9m~fb3R>TG{X8gS6Ei=@asF*@o}!>oby|9&Tq*%za{7VmK@Ksx%0>Q zEv?V_Ejj168Z9}-1TA@^$pRlwyQ9??`20K9{5#kDJJ z*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!j zb<5^l^Y2{q?_BfmT=VZ-^Y2{q?_BfmT=VZ-^Y47r7mVSpdVibT|LOgmbIreV&A)Ta zzjMvMbIreV&A)TazjMvMbIreV&A)TazjMvMbIreV&A)TazjMvMbIreV&A)TazjMvM zb7ntXznXuyzUJS#=HI#I-?`@Bx#r)w=HI#I-?`@BIrER}U}yisn$7d`e{$wOIrE>K z`A^RLCujbXGyln%|K!Yna^^od^PimgPtN=&Xa18j|H<(@n>+u^e_EgUPtN=&Xa18j z|H+yE}pPczm&ip55{*yER$(jG;%ztv`ALD>y#j^Hu^Z8HC{3mDrlQaLx zng8U>e{$wO`SggV-`(L{Vp(#LKYr(W{Lc0Go$K*C*W-7t$M0N^-#P0a#>YA9Uvk#J z}^)ET=Uvk#J*Ze!z{5#kDJJ*Ze!z z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ$92!j0)`#S* z56M{{lCwS}XMIS{`jDLUAvxqBxp&*t)j=g`vntPjaqACj{^Bxij{-ga%Wz_Bk_c7^;q z*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z z{5#kDJJ*Ze!z{5#kDJJM{d}hYyO?%Ikc2N&A(e;^Y2{qKkvi+0Sw2A`-2TT zIsY$o>?3^HCG_Fyk(~7-IqOGq){o?@AIVuilCyp!XZ=Xd z`jMRVBRT6wa@LRJtRKl)Ka%5lHh2D6KhpZFAIVuilCyp!XZ=Xd`jMRVBRT6wa@LRJ ztR2Z&KawN2XvtYWlCyp!XZ=XtHa%Hzo^IXyd!#-v|H+yE}pPczm&ip55 z{*yER$(jG;%ztv`KRNTCocT}A{3pkCftH;4PtN=&$MbCN`eOdm`pkcF=07>}pPczm z&ip55{*yER$(jG;%ztv`KRNTCyhnb)`QLQu{QR%$tGoQGyZo!W{HweCtGoQGyZo!W z{HweCtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQGU{+(<7oooJ`YyO>U{+(<7oooJ`YyO>U{+(<7oooJ`YyO=x`|0}8{JZrv|IRi4 z&Ncte@f=#(Kh3{eU-R!=^Y2{q?_BfmT=VZ-^FQyy=YJkQz8@HUk@;Wv?}uRh>NWq> zYyPX({8z8}uU_+Cz2?7q&42Zp|LQgW)ocE%*Zfzn`LAB{U%lqPdd+|Jn*ZuG|J7^$ ztJnNjulcWD^IyH@zdEjIv~>N~{MYqs{;SvgSFic6Uh`kQ=D&K)fAx0glLg0*V});< z<$vM*0q@_I>|%Xbcz@tr>w|Nx56-ndIM@2%Tw|Nx56-ndIM@2%TNWq>YyPX( z{8z8}uU_+Cz2?7q&42Zp|LQHalLgno6|KS7zm4<%kpJY&e{$wOIrE>K`A^RLCujbX zGyln%|K!Yna^^od^PimgPtN=&Xa1Apc{X?cng6st^PimgPtN=&Xa18j|H+yE}pPczm&ip55{*yER$(jG;lkm6y;5^-?wMqWJJfHvMTp!1YbBSgAmHG9PvwkFJ z{YXCD;`Dd8aIIk36}InOx9?oH@0|4u`#0|goITm0_8&N|RgCY3uX21AI<8gtvaivH z-|xWsxK`n-zCj=E-{81bv0N$6pYJBu<9F-p@jKV!ch35qw$J*Vob@~T^oWPw;lrQz z%*Jn+zpy^CibY+I-?<*Yb3K0Ndi>7y_?_$VJLmkBj*s(Ka$HGh$vJ-|=lqqN^H*}t zU&%RtB}ZLDOV0T#Ip?qBoWGKD{z{ITfR>!|S8~o@$vJ-|M<&sd?zg07|fe%EGb$?w(-E%~x$Xvy#13@!ORnxQ3M-V81I zJ)5B=zgIJ~ z8Cvp2lLd~3W%JiDqqV;mvuNS`?_B49=Q{s8*ZJSM&i~GJ{&%kPzjK}co$LJX93zDW zbFTBhbDjU4>-_Ir=YQuq|2x?JJ-_Ir=YQweA1pBJ3zn_l^!azL`FF1Qcdq$&uK9Pa z`FF1Qcdq$&uK9Pa`FF1Qcdq$&uK9Pa`FF1Qcdq$&uK9Pa`FF1Qcdq$&uK9Pa`FF1Q zcdq$&uK9Pa`FD<7u{pfHyUv+u`K?3fBeq%_?_$VJJ;iPuE+0OkKef-zjM|bj!nLd2K z48ys?vhE)S=l9FWSznT~z9eUTNj}}9>CYZ;tzcO?JHFxbAJ%uS`{!Ku&$;fObJoAK zeb&F^tbfT_|B|!*C1?Fh&ia>}^)ET=Uvk#J}^)ET=Uvk#JLcdqllbDjU4>-_Ir z=YQuq|2x?JJDpNzVF{ob@L;>rZk#&*rWV)}FLJ>rZmlpX97R$+1sp$&nef zDpNzVF{ob|{1@cjrK-=D1fHuFDx|B$@qzph{NU%lqPdd+|Jn*ZuG z|J7^$tJnNjulcWD^IyH@zk1Do^_u_cHUHIX{;SvgSFic6j_ZaEIjdgtU%lqPdd+|J zn*ZuG|J7^$tJnNjulcWD^IyH@zk1Do^_u_c?UYOwoFg17eD5s(!}qJWj=t5x1mAQi zQZxPilu4)k2mWn@tt~4r^S-D1UUA;vV14JTFUeV7lC!=fXMIV|`jVXWB{}O$a@LpR ztS`wY1K$1v|6hH4w_HAqzmBhS9be}y0)^?ji~zWc73 z5BHDQzk4FgZP{`#*B|a5lWYBP>uddSuJy;c)*t6uf1I~N9-*cE*ZSku*ZSjJ>yLA- zKhCxOIM@2)T95yLA-KhCxOIM@2)T-aj?@tyP0F-K2FwEc{zU8q09#ov})|K8`<(X(g%K=BP%(*Njq zuKy76y+5A!hl+3c34O@VgPL<$_M>@UDZXds!~P#B@4x9kxc;#J4;EiJ+rN{J;Wi&8 zzWS$J|DOZMO&m|7CFk{-oY!Y^UZ2T%eJ1DinVi>Wa*PC8a$cXw zd3`2tZ#`L7$@s4QImds`IrK+1=k>c})a%1&I6se)^>>KlX|&`#KgoH1lJoo|=lMy_ z^OKzCCpphga-N^$JU_`f{zu99u3yCQAI{ICoAdmP_v^lB;r%_opSj`^`f&bG|M~sQ z=1T|X`Ag38mz?J>Igby{?{`*R=C|)$x9?oH@0{09+CHzJnAxz0xdbO zpX55e{C?odFuu-pe4Xp~I@j@auH)-m$Je=zuX7z==Q_U5b$p%c=P&2_`OCR}{&KFL zzntT|vpLt#U(WUOmvjC6C`p@rwwqDNqy3qOk&zdiI=l4GwuZ>jT`=5pD^ZTC_*P$<* zU-yLA-KhCxOIM@2)Ttv}AS{y5kA<6P^H zbJiX_I@kK+Ttv}AS{y5kA<6P^HbFDwlwf;EQ`r};dk8`a*&b9tH*ZSjJ>yLA- zKhCxO%=^On!0&%{UW@r(==}a?>C6|_4}O1f_@P{X;rGYRwSG9)`r%ybhjXnT&b59x z*ZSdH>xXl#AI`OYIG+^q_8;Vz-(OrI^;_$+a~)sjI=;?ze4Xp~I@j@auH)-m$Je=z zuX7#WIUoJ>(;VNm^7(AVzxVl7{21{oj6X+w&5!5Tf3EoApU{W=Ji9rU%~uYNr_qx0 z{3hr5P0no|E8Ac7qxtP8=k}9x``(A~Kdx<`pO3GcZGZ6N#kc+k_wQ%3^S4fX`)quN z^-mCA_fxJvjQ{hRb6NVc!SOU&avpzj9)EJ?D>?I(ocT)5d?jbTl4B&$k~3e)+p3f0 zL>b?mv+<>lMt{(p=l|rN597~QJYUv7eDW`b;Jgg;OLCr{|`AN?6lbq)#InPgWo}c7AKgoH1lI!OOH@^D$!MT2ZaIT*poMXS(oa^TY=lc1< zxqg0duAd*A>*ojO`uV}RetvMSpC6p-=LhHd`N6q^p_8~%O@w$JNl??Hp(+@dAd^Xt~v^XpvCuX8=W&h`8{*YoRK z&#!Zg1X?!H$Uk3B2sAS{oVYa>$~|u9q-HL_Rq}^y1ttq)ZP4`?&b$|H$SMm z`9a;y59)4yPTZ4*eBu4!y;_(sKdfHN{4ew) zx{t!|7u~1(lJNUQ&b59x*ZSdH>xXl#AI`OYIM@2&T-lr8=g+yG zKj(V>oa^~>uIJCWoa6|g{JHwC>%01|?&`m~tN-e*{;RwC zukPxaPB)yZW#0>c6_H|AQ~A@BDnQVn618q4V>>6~S?T zi}_>o{=@oOKb&j*aIW>kxz-QoT0fj?{cx`J!@1TE=UP9UYyEJJI*bMR<>!M{tj|*a zUn%u{`(69^i@!>I-QDK>)#6K+4d-vN@xFc3H=N%!2Mw<0*SVfw=X!pf>-lxA=hwNO zU*~#$o$L8^uIJY|MhcDfw~k+(AF9{+p?aMks@M6UdYvDt*ZHA(ogb>#`JsB9AF9{+ zp?aMks@M6UdYvDt*ZHA(ogb>#`JsB9AF9{+p?aMks@M6UdYvDtYySE9V7vVOi8?UGM!nrG)}M5*4JPoU;MJ$Yh`(0UUx%r3DRhn53nktwm3cR8LTkCr4XN zsU=|!b3}$f3xv50h0rnt+CmuZ^Zemc&w2i68Ka0+CZF}&_xkMXd$0Zdw)Ut859E5i zR`$yE-q(D6f9u-&zSC|!{pqLw*7n)fN1pzhv#k&Kr3cS8-fiWq-~IhlHm|I#>^pH~ z<=DF)wQ^-|$KP;e<-RvtS!pk8rhjS^GhBaN$9mOPvw45bKT7-tyj_9 zwV(5U&iScx&b6%mjcTDbRsYy|Z?%8p-k-JCo5=h-%KSS?|0L<3A^mfEzt(?#@7J}x zK<2ZpYuh({kM0BeHf!s{pC(IqfN8c zSWo@kz5mGkfBF4<Y_H6(S^um3^=;X=)(YBG z>%Vy3TkT&W{SQh1!_xnV^gk;7gVO()^gk~BOQnCA^e>nGC#3&L=^v8*r=3>H0 zTAx2F^BE)R*gq%pKi~Va_NrsYU&?%HcrE)6eSY>HQi5|ETxtdF@%fU(amk^?tqVlK$@ApSAhVk@;_t{#&L0HtD~;_iKON(fhTn zcguU;EB*J$`!DMK+U^H?zxMyHd%yPo>fWz)eyR8C;GNv*RnUS zKiX8YepLFml>Twj|1s&`O8P%8{h#Rly6(4@`5UDF)4gBYzCN|0_VbRio;zK~dg}dm z?(eDnxl8ZQT7Q%DxAuO$f4jW@nev{qr2lGp&xd-y&hsbbJ)i0Qx^`dd{knGF?EQNA zPVdiJf2FWZwGXr2ufMzVQ`@>tf6uJ-Z{Pd1tq1mgy*#YGP}qOzHogdH=ZV@5}rDK>Ghx`hO_>|0ew%(*N($|0C)DvGmW9{@K$1 z6Y2k{^mj`C9O*wx`sYgj&!m5z^q<}PdhFk;{kjKs$+7SLE+2dC&vRsdo-6(5^?u#| z&;Ks(=lAOUaOAj-e3tosz6YxQ==1ywrT&~>C#FsHo)`7`HU9EF(yaB*XsxDMYv)#{ zO|#Zcs!p3~**&t(7fb&o(toL}|7E>@)3)s1-k-Jp`O?3%_iO!Ml>V2b|7GcarT1%{ zUzPdakp4HN|1If%Tl(LT{y#~7{^e;~ckR!*I!SG+m!o=r*7`T>{d&&1L+{rr?%MlR z=kM10wVvape~;duwfXn#{d#${yytP!f4uac(EIiB#NMxMoh~Nul;|4^k3Nf_41~#TVkW>{0!Tn_127{d&(G_(G@k`5aO2-_YmR z`M-hWql|IbK&qxA14{ku!QelAl}t>+%nzo+znR{Hmn{wC?)Tl)8r z{(YtYbJG8L>HmWCe^L6IrGLNPuYKjbeXsWGTKtk6`~APm$6ovM0NI}hO8-HurW!XN(reDF^&fT}^{3wRoAUnir2j_gU*7vw z)4tsMt!u}fS)2b=S@vfA2jR8PKf@2j!?Jo@?JbM-*Kuv^%g$Q=9@2l*KVzMopW3Z6 z`}?c^d%a(eEIXusw)CIX`?YVoWd3fM{{rd1Q2H;D{+`}%t63b^k^Yf7_PuluXnw0t z?kZm|?)U$!^mcz^HLdp>X-8L9Vt=sMoh-m=Koto1+E-(UT!rGMkTb2a~`dw>3! zrTv}BJy*{fK17y1z4z;Q&*}YIJ}vt!S@sRG?8pBZ%U&wWeo>aaDTBeaZ1)HHduHuD zcb5J`rT_Hauk)biA|1=0$+8#pew~NQdcSq;c}Vw3)%nY1J)e^Pr=|ay-miW9Z130U z_0fpo>#t?|?Kb~h{H6Z>n*U|9?5xfIimd+!>x+#x&04!_@7J}f>&@iO`K;kD z%d)@K`?cL?_kJy(mfa=GzFGR8?ETt@uU^M`YFqvGnIAjnq4xc6`m%MueZBYV{oj!O zH>Llr-mi6hyZ1M@{xLt${%F&zwFgT7X}w?Oj`3e>e(lfMvfcCkH*NR&?ti)#HUEu$ zpR4~S>AzWy>!jLXo9cS)@AEge{yXG7U+?`k`(OQ>&)Pa~@qg8tSFiOwd%yPi(Y;^h zu64afe@?AGPvmEa^`6JbIv?Bnb*pXd{aNduDt-OE0{yu*KclRS@N>ypzy4hN`urY3 zE&Io^Z)Zv0{k*>3?|vVnj)mW6XkB}*{;lWNzMb9oU$<5B|3uF1pUN@5PWt3>xE2YbJ+#m8j+XQlr+ zdC%u%{$ES~fAs$M+x0qfyhlFU9=Qkp<@Z3{@j6|HPn0lXRkB$T>Ag@e$}q4 zdVkjXUz0vRf2?Ko=U@CRqsD!Heo^n?=U=t{>+|!H}d>fc=Y?&o^-9`|$G zIu?HJ*}C7gasL*5|8*Z~pMSK^pSAuikoP=Y=KmMzZ}0u@ zx9fG}c>m=!|HwH!at^ER>F0g@Y~nue&)WN+X=+Zb=fzT&USi(U-m+#4da1nswb$`} zUH1C+Yu{cc+uA4n*Z&)C_xkSVzlZnNxzg`v_4ocsn z{d(qc-$&_tj`WX=P5<8Rfx5T#GqOIBo#)4Zp>Wle4Tmc0K) z?Z4;2-^|<8hoZp-)YR+`)_K_VXfy* za-Q#O-qYT)xTaM<@1pO&j^CBiWv_3)_HC1F>)z78&voqQ_1)J0>F?M3Vb%`jmL}Kp z^C?-rmwAt#hq~78I~;w_k^Ygf^55eM&w*Y~uKoWIr| zH3z?9;SYTPZ>S%a<2#?)mtXfg+wl4~A@$$PsP%7u%Br{D06VX|GFS7fU(?-RQFCfq z_3HJntgE~5b?d&cb3UW}QQ!AftM#1l#rny9TkqgCxBQymRi?}LeW@?M@;gti_0&}J zIlc||Uj6Nlb?csS^{TEO+v?nvl>>jv_Mb8T&mYv$)Ui9Sv8Y<|I&Yjiw>-z4majdj zmb{L+TJri;xoXL4pQgjP#l^J(t- z{8001Y55;+RxSCDG^>{U=FO@lzeTfZ$$zw2wdA*KRxSB)&8j8;v1Zkh->O-) z^;f652kKs|?+0cw{&$|=BXzIUw4?urbn5#>eLirm^})H;hb3P*Jgz<{zxo z*XI-e{pW?3R_a$jzsx)Bk2)^;Cwyjd_CGoMpPct2tFFWMe46F``Q7^QYxiTRAM4IMh3i*Kyr{Lhk50RPzkcg}{Z#cAcR%g{ z`1Jj1E&r1K=7Ygs)_wZ}r{$?nwY+ocQ*!E4a_UoZ>Qi#+Q}UWmbNgRMS}iS4eM(M! zN=|)BPJK#FeM(M!N=|)B&a+o?p1+dw{FR*NujD*`CFl7oInQ6odHzby^H*}7zmoI( zm7M3V*+p6bNt^d`%f5M5=_0jt8T+grb8?<#+OY7J3>z3E^>s-&T zbIxtrzMfyVyq;g@dVZa2{dcbQ-?`R*=UV@rYyEew_20SHf9G2NoooGfuJzx!)_><( z|D9|7cdqr{xz>N@TK}DE{dcbQ-?`R*=UV@rYyDqx`T6@(diLw(;H!K7<>zn7$$xV4 zpZw^yy=uwHe{%Aloct#z|H;XJa`K;?{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RG ze{%Aloct#z|H;XJa`K;?{3j>>$;p3m@}HdiTVMAZPpiDwR5^KVYxVqh&0_pt>#ys+ z?1VcC^XZ}OPrl#S_kv}AnE2Kg;?wirQ&(3r|8v7@C+GPi`B80&YRRcT$&YT! zR!dI(NlyJqPW?$+w6+^}nnC zWz!@5`j`Eb?YjJh?JqUHT*dM$lRvx}wb-*STI_=Um&g|8=C*l52f;>(~13TrsbpORC5 zl2d<@x6RF`U!&7rcfM}gKkA?N>HIvpEywX)!SSt|yw2ant=0M4`dH5Ibo}L)v{uux zTPJ7x$vOVy9DnlFEw0|bAL@U(Fl^tsZr{0X-}%vPo7HOnoFCKXS4*z--z{Iu(VW+r zu9nuX_1`V8_20SHf9G2NoooGfuJzx!)_><(|D9|7cdqr{xz>N@TK}DE{dcbQ-?`R* z=UV@rYyEeAt9I{HOZSh~f498Wf9G2NoooGfuJzw}+x7WW`~TtIKkae8{y5kCJJ zKe}zRTH5|G&8j8W{JZtnax~|S=Tp7sBfWpz<)PsZ1+%@}{KdO1FgFTc)?wAW4hCTDy}&haJZ`X*!FQB{}0u za>keBj4#O$U->V8G=Pj%n< zq~-1ZYBTol*jFw4lf^Gw_*9>NqdC(F{eKvw=O;P!F*)@yIrT9)^)Wg1F*)@yd7Y_h z$*GUYZ_<{n=KUe{v2#9cY6BhDXM6vjDy>_Y{NCalzQpyrUk$7C=|8tWS^l^;FZ*wc zA6)o!egDhqYUY1#dK=46zFqt(amMH5T>s=;|Kwc%s=;|KxQhswL<8C+GSn z=d?bPPJ7++_UZg{ev@;4lXHHPbAFR^ev@;4lXHHPbAFR^ev@;4lk@zMoac|^JbxtT z`6D^cAIW+CNY3*|a-Khu^Zb#V=a1w(e$&YLId$r_0)~s4`o2WhlmF!8KRNkNPX3dV|K#L9Ir&dc z{*#mcWFlmF!8KRNkNPX3dV|K#L9Ir&fiV?F=L$$xV4 zpPc+BC;!RGe{%9~U4B3G_u6qXo$!un{Ab?p(`m2Qi1U1qocfTQ`jDLZkevFEocfTQ z`jDLZkevFEocfTQ)A|Q=+Uve|PUoNVo1F8Tob#KU^P8OWo1F8Tob#KU^P8OWo1F8T zocf!b`kS2ko1FTaymD79IrTR=^*1^7H#zk;IrTR=^*1^7H#zk;IrTR=^*1^7H~EjZ zb6+j_Pc*BRocf!b`kS2kYkheBdRT>}O&gA?yT1MV-QoGmIr*=9%sKf_PX3dV|K#L9 zIr&dc{*#mc>U(nPdvfY~a_W0> z>U(nPdvfY~a_W0>>U(nPdvfY~@>{oSS1tK}XjUyb^*wo8bUytzI_>pBlmF!8KRNkN zPX3dV|K#L9Ir&e{Veg>RUQc`Xa{Wur@h4}s|D8^IJ?=fr>rc*Ne?+If9yH4*XaACO zeUfv1l5>5MbA6I?eUek3lT)9QQ=gMlpOaIclT)9QQ=gMlpOaIclT)9QQ=gMlpOaIc zlT)9QQ=gMlpOaIclT)9QQ=gMlpOaIclT)9QQ=gOn$Cl@6$%*|R(`m2U-#gV0W}ii; zy>58l-{OGp5YRQjjRxLU8DLM5iIrS+y z^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^DLM5iIrS+y^(i^^ zDLM5iIrS+y^(i^^DLM5id5hM3`V%_sb>~IP^(Q&`Pfq@mlmFz$wEd};{D#e{B`5#M z+oJR7PwBMRP48bm{^T5ga#p*OPJ6w|tUo!6J%dhr-M)W$|B|zR$+obe$!<3sXx zY39?JblU5Nw=CyBIg6b`r@ij^0L$Nh3$ssb9e%&_gy-WAd?0?3_%#bZ>3;ZT@zc&@ z`A03hI;{V=XX6WA`6cm#3xDwa+wA#N9hTp|i{($M#pcrk+MoD_-T0#xUI&6-vG4`2 zJV<=!b69@b{)5FgJr_T5aeht^zsmSS#P>XpOtcfM@dA1l6T@$YY@^*=%U8h$@r z{`SA6GNS$?`de^Y$x1q)x?AipJk z>>Kg>J-N-EPiM40IltHR-(Tnd@3^kn(^ua%|MLsy^Ox!W|M;Jn)n0M^|2x(=^77W| z_xIO7phms=`SE2t=biRP`72v1KM?%Y-M2l^FaMhEvj=&9ZTCaLU)O!dgIRw1{qEYo zeXZ5B@r23i+*C_`RI_Tyd45UW7M)M!ukZaU!}gu)_MPkYo%8&Zwtr09r)tT0eoD^s zQ}Vh~t0lj2vueqCeoEdJoloU&=>6RfsWqVx{Ir&dc z{*#mc>$;p3m@}HdiCnx{O$-njC`SXHypVaf`<`WtJ*FK-VvHM|s zW%3s{U!?^h+K{v@aVB&Yr)r~V|T{v@aVB&Yr) zr~V|T{#YNb@0;2QuIsz^B!7M1+I`DI@ymB|O{&Jct^3Nu@ag)OzrFi@eB}#^@uGZ-H^uKJ|GVPyk-??tzxo+P%_jm1|bMEit+~3K$zms!+C$BS6Ejjmha>m!> zjIYTVUz68-nmd0tYhEoa&-j}BhuX5$k~6+0XM9c0_?n#YH96yJa>m!>jIYTVUz6Xe zottXO8DEn#z9wgUP0sk5obfez+x7WW{@&i7J>2Ktx#r)w=HI#I-?`@Bx#r)w=HGcg zD{jJsbxbcyFc^RKx(QGk3?U~l! zH#tAP1N|>ovb_BJkXpZUJwE4peVyy|b*|Ufxn5uAdVQU1eRp1Gs#-dJt?zDmt?$mY zzB||Y?!5Mk=3MK$bFJ^rwZ1#o`tDroyK}AY&b7Wf*ZS^U>$`KU@6NTpJJT z|9x1xf3&{4<+Z*$*ZS^U>$~%nKGptxu=jUAYN`+VeCJ&A?_Bf0>}#KYFK*kf`nCDd zY=3QiyQKSJeC4cj`Yx~hdFxQ$cD$r7FW(Q-gea#~0^1zBsQlQLWCO^P9B!)spM@;+EI(#kr0z z&TG3g=Q_SP*YU-h- ze%<7ZFUdK+!FQB{}0ua>keBj4#Of7N(eLMRcR{J{f zy|3u?W!>a;AAhd3s-N4QfV1@K^ULSE&z|W0FS{SYSElu!-;Dh``Oo|E;unaoU;KPx zdj5Y`bEdtoXZh*pQ^~21$*GUYsgKF2kIAWz$*GUYsgKF)OjJuwee^zEpC`0|j_Y&f z7dXF@KT&-57nlA0Z68>E+nbjC1LCtcZPEGk5jySlusOfUIlsv{ zzsWhj$&YFKQ!V)on^jBB`AyFGP0smE&iPHw^G$M|Z<6zTlbq+9&-ZlHa0T+iJ;qzDa(|wrsWJJl`be`6fBfH_3UvNzU_4a-MIJ^L&$>=Ns$8 zf4__WzUIl_?DbWCK2!IRbMl{@{3j>>$;p568@BzbmYn=2C;!RGe{%Aloct#z|H;XJ za`K> z*5&(^kGA`SY46*6{+siBmz?}3C;!RW=0Q5`b;~=Jx1XHtCujS~sXxi7Kgp>-$#2w- zr&{u3n^j9r{Yg&!N#0gHpFT#XUf;QVev@;4lXHHPbAFR^ev@;4lXHHP*SW2h{Kn0y zCFlGmr@kkrz9*-?C#SwAuUuD4PJK^KeNRq(PfmSLPJK^KeNRq(PfmSLPJK^KeNRq( zPfmSLPJK^KeNRq(PfmTeK0M#?-|yVLuI}o!|9+|NA?M^jIr&dc{*#mcqq|6^5j1``A<&%lav4CfpPb`Q&T2nFr@d}__wxBo&SIaW(_UxqSzbOl`XE>yw=8lbrgTocf%c`kefx?R-{C{sYabC8s_or#>gYSzC9ti3O zAvxnia>j?`j1S3wxZN++lK)7vYRMTNk~2OeXM9M`_>i3OAvxnia>j?`j1S2fAClj? zox5tu86T1}J|u6eo=+d7(_VM%U(SDW7W+J%_IlXVzvR@9HDsBM?uuig7R z_J8s{;#;1NpLGtqeV+J%Kf|ZxpDn)ayk*}dK701E?-oB~{5j$~b}cXeT=9*&m;HI- zR~mo5`0nSh{5y8G+4JcI?N83%=I7$m@xM^~u<@6QpZvn*W~Z>arIUbVKSE$8{| zJJ;=bPj_-z4YxCOOYH z$$7p>Uh`@0`tp2}mgo54I==T;p1qv^>$;p3m z@}HdiC+Cu=@4t@xtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQG zyZo!W{HweCtGoQG*L_7hzTa%>F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP z>MsB4F8?R*y7uv3-Q{21g;BRTaWIrSqs^&>g;BRTaWIrSqs^&>g;BRTaW zIrSqs^&>g?uj^FjqNZ)nSwYpBusSnAi56RnV=Tmvz z%QYPe+jp+pcdpxaPJK$-r#>a8J|(9a8J|(9a8J|(YnUM)HG zDLM5iIrS-d<)T`0>QnNXPjmMV^(ie+eM(M!N=|)BPJK#FeM(M!N=|)BPJK#FeM;U= z?|dq+d!eQs&-3-ix#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w z=HGeEtCp_6=HD%^`FF1Qcdq$&Ui(FJuK9Pa`FF1Qcdq$&uK9Pa`FF1Qcdq$&uK9Pa z`FGwgU-v>yyI;4;Gv;VhQS>6A=FIw#X8ozD#l^1)zUHARLf3o|QJ&Wb9ZnNf7)!`beYdZAu z$vHpCIX}rcKgl^i$yfJiWu?5H6>8e?3cr8Ob^n~}{yEqEb58w9`^WQBa-N@(^Zb;& z_NiL(I#bn>^Zb;Y=cnX6KPBh+DLKzi$!kolmYnCOTB}4r>Z5d`LyF(zb3uEsIO^x>T7c9YjWyqa_VdHsw>r!Q(u!)Uz1Z` zlT%-lQ(u!)U%gNN{-^GPXSG)K^|U`B|I>f}E;;#6PX3dV|K#L9Ir&dc{*#mcyP&r`A^G}|K#L9Ir&dc{*#mcMsB4F8}H-|LQLP>MsB4F8}H- z|LQLP>MsB4F8}H-|LQLPlTV-j>pnPlUJ2Uo(fuj;pFaO5C;!RGe{%Aloct#z|H;XJ za`K;?{3j>>$;p3m@}HdiCnx{O$$#>iPjlCw{HNu~e{!;)oct#z|H;XJa`K;?{3j>> z$;p3m@}HdiCnx{O$$xV4?|tp(|GNHFpARnbKRy4m{ODu;M<4S)`k4RG$NY~z=701t z|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAlf`qt|t%x$8gXe_Vdd|L9}>M<4S)`k4RG z$NY~z=701t|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAl`&&!5riSj+dmY8wC5>s-yJ zS5K}#A8<~6sQbV<^&vU+AvyISIrSkq^&vU+AvyISIrSkq^&vU+AvyISIrSkq^&vU6 zAvyISIrSlV&8NBhgZhw`r#>X7J|w3;B(Hs{mYn*KocfTQ`jDLZkevFEocfTQ`rv(f zKESI!>|f-6dOk=#=6_s%%>U?P{zo74Kl+&e(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S) z`k4RG$NY~z=701t|D%ujAHD7yTIFo?G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=701t z|D%ujAAQXK=wtpzZO`cCwI>W>SKMV^{J2bVf3*+j6T+f z(Z~8Q`dA-EAM3;DV|^HXtPi7)^@Mjz|L=wp2teXI|oQyc2F zvRISeA7gzOeXI|okM&{nu|AAG)`!u@`Y`%fA4VVR!{}pu7=5e{qmT7r^szpy_38Pb z?t?w8RsGn$ll)K52g%8Qa`K;?{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RGe{%Al zyynx~^(X&ndGeo}{3j>>$;p3m@}HdiCnx{O$$xV4pPc+BC;!RGe{%Aloct%RdQg)- z9~_YJe^pog`2Lq|ucJOpKVPWjol_r@Qy-F3ACglal2ad&Qy-F3ACglal2ad&Qy-F3 zACglal2ad&Qy-GoeN!zt^&vU+A$iTG9pCyj>HS50NXt_nl2ad&Qy-F3ACglal2ad& zQy-F3ACglal2ad&Qy;uf&j)xtAI$cV|LOT4`I!H4`7!^akNF>c%>U?P{zo74Kl+&e z(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=703MZ)nH2{^(==M<4S)`k4RG z$NY~z=701t|D%ujAAQXK=wtpzAM-!@nE%no{EuGsxF*f_q3g)My34=1%fGtIzq-r6 zy34=1%fGtIzq-r6y34=1%fGtIzq-r6y34=1%fGtIzq-r6y34;h*-!VE%fBw~@~`gl zukP}%?((nh@~`glukP}%?((nh@~`glukP}%zMB5E`QP#SYajpBUH;Ww{?%Rn)m{G8 zUH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww z{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{wH7i`-Ly*#bCoZ>-lxz1czr&&Vv+yp^MCR&|Ksvw{zo74Kl+&e z(Z~FcKIVV)G5@2F`5%4E|L9}>M<4S)`k4RG$NY~z=703MuC&Vk=wtpzAM-!@nE%no z{Et57fAlf`qmTI?ea!#pWBx}U^FR8S|Ix?%k3Qyq^fCXVkNF?HUGn)<*Qt)ReE0c` z|5JUa^IYeuro$IZ&hHN-r#>X7J_O&jy2aK1ha3C1_l=9~ukpGTwf|e*-ll6=f^qqGi{&xnVkBWocfu3^@vxmPu+_( zoxI+w5Z-J{k0t1Q&C{w;q0oa_EM*Zp&@`{!J*k8`~~&h`2@XMC&c z=bZ5^d7Y_h$r<00GrlEfd`r&wmYne|Ib&OL#<%2*Z^;?ok~6*~XM9Uu^J(t-F}|hc z8Q+pKz9naTOV0R~obfGr)wF8KE7R4I*Ey+{ywQ9rpRIOR4ut$W*Ze!z{5#kDJJ z*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJ*Ze!z{5#kDJJulttf zT=VZ-^Y2{q?_BfmT=VZ-^Y2{q?_BfmT=VaIrB8JZZ`1p;xB2nkx#r)w=HI#I-?`@B zx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@BIoVJ5 zujbz^ulaYb`FF1Qcdq$&uK9Pa`FF1Qcdq$&PX6mYIMDyH^I6OJPfq@mlmF!8KRNkN zPX3dV|K#L9Ir&dc{*#mc+w6+<9Dvd?_7`HIrT3cAN4Oe^)ET~FFExu zIrT3&^)ET~FL~vnT5{@Na_V1l>R)o|UvlbSa_V1l>RuX)u{ zzBK=CdCk9b&A)Tazwupd*X9$)Q9u8>Qu43P^1I);TpwzA=hTPf)Q9BMhvd|U@=^1urRAv)$*B*?sSnAi56RoE&8PC(mzp-e%je&@=HI#I-?`@Bx#r)w z=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I-?`@Bx#r)w=HI#I z-?`@Bx#r(_<%Z^5^Y6UoRZIEP{JZ5f|IRi4#uv{AWp%9ed~kTm{~E7-EZ=+KR3F-` z`BeAOU-kZ`b0=Td=2c5h{YXyzNKXAoPW?zu{YXyzNKXAoPW?zu{YXyzNKXAoPW?zu z{YXyzNM7@4?)p>$;p3m@}HdiCnx{O z$$#=5`8C&nE90~*ZjNXHUG{v|IRi4&NcteHUG{v|IRi4&NcteHUG{v z|IRi4&NcteHUG{v|IRi4&Ncte$$q+jH2-dS&A)TazjMvM^O{#J?Vsk~EwA}^uK9Pa z`FF1Qcdq$&uK8c~>E8!&{Pq37wu{LB^zR3gkNF>$AM-!@nE%no{Et57fAlf`qmTI? zea!#pWBx}U^FR8S|Ix?%k3Qyq^fCXVkNF>c%>U?P{ztF-hF16A=wtpzAM-!@nE%no z{EuGyR4v`#WB$kG$NY~z=701t|D%ujAAQXK=wtpzZ-+jg>iFwe%V+N=|7)KQcz!!1 z&i8}0ymPG&&b2-`*ZSaG>w|Nx56-ndIM@2%TM<4S)`k4RG$NY~z z=701t|D%ujAAQXK=wtpzAM-!@nE%no{Et57fAlf`qmTI?ea!#pWBx}U^FR8S|Ix?% zk3Qyq^fCXVkNF>c%>U?P{zq@oollzIjR&qh|LQLP>MsB4F8}H-|LQLP>MsB4F8}H- z|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP>MsB4F8}H-|LQLP z>MsB4F8}H-|LQLP>MsB4n*ZCizf^s=GUVSm%hz$%wXA9P2bb$da_UEN>PPa`Ew26! zSKTW$ZNAuV-??tzxo+P%^{e*J_^$a5wLi-1Uaj+cc-p@;UiWJG-b)tyzsBocEx+)? z3%|O}noqUsvD?IV-(`7OB~zgu39-?<*YbLw~6KJ_~}^*j0M5l_FvSAXB~A%Fap z)tc1x_?_$VJJ;iPuE+0OkKef-zjMZ~IzP@CzmnIPs+OGbD>>s=a>lRZj9$#2)JTJoQ4RxSDMn^jAGhi281|5UST$u~5smi(uiRZD)y zX4R74sadt;cWzcK`CXb-OMcg8)sp{AvuepVHmjEWZq2GCzk9Q4$&YVVE%`l~RZD)) zX4R7aY_n>~@71hY@=eXECBJvGYRT`@tXlH>HmjEW=bBYZ{`1YMCI5wH)sp{Wvuep3 z&8PBeH61I@NBaEZT*rUsI{rJ?@!z?Q|IT&%cdp~Va~=Pk>-g_n$A9NK{yW$4-?@(e z&UO5EuH(OR9six{`0rfDf9E>>JJ<2wxsLzNb^Ld(h^o>>!$ColWYFn@|u6=nt$h-f9INi z=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@# znt$h-f9INi=an0p^O{#J3{*Zezgo1Ra#ojTT<4x0QYC;!RGe{%Aloct#z z|H;XJ^3@|={ckkZwXA9T!R7i_%RATOcdp0pT#w(m9=~%ve&>4p&Z&Rt_^5x$sej3- zf61wT$*F(Isej3-f61wT$*F(Isej3-f61wT$*F(Isej3-f61wT$*F(I>z=BXoZ6SX zYErf2HJ|40U+Q04p86MjS6lsjs_RhKvc4bQ@Ucby*LYpa^39ieuXU8~y=>uEw^{S4 ztgcl}S4``_n$K6ssV~W?FUhGd$yfJi^=A)tuhg{j$n%^)ET~FFExuIrT3&^)ETKFFExuIrT63teu-`$#2uFTJqaAtCswB&8j8; z$!67(-@aM3>d4cWhQI`JI|oOMd5O)so+(S+(SMZB{M$ z&orx+d}Fg}$?w*zTJpO$tCsxuX4R74qgl1&_iR=z`Oh}1mi%7LswLmltXlGWH>;NX zKFz8nzi+c@$$zd{wd6nFtXlG4XjU!xFE*=|ywQ9ruUc5s;pzEi&GU(K9six{`0rfD zf9E>>JJ<2wxsLzNb^Ld(-g_n$A9NFuUfkQb^LeB>-g_n$A9NK{yW$4-?@(e&UO4>_O<_h0pD_Y z-PQH|umAUr>g2z!vs<40Cnx{O$$xV4pPc+BC;!RGe{%Aloct#z|H;XJa`K;?{3j>> z$;p3m@}Ios)7c%>U?P{zo74 zKl+&e(Z~FcKIVV)G5@2F`5(RR8=AYn#{7@VkNF>c%>U?P{zo74Kl+&e(Z~FcKIVV) zG5@2F`5%4E|L9}>M{k#BKGijfgK zwl-Z8{L1e8J~%DU_lvc>bLvZS>PvF!OLFQPvF!OLFQc=< zzgsW%=hwNOU*~#$o$L8^uIJaeo?qvBex2+2b*|^vxt?F=)bDhDso%+|-^r=p$!k8% z?H~0!El>SUPW?_!?M_bpPEP$!PW?_!{Z3B(PEP$!PW?_!{Z3B(PEP$!PW?_!{SLlM z^Z&&bma5OkUb4vl8vj!F3m1O+nY#Rz?pGXDsj2$D)*s$|=MC`b`LXuz9u?*`ZT$qvowf;EQ`r};dk8`a*&b9tH*ZSjJ>yLA-KhCxOIM@2)Ttv}AS{y5kA<6P^H zbFDwizP3JWYGJDSbKDB`VXfb*`)U6))rWR_&8ND@?%V6f_H`^jef~_Y^}{W%^~1T= z59eAxoNN7XuJyyY)(_`eKb&j*aNbYvJj0KyooUmiqy71HuIJaeo?qvBex2+2b*|^v zxt?F=dVZbj`E{=6cga^ydGhKRZTD{bAoHEN`P;Pf%l-addCJ0nPJGi>@Mk=Y->p$TzKJEWz@oN@-<|%CR{^G}e zjpfgL3jP4`3l}~;KRmEG(`kRl@>BkkbAKl1{!GsOnVkDGIrnFB?$6|Pq}7she-lxA=hwNOU*~#$o$L8^uIJaee*SWvCBU(WUOmvjC6sq_8M`b)g?{m|8scq zt9AW+K0NTP#ro~{Kk8b4-11s~oNN7YuJy;c)*t6uf1GRmab9PlT4mU|)*t6uf1GRm zajx~pxz-=&)Sk5eT7TT~T7R5t{c*1K$GO%Y=URW9YyEMq^~br^ALm+soNN7YuJy;c z)*t6uf1GRmajx~p_?r5__dh%SX_5am&i6luzO(SFwSMsZ#g+5ls9$}4)#p>^T0fj? z{cx`J!@1TE=UP9UYyEJp^}~6cscMx!=UP9U>-pvTi@i5k)b};@*}0xy=X!pf>-lxA z=hwNOU*~#$o$L8^uIJaep5G;3nLdC0s(d~>{H0oJeXg4P$>MvzyzEaEU;j7D{xtCe zlV8pCJ-Ip4mai<9UtHhhT;Jqe-{frb6xsgDSC_Y+ob4xP`@t9W9TKnE)sl1k$vOVy09#ldt6DD|wx% zYRSo0^0w%FI$h51j=$slqbn=F(VXvJH+~(TzP~y{mcLTGW>-tj^-0e4NzV02&h<&o z^-0e4NzV02&h<&o^-0bZc)Fb5-G9&dM^{#UvpLsi^FMTN|9uJGQRCb3b+BzZ;hVMB z%j3Uuu1{K?>yw=8lbq|5oa>XE>yw=8lbq|5oa>WZKR>whr=K63>*ojO`uV|m?HA3t zetvMSpC6p-=LhHd`N6q*ojO`uSnmAM&Vq(EB}dR=XMJKR>&M{IB)1yKnkOd~N;MSvPZ=t`eud*FET5>xXl# zAI`OYIM@2&TW zeVyy|b*|Ufxn5uAb*8GNd{B4egSs0Z)ZO@??#2goH$JGl@j=~<50kI0@AqtB zs`262?~wns{c2X*KV3gp|8;p+|J7an zS9kSa-PM0}SO3*r{a1JOU)|Mzbyxq@UHw;g^kxz-QoT0fj?{cx`J!@1TE z=d0Cu?dO9P>a*1UKa%>s?I&xkRkx?>^T*<|+b{cB;)iha{ZU=t_2K8wRsTlU>+4*v zuXDY=&h`2_*X!$Cudj2xzRvafI@jy#d>kLf^EZwUqmSdm=;Qb>`Zzv}K8_EgkK@DW z`Zzv} zuJwn{2iv|q)pvD1AI!qfpLxFB7=HfjTZ6uIPXFdj5ZU C#C1LZ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini index 2479757..396d18a 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini +++ b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimSettings.ini @@ -28,7 +28,7 @@ VARIABLE_PROTOINST_FILTER=true SCOPE_NAME_COLUMN_WIDTH=166 SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 -OBJECT_NAME_COLUMN_WIDTH=178 +OBJECT_NAME_COLUMN_WIDTH=75 OBJECT_VALUE_COLUMN_WIDTH=75 OBJECT_DATA_TYPE_COLUMN_WIDTH=75 PROCESS_NAME_COLUMN_WIDTH=75 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsimk.exe index 07d37b779d7e716a6bb31695115c0fd09a6ea204..19d3781915e4791ff7df45f7b792e4da540d1a8b 100644 GIT binary patch delta 184852 zcma%kcU%<7^ET7#DzGd;f=JF1l#HT+1W`~?Fb51^Rty+8F$YYzqUfmYc?Qf`F=GaE zI>DS3&v0PGbb2P<>aJPX-ShkTz5X#ncU9N(boDdcy)(1x(?bo;-e{0B+a|ix)D*pP zBfXyndV1x0b@UX3)YhwMq^Eac!5Tk<9HpLKH9ftJ2N&FJU}$ya;>C5Xu2^j3;i#L=KwDy1Q;PV|LRvSce2J3%Q8N(}jhI0;HqB-Ekfm9O*DO@5H`41rHMDiN(HYRl8BAH0Ym1EZRC+TCMt45Q z7?=7O8|lTJEv$flrK^4@Ai8Cj4N#Ru;IAg?zk=lJ;7^q)gt-Sn<5YTCrloEFW$yBp zP>~rbjP4gu5SMw%^W;HBvh=!Ayil!cjXU2IdZnkniQe)i-62AJwtT~7XrFDlk)M>C z-~_?s8N4VT!A@B*ZcY$)F~p5g>6cn6fwz1Bvt*tMJ=s4es$*1#j-5NTkDK~xDbGi} zL+iy;a$nuGUFW_v*Z`-nA!d1B_q9N*+blf)_q>LD10W1&nhc@WC(RLp2n%dPhS$d*07sQ zp&~9jO^C~SSGpDcZjn_ES$qIk7LVex3ga?Q3Z*Kjk7{^-^5qtpuk~A=jj0DH(9^7X zg8`w`@*mD~E9ITwCVfY}EA%#vHf(RRjplj#>A zn#z(uamLkjMd?IU^rVHuLEUw=5^Kp>~q21EZ}un$DWr2)9*L4%57_@fp?0bx4zD4X~}TwvfB1E2%rvf|y6ZnsD>FYAD)mNtANehInWqNA)gl(xb{B6L_>uTW zVs%3&`*C2xaAD~wtnokym-w*;G>&*EHZ}Aj-5-j>3`LUgkipxJf2j5kP7hIo6-VoX zf8+xOM*2Mv`zeNy$q$%6+4?~Itnelq?~ATR!^rXbyz=FJUitSu@d;E`+~bu#SXt+u zxK=rgL||p=J+XnY^JM>fAg&lU|I7()!Gg(*?JUVsN7KGAt~Ktmu0!6Ysj3?UV_vFmquKI+^S*P24tu`=~GuN-ho z>|-{JOuofEx8A~Z-V|MHLOO5q%9l4Woj1fMP+4&UV`62U8{%4Xh>4Y{H!$XPv5y7B zypA!iW6W!!t0ly|hB2>U%&X!PsI0h(F|o4FRdKBq#Kg+fs~Gc&*ryi6yn->WV9a9C z)f!?JW6WZVStLGz%8DXZ>Fon+sybIqr6HU$mJLl!^$_bpvBL6#7^QF_HHyTOwNpsl zB2cfhGpt_!5wGcI=Isaz#KCeOG0xCkxGc`InMuMfi~ra;J45|{n&iA=P5fX5IC#!i z47GO`{uC$K&Lr`FvTMX;92ox7X3A66CITk7gSi0!<3_q)5{KE%WGB{W4p{!vNbeJC zlyH#&1@T5hE{Z+vTa)xl;&yvyV(cjv+k0A+fCKA%99)Y|u+&socDg7UIW!`7FN$#v zee4&!XVY^GT&pTQmA)#nT9DGC4qG4Ou62y4*;w(<}K4=V*XL{?MCKe>yq9mC1)_hO2NxftQ(ONz?(40rMs zNUWQ<$~n}&-&+ioRu$^KD-ZS76?xE$`{fF9*_9J{{zf9w#4h>Ge|qrAg|~d~0wf&C z6&H>@^KB*e+Sh<>A&5KOTDwQS(g4DdAKit2LHiaT1-~eaY*WfVs%7 z^UMF>J$2-Gj~zK)fg{J8_zLi%$g&sWaHr;Cg6}YTAyMWiJ6)!rQ@+Zh=%jfvrFgDR zh-X9@2IR>C29NC345aimmKU=s`gWeoNA{{zLDTZ(7UBTUAQJsTT<$sB&bkyM#8oA4 zJ747GTkQBaa045MEITp9E1Wbb6LY{^Dx0{0ZH~ME7Jds$Pia znJ3tWiw@chvsSm^H7w`7SQRZiUENxo?_o|xJ%KD*iY4`eg!5vuhlS|rdy44S65sd+ zkfT$7f5}*1#Ui@~?wrxZAq+nsqGZtt2 zJCH5;Y##EtnXmTtPgl=F8DU!U(3i&S>Pjrhzx{j>S+ifX4yh+J6Pt%P2gGP2 z8&<{wZ_u5f%6=xw-rUuBPSVLAi-v#idB23lR(uO_J-IITGb zp4|+)w2yU(oY}`ipV+GoO_Ti%+=W;kIs=+$hV}*mzZ$UQSPY%f90zPK4j9DzPqv0= zXA6ojV0&=D_Ed71;tL$&IER4{Ta$xLWmN4EON>Jf17op!dvL@c=KmLme!Dq`HM`Xu z#BvZ#5~rY-q)oxFGN?9%Qt)HC)l1?-x0?-}Hw4rrFeO4O33^bhut5vaRxmzn_V zOGDYd)SvB3Jyr6##t^AQ$eLDuK!C0KRAVEtcLQ%SWVbl4flJL`wRI_Mq*QV*u$};` z(QffggU*EB6=Op^$l6`v@X$`A)?M)|lw5a-Z=po*h_Ma5NN%1uvSE8t>yCJ~A=vW7 zw++E|Ta0Z4?RJX88$r9<;#nxU?iAlP3bHod2~64UI4(QhEe$?%#buqSungTHZVz{L zdUONlqEHn~MAP6?L%J!O{6k?WY%KrH&`2x~uOnM}LqSb0RreQfHMX-3-+|#mS-39h za09lBQ=7OF;~S!XSQtsWDGm!OC0n+MUBVmLuH2?cAc}7wIfv6*Mf*rM$7>oCO!T!W z$n=fG@K;eM+M?^3Q*dp$V3AEXw%>pC< zX@N|1+$?5CyEztXuvjJ6W+BRr#NudAQY^7p*38~!vo?#$a8oylDNWskV$NdD70yBt z9ZU*0iq5ftHgL0`YA~YmWoj1BHi$oB+#D}!u<(PA!kWY3Dl-xzn|YGU5(~pPdmDZu zfqm3rgP{@5Y=d~EnVaYr7EWx6IEV2u!KCeaaY2hf8@K_W$${M);h9KZCk~BubG)R% z;kceQhdp{mVqUB#xhQcM*V5jGe@L(5P#JFZS}`ilO}NN2u?6;YFdi?P2b0HZ#48a2 zwh!UMq9zUakcKqmYs3dF+#Jqp&`9{H&`L$qpQ2++FLGXD(Xxr1t)Uc7or=nE0jtGb zE!~83oJOMyoJLZMU@{_?(-@YkO{2P+#^P0C>%MLdXEkU%g1ZiyBXaGVIKQtKIio>i z*(waDrcoKLaHZ(n&rLYPXZfG{;LgA>M7%S}*{A3k+ReSQ)zANpWsM5t({I?2%|s zX8tZtNUU!?>37Zispo@DOWE||m{6dRz4ss0h&a7G|0uo<_mt@uNa-8U*3NqSQqAcA0b>sDujz;?K6F#DX`RENk#z)VmV=!60NQ~+hXtPM0ghH*M(;}PU91d%c zFe%q2AuAVqMS79L5()1ldmB-kL}j#h3;6_hh?Dqwn3MRUGfZ#`#a-P4Z5C>ifEzD( zJ#}2bCOC(D4HBzfYm*RPi$&31Bwr%2tcShL0&NnN(Wd6``7NK5m~)VmP;`U&ZNBK- zE6`@XHVL@diX@)RWAmHCehm_S@KHi@I9y+f5zV~Feu;!(vc1hbZ4#By%;vG_P1wgt z*c{*_#&?GaE?ZoX5@?gHO~P1BB7H8K;2id9kT?z>9yCepDHV6ddXYU6iE+K{ZRTo| zsEoE+#pky@oWz#BoW#qXFu%O-Lvi>ZFS11<(P)Uh%{1*yR7QI|RTKuh37a{I z7h5=qtpkIJ^Hi~LXrRqhZ4#ABWwR;bic~j;jT$88-`6IQd0%{#>P0q6B(@B*x0#|% zqB7cu$>IpOv%Qg%7`KU&uuTmnyC#VNX@NGAv`JJhls6`d%3*E}>orI?-qj{yepgHw z=0(;^By2|5+f39ZQ5j8`C_WzMCamKm3^#BRs-eLoYJ#|WWT4FiZ4#BsWWVv^#56aD zwHhRL-qI$q_Lg`&&5Nv&NX!{!Z!=z-L}j!E ztTu@n>Pz%fhWL70V@o#NuvyJKH(G zY160-w<}!?_{~jN!D$>?$!Xjh9ZcSh<}}`n)}{f^3~}bR9L<;VBY$Y{=mooF&1|$U z=1Y0{AC*MxZKQbW>$Ean)F{4~moDQ(S}x~AT8#-NQ%8zZ$M}%xaDtss>hFlhcS6Rn zFGf7v36aZuC*=0KXp_;JoF4%#q@7USQV5GXp_UVL)SbNeuO*}HzpTwl` z=sr{<`sIt-(NA3D(Vs36yNzp2vW8bhPg_zM{VElW#upKRiVw!ylj_67@8kVRwPB*~ z1lYwb!swoS7Y7?zPxbwJ+TO=-<(Av4hP)s|x2FXj$Dfn{?_7RLZE97_2iTV@k z68%MD{fQ09(8c12i5E%kBC*LNd$K-N>@mrYtV`vXs)ZVuzOZZ6#B@2!F=G~rUnjM8 zE*q?YIs1$*=D0H)bJGHjsT9R4lP{9Uh2qL7_N3V$@#qvk5;F+1n4_6R*t%+t(TP)- z#ra~lsgT8i8d;oQmBk#|HgZPdkBG%odkr z!d|$4RdoOC%IFK{iSg56FPtsf&w#yfUomWkKRMNxN8hT_h~5VFshZKF3;1SOCElIU zni#MKlDx|2R0eN1SDZT&cEc*M$1KZCL-HU? z6tWgNx8NWh;O2GqoOAHFEN<$F$om4^>MP)P``RRnd$T-Ahh#2~#S3PDk2A%Vb6Pw9-b+Isq2S7I9#l%w`!JVBmzi81M`wx7s*9w2ruar>Pd@b!m2>^b z#~vK>-gFJjNe8ttM;;W*$9M_T#VvDNJ2&j10eKsCs@jkj@;T&}Ob*#&hB!6*BFUL9 zwwY&77AJ{A=lPNuN#crm4Qgh<-Yz~{$+pZbvd&dl9!V0P&kK~fO;gY-OXN;sn*eNHG zjO!|H%4tOg?G``f)U&mj0uO`bd!au;^8IjBDYwB9o=j}tD;6HJ(4P+_g?zzYam4m$ zvU;-Ue7v!d$@EbV0XJLjvsXb!v=c1o%T7X(EPaxK9_=i6h~*1w)U<@&#br%*wW%5*qFCx6?)JJ|(F3VF93ypw~+iUDs261}ma|KT`Ur*R7E zTu;a({uv98#K~rkRnT|!gfql`jOe`V6{#~@6pnS5^-DnH?y$e(S4Bh{M4p|_kX@iU zNbUwlcd)BGWfX*;M6l6QckO4$;Qz_itB&a@ts z6mq}SoN2@mK0-HAd7gZRN_mo}vOFaXk@EC@5YLm#CM#kwp697iG@=KrkkBon5WUAh zhW>duL;n|!D&<=^0($v!j(!zN3iOHW(Dk6mM~U|1=o7bD zk;30N`lE0}zqwRGKNyDSq%T8X4%I>Om2gxk7r_zG7cb@LW1ysvk6p^qv-)s!$37fA zy|09x(3_#J?<1jyrEv7yc~)fDNRB?eA)=38B%${VMf8om7`2g#elQKf7MM?i11 zh@;ypFIJHblM6)Fox z^!Ox({(L?|FNLE@`4EnP{%Af&FNBgpesVrXzt)YT59-Fzk0(j!zjtNmZ@Wq8GrMr~ zc?Ye?xj`KLvOl7qhP9ZZZ}LO*cbyoz3aW$T*>F@TGvNs6(^VY3Ka>>mfhvxk(TSrQ zcjD-MJ4@(IIx_S{og{Rh4jjGgs1-@?$I(aCMfAb2G;;LTK8U`g9Ygnr>L7U_992qB zI0CxYERL>#l0vSW#nJ8C@ws7LTaNy1(Zer6g&KLAr@=NFjeameZ|> z;i6g`!-w@{Gig{)H)X?W3q?MxyQBHA_Aas_b{+VzezigLcd)*2QC+W%==MK-xVpL$2H;Tm#$fnLkS!` z#|qJB!TQ3{2U{Zgo-l^q8mfcj32gCEHiILeHy^>#J)xwKdynAgjl!x#wP~c5s5Xz} zq6%v)iK<|PhNzMnNuv4#%zVM=(U^;BVM8veq*gdey>7?KylWy;=b;kQYG%l^btq%{ zH!M3r@-nvgC?CNQn3fFTOiw^bAwM;QGrit`i|V%qOfI%VP*laClBkZPqNtwM=c1Zf zpLzMDN}?)hAc^YyU=-E65J^-qAzW0Ju}F9O{aD$3CDOeH3ldN4ej}t?5ya^J4%I>O zKiF!eTmVO)yKo?9mRig4s(Grz^3K!K$FG*Cfuza!IE8oTZ`1qN#?R5`EbQshI$lBSw=LrtZ+b4@9^*Y2*8rUrOOno8`7n#ypKGI?gB@Z(i)CHwsvPu_8Y8?3i*#t zoUGD?D{8GXAJczbq%pnb#KzPMihP=acXHUyw8MKVGB|*ZDbfGJu`6Ju*2MK$S9m76uFJX7G<=Dsm zu_F5QIQGg99D6aWa~wP4J;yGr&9IZ8Hb~xst%1t+a0KiQZ8&y)C@JKjZ8$c2sYbmg z-k+eQrUMCFO)ae@HC=9_p{7B#BsFaYGoPYTt+|?Vt+<*td*b-C{T53?-*TRQEhU~c z-*BEumW<~oSo?zHpV2BRd~zgX9a~s8Y^?Banr+OW3E#!BA4jhcx44Czx{OJ3yPv@RKp9{0uWm z`F&$h`EyOU@_kL1*R`gS@@Jb$${*1bmH)f3r2JP(u6(%@Bb!8~Kj%cp!*a-p_I$>P zZZu*@>hEJ@p19Q^nL4!$22INtN$?{o0KWDI-))CS2X zvBgh08jb*-9?HQxLrEd;8p^>3$tv|^cB`S5Y;HH?x=$sN?%%>PSUHQ%5hN*H05jM9 zT*9SPsK=%Bz9yFv^*0k@N!L4^XkxHLH0U-bI-$Z4h+08yklY%MDy1TQ`*ja0L2=ew_YVC@JLY{W$%7Um5)YvQ}^oI2=n> ze`B1d%f|m}C<;en$(65+)>$&}3oUFV+@#xTgUGsp4d&LoVvfHNR!2V0bBZ|rwSO4? zP^b-(4`(ZGR?E-TuM!IoS%0Wsg50i3XpMgc+0>i2?s0iJu>k zgp~u3_#)>dnqT51!s|#RoGx+_gWfX|GN=ub%i*X}euK3UNPPF;BpyOZAusXZVk>_~ zb2p&LmfCuGGPRNS<tnBUW;jlf4BiAJ5pKGn}mK4I{e(YJ=pf*s7>p3`Zcl z#D$a1fRaK!&V^@e&T9?9)qTxGbj2A3H}j3A;6^&5;Fi5Y!G*nIzK@+G!7X{MDY(f_ zD7cL;QE*>hpy1m7pd&iM{k6{?K!4#$PCpA4PfmZx2~K}s8Ka*7wL$W>Y++T#!V&1l zIdJ;kP*TY2I&k`7Whl6-r8w4}FL100l-XE!DZ{aT`y9vG-3P{6_?NLT7t@}#APtUl z623MP3A1CIM7L**#79_LgXI6P6;}BQjzHpdZBC*HN(%Xv+MLAWry8mo2n8nnW6zMu z(kIB|%~NDD<1b_~-w&9q`dG!}&qJKaDOe|YIyN5UOv+0blQ~cuB%jOHP~|i@0+UQj z&ZHld6!HO;N=tgrt+@2YKB|)54@(W{Eq#d6yZs2Ix9ky0ujm0vFR~oR z&LtSeZoqy{wx^jyHfkRyJLf(l>jt$!at}DFly-0gvi4@2Yz3^53b|m$(`I%b$8O_2 z%-E0nn6X=TF=O@aW5!P1!Hgw_LdM>_tjZX?{l|8KGPrNeGxj`>lkmIENSue2F-U%q zt&hq=I0A_iMx4YtC@JI{j5vw?w~$0UoY}Cgxs4o7@*Hdw15)qo%Q*!C0(l;Hd%-rACw)W z?^QNZzBN18YK>z@TioZ630K&FHKR2mh1%rERq7H66UL;gIBI)}*{Ipj^>AC-Y%Qo& zW2dyYHoXujtRt6;Xj&9ZHpW+IaTH84O^fKaD8bh($Xd(2gEegw4emWJ(~@X#Us*&W zn}YkbKWS=Hn3KAfpfDU_Kp3eTcshCuQaYNg=joVtiKW96YJ=q7a8xOs;0Wn(7I->j zP*TX{0v{90OL#r;Mex8yHqUg|!#T<9Pc}T}w4|9(UG@VO%U$PuiTg!9CF!5Nz+8t^ zEP;C-ba8XRgE(Jeqi0Twn+w(DN?3>o)0AYPE(y7S^V00|I4{+{k6joP2VHPl!@E!m zR#ZNsUvpU(?3$iqU3l=_5W4UPjw)GF%Qtg?uHH7{eWh7{eG@Ty>ld`Qsll?I1nU87{v-xz^Rt zR4zUF>6dS)`Q*oenso)mtv^T`b``>m1{?$}u5+6LA5~zT8$ zi8A&)h)mm0k931;>%;+Smju_==Y2FbN$|Da2-k&%_ZO)+o9>)Y&7U4k64sChdud8{ zXllQo7IufG?e@}F-Qlv7okdNr>H(U5F_$Om@!wLSPN{gJlzUjBHbQNXd@~$X%2jZL zMCJaCiJAsQg?u`cn4%W#uDUP{>hES(Tb&9+np;z-MLl{!?{oI>%TvD(>`|wNpZ-Y) z^%4Tenq7E(#_VD(LVm*a*@Udz%`Z)9hkES?O-dF5$<92yFi9R>n1@ebC*Gz&Czj3T zomlWh>cr?-yc0)vuugP_+8}vXII5HhaD+}I!qUqw%s?nATt?)w7i`1}ICC&uz=}gv7x10QoJR3oiN>BuoW}R{jK&hE4U#W~qe?jsjzD8Rth_8? zBcP~|kAf1Tk+~je)P^&oF?<8kXt54ytXhvW>aRr_4~GGb{C!n4CXMGb(r-#Mx{Raa zh70v&b5|SE1rLe2p#xX|-u9*;ef*SIn&9`S_4unCpKmZ0F4QVbaIfhMt13LFZlQk0 z+;JRjod%lkzlLU{2_E`~G8FJ&c=TAhAx%goHe;#&2qBBaW>9g2(3QLzL*KCTf-$tk zNTF->`ePJ&`N?#6y5MKuZzTu;9@fL#1M07|eZ9(AeZFeQztTo8rwa<%O(^YJu4qEa zR#3a&ggClllu*xZ+|j!ReQ@$af1+Aq(Ag{Ppx^6e;^nl1#{ul(ab+=7ZZH~(TyZYEIT++6-( z+|uo~F8dFy$Rge_ya;L86-l)2{(-b)%aGQoZAfd+NHwj)YFeHnXkdoWh@>r}!!qEa ze>#FL$q>Tr1}*&$+D};aVPL;qqHi+wQs;^AJigNs+G?WEkQ}D8 ze6o;NJpxc(Z$gyo!_+9nYLpg3so4a<-~HPn?JkMu|I?-E=XsaNjzu(Qf-uBAQfk7* z1B{Acqk&oAH7pB-=aAF~5lMAfTt#Z(22RRq#1J*9n`%GS`~ie~tQe2Ir?h!&I)yy0&e!G9cfK}{? zy5Qe%<}n_z^5vS{{S2XHk(h#@UsC0NpR zGX*D7tq(mjQwSnYRM^aE4mO*YqSNfoVX4{HS%Q=7M~Z?5)F&2n;%vc$wonO*YNpEH z4lkK4r0A=b8|sxU(bKDRFegtB(I#^Qd%APB(3*VtgZ`W?jFokT7-@6htcb{RF9Bh(|22U)Yhr28^#u^k@4YoUg_NB3ljTIALRULJ;5h5QYP-a);4 za=obh0vIj)=wgm_!rLLD{Z5HJ%6MWl7Y3sbFn* z8=ix(O`0B|gK+IBOP%WobPtTKI_Lo#JG;sc@tF5>qwU`f{5Qc(7fAFj_ybUmO)~EEP`(4b>Q73 zwjHS7Vj;ynw1ZZ6e2`oAOWIzH6WP1XgxD3DpGf*4nmjU?GHmHpRcwVG&ef>%s!L6yoAE1q1 zZD{HrpaGjUG>@Hcw5FffIj1#kv>eW@TGPqPK^vv<^snWR#G0*9;`LSl-jsOM#+i89 zcLk6Ojz?{bi^t(!9t*==+KOv~_{P)k%xQTm)Wlz{aJYM{gh+|4P!mg9(Ran5fz7P4 zS}PPr%T}~xCA7?M$-6h%l|3|4Pap6CXkVN~;HOaFoy0X84E11J;l$oS=QByVruVNW zo4au+TWgqY<5Y8{d8u&Vcs7S;!$U2Nx!7zvjXVQnKDMAYR|yHSwJj91OuNaX)Pq&uQ`e@y*ZA;g|*P~Lo-xhKyx+lMl+b`1g01;ASew}(!g$~y z?uemgC%~{?GC(~Vro_;e>p`cDW9WQ#{--Iu&d$4<(%Ku~`nV8H1K=0AXu)z=H=~-; ztPLOS_jN zwNm%1SuZGpI&Owo&m&kzBS`B=I&m}1dfy}HWp>^Q_ASuo8xgeQ7U*8n2vlTN1XG+R zsS&{yY0xYJdsa>#pM{?7X@bK1w+Ri{3T|VXa7`NQYl3cYzwjJvSc00MG)G9)w^5q^ z^v5Ytz73dd3P*ju38!J(Ak>g>)aRCPOxogYkOI$e)Mx*2OxhjR@_HDG)FzyoZU^qO z!%&}>!|1z1knR!Np=Ep+>T?cM-i6A2+o9!`#$2DWCSh=+^@U)*WCz?|J=qu48M;HT z71F8MD#60Nq>)yJeC)MirTCT z0hr#nHkXCs1X~h{sq3{163`|TmANPs^|qB&l2BA;9C)()eP)&W8=x}3H^BUHWe$b{ zD0A`+cyUN$s@>F&PqlfJPqiIH9l6$NpyB=NsdjD%cIXRx{$DlK=JTmG0?>Xj)o!Ry z-S)s#+p)faM*724J9iIEd)4aGGkYL!zV+$%Js^(dAt>y>Lh$15u@}UV9D?)h$`Cqt zFE|;6psd@4V6ypqTO5q@t#Jso+Xn)j8;lG5gEl6|?J4`AdA%T%^eCugYQ4lN9|xkY>jcq&^H6Dh0Gh84 zL|s1#q_GEpdfPyhG==BGS6;&n@iv8^X}cuU^rHh1=W+lawYm)hw8r6AqIQ5s#BAa& zr~WqtJM$UYI@(SSKy7!H43*jr450A)4Nb{wfBJx(H~CYSgK+NSPX`=?WKHnX(DnyE zdg~yJk-b0am1|q&hZF7jq zhoR3&zNqQdzASfEbk$+lkDB;$O&fId#a=F@S8l`QbFv=Jx4!kL-4U2?w`b`HcuGC3 z#Qkc%eda@lLR+%5F3z_Vb?L<;FwgF&OYM%rxkp_(^r#SQFsm*?m(aFsKKTFyW zg~!!-&+4B^t-7& z3by@mAll6v1)J-QGF){W8ajBRV7o)*UC7$g<3dex*9!$}?Ts?5Qvl6pdZA!1dC{H) zFbIvjP^(kCsQ+mgz2gNC?x!beHOz~?VNP2-QLE+f23yoSwN}&HqE=@XLfks=x)J*v zvk)G|SI#iM-W`nbp!W)40)CjOBhrI)xJWJXBtxZ0Rq#CiaWD9!+u$I4(jB&5Fg7>s z2*1#%IY~FKL#Lkr;RfN!{K2sqw@PC5w!{>=k*$p!q>9R=*|ff*fk zi0Q!{75os4?84>>j(0}|FNB!v!sb)6o;%98xjTJ&3X-+g4Hf*=jb+rI40OW@d50UF zz{+;;t`nP!XSr%*DtpfC{Bm|c^1gFaY3CgazX8Ko!4~1Svb)JwfpugB>^bjLXuA)zJ8`|CLfv&RYL{jU>CF>F+vP}%#O zXgVtgIiaXWIH6uIpNB?|98uKnPE>vYSc{G*>N}3K?FDGm*^%p6w%8Ho+WT;aW6K3- zC3EDeCM_K4;|sv!axBwxD4F7b)9y=qjRE?#l#A^!<;6JdHW;U)=$h~ditTd^Btxa5 zpW4&s7eS?~?5WQsICrzBY3yuiPxoB{F1~ges$FkK-T#C^DY8Q?ru_-*!=b=F$Y+8l z(;`>xJ6o<=g9Z|>rF8Wzn61{^qHbTpnO)>uw_R;fx2tTa>M|rvX^XmTZ_AQqNnc$C zltTE8T=+0As{v2AdFJ?bBHacj-jdpMa1l(ry?)bCYaqP4p)m{mYT~8V^f0s~EoT?`6LhM4SZQkxzwhE6xE%|r&Pg*7ViwKeLCD>2m?mAKiOPQ3z7wX9KuJ*+WP zT!i;(p$KbRQ?sj}23iYe*&DTR@Rs5%+bt4hxcVxvGOC3#YzMxlVM2X#6(-bTE7YQK zEoyfS0?oBTEna{#%UJp~Xc=n7wJ4ipC1tFT)xNSsMFv{YFRW>vB`Wd_yzY_m02JBw zI(T)r#CdiN)S5LQ<}@vURHv)houxWWaI(G5{O(xbWE*3lmF8b<47|+o8f|g|Ce55Q z9et)+pgwy`hDv>gThQzqpvN!f^eQ{=F{ia|!g-iE?S2#VIjg3IK7ZDvmu|vPd785| z#fnbIgEe~zyobm1dA}xRaQGppY*`a$)ts7i*)15apJph`n3|YDF3jC#T$r*i@V+M3 zTrB%=)!z)|xy_7Dx(!Wj%ut!h;Keh@m3i3|mD$jgn%xm>+vg9_QKkxBclke*S#FHF zU_bAqv8SEQ=h3w$C|5&MT5t!RMHHFPZ+GBx+B_2)c2|g2viqJDmfIjinaM!v&+c8$ zFu}>M7n>$O7^93ELgif$!U1Dc@F!!ujQL#J$C%65V6((zDP6D{KAqJuMj`hz#><$` zrcacpW@pKFHmVsm+59e6$NK=J@FTi`KkYYa)YQ$A6n*LSA;7{nD%jmm z*#U)uj(!N%uwc68Av`h(q9Akf+Gf+@j3H*@>f5eplo8|gd8kU(uZGMRmBnyW>-jqORx9X#S-|1u0 z_ypTap9@&F9$uE^+T{BJbA2vjgRbxf5nF-N@OHx48YpBXICF^x{smbXUxN!-RtPWH z4*m;({A!?*)1ji6-OuMreo~$1NY)14fcWv3U}N#RH+G)=EHb|nmJ1f2Cqm=qy{l`a z5x&&xhM(%T@SVg|-DbheJ6qndFFY>+uA7+3v*n%oQlU%`X~a{(+IlQ|zam?{u#c9D z*auz6GjQ2ojk^>acHai^PJ3cnkCb3(r>S!?GiT{_Xg@cT&VS1XsdF@wzIKq(OYQy&}B(ExD0cm z#pTfSnj=kp2TeVkY5qH?-|s+YzlWx_4%jpUn?`(qro$X*+6VCYX-6YJLfbxe^xj8k zyWgIAeiE*dn;+=+PeOeMLtAzK*wm(es=hn{9^_gsOQ4DWz+JVB1Um8`A@~dXS;s%N9_7d+O)?uy`?s_b=%~^Jb#Dy z`@qEU_hS7Y?+||+)U$3>;+MfKF@_(;Vy%T|cYq&W55$hX!Q{Lepxe>c*wHovSx4b< zE3_HO+gRRVFE&^9W;Bb|2BU3%jnQIsqkVgY(dvVtY#;m-KD4Qeq*q#8S#*80_-R{M^r!uKPGnN_L;WDS z0yDmnn`-ZSsTs~>`Y9ad-_SZk$GQluZP40L$J!UI9%yyfu?C~{XFo2WPcO7_tD*HK zT2Jd(ucLr=pmnj1bsbt~qP3rnbu?OgqBTUv8iUsQXqD?&EzoL=)*EHooS(up0!e<} zm-lGBj&(0uk8vwWFT+t>!i$#8w4AxJN1~;+wuNgEL%c3UjeLYftn5lPj;+s4wO7Fp z>{kicWV(c1+J_JSaCoZ2uBrlFw2aVl=Ge>8Vy0m5{HiMH%?U;PEzNDP)|R!x94|xDyXVMtBrjSPKG%xD)ZPXyi?uC` zt2c%i^cf2gI23z(ao9heV4G+#X{Ds>FKiPJJD9Sy zDDybp#?tYL7B|Mg4WoVdizd_{bkYrH7a|ANaabG)k>rWQlk@KD0=|^-w4p#&=u(o zl^RXZZFKk{BDGQojjUzqmj7Sq7;HYl{8xw0~A}-$NNamAt4J+>BsCQw} z?$qR?9wSA&b|0e=-A2w*wCi1TU_RW#X#2rX=7-VNbV6=kQnW6*jmF$VZr-|v7E&|| z7Hx(Z=cXq`n|u$s?dYsS;m^AmZHlg8)m`K^5)5VcOnJ1P9g*9OyBMvSZX;JI+RaWn zFrV&Vv|=!n1z@xz9Wa`Y6s?7AHsqoDnb|vR^)S*xW z&w|;NJWbcI`ZjW#3x=`>xS!5wkKAtE#%R@b8@Wr-ZgA>+v`xcaucIX*EOuYiQG1Tp$z_$95!by zgSUr_h&6n1lBZ2yw@n=>*xhzIDF5ETVAWW#5DfMu5j*N9MeC{CXyOf|=C5l=kfQYl zLzxOU+MT3mGvIqtY)JRE)uC|hI!2qRYgm6BxfOt+>@jY%_a<;|miMmzXBEgn6WMtk zfgUF60R6azKzX``qH75B9t>p)1bWj3lOUI(1?x6yFGZ`aYjBsMC9-HKxaE$=XqHLW z{zKbS0`j|tw0lY)cq^x|iC3j;>lzZSBJF8lC{w|2sDTh>w#NL-xcVQU-e@9SuOiUw zHahv)cm;vF=^Dmf;Xum0U?|%M??3@i0d>|V))qUjXyLG3+H$mHYFpTTAcI>-=PO8H zW@{Y+>){0$c5!#nHH<07o*n{2*&h6ibh8!qbbIlC80?lzQ?*Tu!aOvQj>X7fdb|#Y zwMEFGldd7X2$N6%hO%Ao7BEDE4_Ai7+Ink|CIyq}`e;3c*6}*l+c2^`O}uR@9qT5v zj>fjOI@S!d#-sJ+Wo_Izw7Q}7u#T1e4<&$GjazH0bgZSgTfX0dXSH^cj+y!Z1YWFD_$X3s#O-*qK(D;+bt>B&Y! zGTZ8yGthiL7CZLxqBgZSY0EB|59^q%(L7f&t8~nzxOwj@nUi$P`DhN4%sx8i*=V*v zv*ou7n$$*jhfaNIj+bYVw#gq%*U?1QUZATSiI4f^<~rBl>+^UG=IR=bpXb-0dCgdC z0h23k@E4q?4V{Q>l!ery($METyVWSY6b_HKhw_`!X8!LN-Fe=_(SO?D>m z+~FMjQUsih&(R~!B!a9sOMf_%h6dH&8t~h_@O&kJSl1eH2JepY_t;glLm}4{me#9RSbumrVm}nK@xC={_YB-Do)dju0Z10 zX=>yKXZW^hYj!p|P3O4*sq|M!YBrK8Ir$4xO-~`IU8j&#TC@(S@u##%mB5GFgOMdw zr0$)d!`(@5^7$mq^9Jz!C+RzHVn_Wwhy|H`k~a4s5&Gr;oj=8y(5Y_)TRN#8u{N0S zLW|trg})%z@&uC0KS6Ekkopd<%CJoiTo+Y0oqvPd*ec7>4(z70g|XVkekr(}1I?*J z+BNd8_|T z^5;<+?+ux^IgXjXa|~H*JVsr8fJOadjD;(mQjfro5j;aGhDU!vW$00)a_=Zo@eb3W zvYxm4-&EvBXtTON#rY^wDLH~7s9q05u;U1|s|S3-kI)|VK$aVx;3%e{EX@x8g3;*1 z$mp-b$S9?e4x??n)&FK>1m98d1xB8Sk=o zjFa`jUr-r;5UG?ML@G-|b*Sv&t^PL^^L*OCAE@{pL@ICck;)f;pmHRi8V3NCR{1m` z0I2MJMCSwm6~_a=pfc?MQYk-xREp~BP&vd~{ckEZ`>B5*P^o_aseIaxRNe&wm6Q8v zjUb@XZa<9+f^j9DN@?yC=Tjv-@j59dEaNbVD%A1j+Z2Te8+n@ZOZo1m&iDCZNV4 z#E8ISe3$}4Xxk7HUUSDi1HE{7DA$Fx%9h8P8q&gcH7x0*F#YQCcNLab_tJt8xQ=h{ zp+%u2j4azj{p*tenL=quqZ&era(2^k_2KI)9((Az`oxRa?xsccp~3mxRA@j#Lu7ZW zXz?$&o~R4oVQZEdz7NiNqr=z}K}K1n2a^kX_M%cQuS z+1+T1CNPPXU1v_#bY2rO*reZe13k!y8|)nwa?d)nMg(yodUdD|ysb_~Y@_2MAR$|? z=`ggZL)V3q$z=UjjkxEoYQ&AXsu8z_JH~zFhH(?OVB9%ZbmIQ&Mo&i&cXAnCyK4-5 zO(S81=WnKsBZ+PO4W2qmOxesXhssOsS8p7g*+}O`l2+v1CVD55G$q?MQHLlv57*pzJJY(Je+0Pzp>8BXz=j?Sfx*2IIYq}1;s{9AMinP2L zY@vRxrI(NNd}TdXXy6!WG>mVg61X=7t>_eRlU|T85Ne+W_S=Cy?@{HbA-V38WnTI}77Oe*8}V zOn}bTE|jRLmNIImNW%g;WgrP8M?cbrZDD$u{gGZ7NVbrYB^+M?->|~I{z0i1TJ~R5%x+`BVQEAczNhkW+#RIW6r0 zoZ9X|PWC-vWDe}c9Q~Pvr1W5k;u@Yk2f~DEl3KS*gOo`YlDgcB_?ns;a8h@k@kE(+ zq{Usx5HfH!vRa-DtX#7oTrRST$m6VpT{O2HaiH~6fE27!{K7h%0;Fp1L{fe;c?5-% zn$(M#w4^r-d-WYG0$fQMGw@0p-UqIv#@qjUB^6ZzYFby);>UO;=}pJr{_5a|r&E)D z5d79wOj?UfI<+4txz$#r=Gq^q?b?FW&Q8V7g%5zvO`8Utb7GomM^WIQMHowI}8Xqjt7G0hGJJw4kNXR^)334xosYc zZb`$5L9M>yn6TtyZ^FQ4D;NIDPL`iP((%JtPBWOND|}-dX^tIB+olm$@;!t8mPS%Z zQZ9Xx2HT61V`z;Lq?P0D&rBYR-1Iqb`|+?9iOUY3z)vCTKhqH-An3W@5I$@qe9Frl zLt{rm#Oh<{kdfrN;rA6R0(_@gkG@QU8LMwP?LUgNa&G?#BeUBxajNCgw0%TE3W@*3 zVn{wU7GED}~GJ`8lU zA#4&JkERXNNn7}D80g$|66o8!*g$&43e4<3-hlsVXijK7AOiOjKJY!YtJ>xHDEca$ zz|VoHD^8B0CchEu$q$O8Ai1Nef|R@PAf_LAkV9&hi5e~$xOewQ7pivYrs2}1E{~EX z2<)!eXthfN4VQD)=#zs!ZPh*&qv$bUr|?x*ycE ztp72R`i+6E9t8t`mu!kg+dM1m>L=dSk!qJ78ZK!b+{GVV#;9EyX}IK?bC*PPsjGIW zrQs544WE->WYW+lK<)E!1bqnX6wm*Z*j*Vxg$$^8sjk>Df+j$P`8lXy4@@gRZ;eNT z)d~$m)IleZVufXE$u?Ze7Rhank}XQhmdtJM(m2>;b1mBxZabM)mGh+m*qeWN-kx33 zp|(`RwY&j$%|X|{-s-px)o{&6*Svpd+E^kVbU@cPTEn-z2@hM0VF$u3CavVu(s1>R z;I8H98m{a5YB+s3mRJvJBEf+-3Z-y(RfoU$@)-V~d56t)U6*LM`ulO$M072EgUl+U zrVgjQ#u4i#M|BO68ZmM)Mh?bUsOxGuobDS({JT6ER>e?15EFt;gpOE4XNr~MnfJW5n~9tu6s3HlS8>{8oJ&*r_;NvAv9_t%oba9 z4Lyg@Ars*#m5@eZu*t?>5AIrh=xnPKj-0(F4bQm zk4lwirP8QLz~kNv9YfDlIs^=gF6y97Q|WxD=-NYF;iQ3b+moZ@Axa~)%a6fTT=TuS zOEJ3C0vD}`;KJY~lVSFMbw=vuPK~Cy7~S+6@8)^6%UlhYj7aPzx@=Ip4AOAPLzhH! z$yB>E*Kl#7bTUyYlGQfX!E`6Esz0<$Cp+bXsyfys3j2<6YpUDc7({=t9=$!S)6+E? zZObt!<=FO=uIm^L*HWZ!`kg1?cW~vaWOoghG~8AAqsxX;2CQ^*svK;uL3H&L80_)f zqG9+skX{0V;tPD#Z3^at-=L&+5$`C?ED4cATR>W{9*x~^rgg9AA8Cnu3u zWsGA3sL?dwk*{kI2UK+~u_fn`gTb?PT?cBo&PLZfbnUI{8a{w#Gq?u2hMF2NO8aoQ za*Sc5>-u;9DrQ~!VupV53_U)9y{o(}a-=^s&IGvqx`qWBG4%TJ7>O7|rR&;X!!-?E z)6g|p*R^qfI-kLX=o(BlVkF}CUh^=9p|0z*epS6IK-d3A*jEQcxqN@i%(JiyA|Naw zAR#J^c)fA9C7oqcA` zoH_G3GiT1!^IVan4-@m$?qCnHjzojpn>S&u5f5uSiBP7B&V&)&1F|BT z_Ml0Km{2P#!q13Fh-4BWnRsWBSQwFHNRoMy0FmsP{`AH(>dERqgJBv&Mfza$CGBB^9VqLn>2Op^F! zk=PrNIMQh$m48;+hi>#xNM)H7aYbAlGE8Hp7gwd)CHcKNW&mP`5lMn1xgtqUXOYY_ zBKaaoG9=0RERtSEB>s+uAwbSRCS}po?nZN>pxO#q5gy%WHzJxkXGQ$#nj>!Rsg7e7 z$z3CoGr49uACDSp6yH_ObgpJaEbgk#bV7D!MGRJ%G{Wp|Qld4cb$E}RWue2^ADC^4 zBYl`4;&pa~QKK>mAybkw9sYvj6rx|p}t z#y23d@AEV~q7$!p3^}5s@F85EUriD_tHlvBX$W_r)alHH1x?2r-B?F{G2DD%=|-OH z@HCn{13LqSXW$KRYzd2+PHko~R~FrwM$BY2T%)3XE6MhdT9Wmlyd}Los3V)kiVIms zqa^Dn@{49;J<3kg<(tyoE5rmpjZCJD_3DssXc@HCLI=gHxwcmbHLa|3D;R}y+OLC< z+$P^pd(5UEAD|!6@N;&7%`B`^%?pj;almcLOu#Brg-KL8k@ce?^Vl)AbrO}EkCmNU6UbyGt4llP zvtYl_2|~k?nGg(_@BX99H_XF0QoLF6tcaz;C$Nh9aXd9V!AjG}1y}%TI5BSF0%mW* z7mm}>{2DxzzAa>dzUJd3-wX(8;7hx+_+~{4zEgKo$3@JK?;fke(j>Q|wToB)GaFC0 z7P0vO*T+aUsX1)=?8;)36{)`XQp8%E#%kBXH4AJhb}_SMW5&>l#Vmv+k0r|`>@@E^ zT1TVX@nGCTXHQ($Y!gUdvhu!}sqfMZtq41PDJTZ-jQli<9Aj7u*1Z`Gh+(DKp)gt% zgT2vLVU!vJ1Q%{4TU_+xgTu@zVlA_C5^BxVZ)jpJU$}4uY~EY7)p&2EsHLm|%O6HZ zmm>f9CX~4p`G;;1`HN7?znQy#bL0|Wr>ae3dYEW=Sf-OKqeAnr)NP>+e}iRuDBVZs z>oZ&el-01HzoT2T0LqFK0Bzq&^NyiOTp1=>TYHOZn+y$Y3C=`{hK3i(s}1e&MT$s3 zvm85|XcO})9*V_;JPoc2qH;GlkBhRwbr~9m2IpzQstpm1Y=!U2!N0XHUz9w|K5zSS z+CS)F2$^w(PEYCETHE>x{8oz~EbwcyZo0Nq>5I{C5z zzo9yJ?VnH`w^l`!Z~04yw=&z(mUV2H`M28U`FdLUY38gV@AW|PDkg91Q6=vIar@V^ zh9=B*0u4CIma{UoY0XC582WcP#p89?7Auz`St=O5rzKra* z0-PDW@%}N`;*C8iW-FWK=h{-3Z_wq=!4%e71e41o$}K$Rz+Cv4D#2MjO`#%3ia#^KbeMUX&%eajz-8i2m8l z#xtr&=k~Bt6ut+W3Hj8AGAwZ({oI2l8diZu|HImAKkw7Q3e+i2_y55L5yp#SFv1yovH37_4%OZVgb%f+Df`e+Y&z1#eeAvO zxOTrGY(6(jOk;$<%%NBN(RsYuQK2|=?&7ly4e3=`niz+Q7vDqM;()649?_6|=tCS# z_my^3ARaw@Eex}4O~Rt8L&{u4$=0MsLAAqni`L{!v)h{3vVCpDxZ)JIHlFEB*!vM#06Bgf7Hjh)jvU!Y&q$0;yP2XEhe`^-~;Q`6P(70K&i;~84d{b#mCrvTnUAu(r zxRbWXj@x&O?6@VG$&UMDa~9OuqxVZhlp;Ab} zzyQnb$q@24i+Xypw5T5%ON&~+2`xSWTuU~V46+&!l$$sytCm@j0@s})R`%O#jg0%P zPpF~a4r(a-Z5(VXa4pmcuM&b?b1$OeTJQ!&Z+N;6kW}?_&wA4B)67j9?jg2B3VAL$ z!-n%yAv(G=9UXlB8Dy>HL8Z^Ka%@z6>Twnac$(Cwxo6RETewr~Sr$^XZapDS-;k$I_FrZu8;5`a1Lh-EkDORT>fzrjvidikbFbM7JX?X zzc#}kzcD)M9I4=ar}gO5IT*ULg{jhc*1YJhIyz)9&-EV$l`` z(jbF)X``}8)fge({ZV9j0sE83YSV-Z5U(^yAfEpyx_Nm## zSWy9(pl{?A5gf0@-(d9>)-Ph~kpD%H=ehorC8$?dmSrxWx5X~>ky|wN1LfA!min!3OrL6vTR zY97ub3aZL>f@-K8ZM?z4SP47&asyRMsZ7bw;4kzYsE%a42C9xXExn16tkytExCOuK z+)Y;4BCWrn&yKLA4>y^=_lAPP5nJRX4s&BOE+zuOq~qS$cf=GR2_C`AzPKV5pR(i; zE9-WwlBgtJ8zCy0Jq@c5Don%b4iJTUGS2~`yqdgnB^}jB)HsrB3XUSwolmn;aJ;zW zd|?~x=y(b&cUmQC`Y-m6HMg0a9c5aU1Wj{U*FWPN8+a#{yZ=`Ly&NO1GF#hwiZw zHSdbD8X8wclywrZgG(64!b)rwr0#hl7tW*N_pt$dI6&ea+FOO7VQ(Yci}$7z_c7>I z3?Q=yIA|7&wVNoDQa%>DnQwdLJa zF_w0hS{v3~YHe!i9Icfj)5okb`{5@m83q0jd`dSVxF_q}jix+?urB^WiNU;*AGGn( z)G@B`6Q(uE9}90oozqn^HK&u$S-GNH6=P|8BVCm?UP>E}-uH42ryr@Tg4>vqe-c^K zMHPT`ks?0`mm+`i{Y~V*e5J^lCJPIWTlB^ksj?9Pvqt4;A;#mrP`_X#O?V{!DErvF4_Oj z6jl5GYMt8u|7|OXa3XALnTX3}l(1YUs-zhl;g9Ge+bzVHheVnQqq;G+NZ4xpo- zF(F>^g{r;>!`02zs<5t~@q!v0{Ty3ZmfsuX>e@!>=5*~nj%X^)>Dzl8fSLY@x_)3C zScy-R@Bxz4brp#9DKw>tp}=3_ECvb`r&CbiU4I($9~;UZeWZ8)fnM(-CEpY#B;ODw zVVV-A!nFJ&3v})6EJaX{3}+kUZ{Q!T|3bkUt}l?4BpSfYCUnV%JH+{ZVx}f+(|ak_ zH7Dx#nN=zj)W{&#q4!cOQ89?Mx|E7Tfuo3idBk6s{z$LhWb+E&c&q#chlAHU(%)a8 zpwCY9{0qCoiZoJz;y>T&sEZjd&zu}&MUM3of*rv=o6z^NeyB)o8mMqn>wDtLMglB> zI#e!Brz79MFyRfEf5%iIRWI|Of|-rhC-s*3*Y;NPr+#M|$AkyD7<5Q80K51Rw`E1waHHMN>_QkHt;$tdHq3_BCo0RPskFQMq_^>Ki&+dHmB{F2uAC-_LQ?j*8B95yv%z2U%2dk zL2EK0%e|NMCKLI8Sj+rZVVR@#SGvjkvE7irfpzW=Q;dAR_zrK#*1e$OCcGItVI_0c zS98`gZ|qorJ}c$@}lHlumqL0Qp9^|qq}2hbn$AV`%?$n|A3b3!12*TdZ|MdP0ZB% zX2mhOMeB=F&^PA6c!w;p?40uSpK^}H=pC+E}A5o=zUrQZ^%foA`tK#+qDw9A1zvj0-3f(^(0n-rR7 zhv&^HG}#8ci=|MIBc8jb&?%9QZ-Kh&xuebznT0WNq+mTS##ey(0B3A;bSlV;vGuno zsvw99-lFYAkh#q*x>^v-Zr;R4osrp2+@{FR%$DLq&Grztt1ZNBc#|gBBG3GrwA7Y+ zyI9=>EzY8vG17ca5}ocK9gz_e>r-JW|F>6@D(UQpotC91GlqyUI~DvGSs4*^6Vdw7-7 zi=znKuYcqO-4}9zJF)I6mGMU8qpMWk0p)hSnycF3u=s{*ueeIH9Z>D?t8~r*5?@M| z)wa7z_Kv9bf@E3kE6D;LJq>gO?$wi}Vk48KNMERFVbogpO+xVA5f9eM5|_narNVkT zac7r$S8~Y_|24b*v#&`0dz>J?#TDx80`cqPCEZRC|McZt*}P$n4B~69P|-pV|IB5X zeMBLMSK+d(!`RCbk`sl%^V=m^k-*FJz7Q(9@siYE+9j&)4E+uIEQO!x%!}zpUdmOm zpP%TFGY@pxiPdzY_GKjg$BR_n1#k_yC?(&0kw)R!rF8BbMw0(^k&d`Pa{r6;%?0wT zydZ^sdV%V?f>YNEQr^`UXr?RD3tUj;w&@u`mj{drpe%be?S7ATpiHmBUEn8|SE{8$^ydM+@8_lIb}q za-(x1r=C8z0q1jPrQF(c9i|}UtZ`*7z9SnCz&znh zE(wfaK6!?EctGY_XQa%N&d?S-yJViumBUEpYG)|j12X43LnS;R&VbWW=DnwBxFWNerEXRPIPW?|qlL?FGr5aSq6+Ng0`}wkIiBP~1w&MPX#J^e0JQ6m?5U zqGm-QPu(P0w^>PY82G0s^kJSPD;SbQj|CAf6APovew-k`V$jE=G+DFJ#dtY38aIxM zf#}6?X}A1N(2rt}VLP@gjHEQOTd$4_DfQH{IJYg*J2#n;@!BX-0Ox86r|)YC$(7=O z+3}05UGJW^qJ#6p&n}=Yo zGYu($F}Aa_oKQI(qvR5h-daApiIWAt&q>=jsB_ZfLL!qoCsnA8FW0lVg=nBJ@9AQz zX3HKCHsc0>VaTe4yT`uVr?TfWDTz8`)2e$vaZaLM`|vyCRGFK)OS&tcuT0GtR#u(k zWKHarIH9@$G_53r?CPWnt#vsfrsQ>x(DjnM8+&<}DwX2>b@W7*fOn-PC|JTv)9C0z zDgKw1BjJ-~xdR3J@m=io6Z+uCTRUyFLrrJzRc~De$GZc;kQwhY^_vb*MrrH{k0^~+ zg=@eYOY<^Lt_IqXkjjX*kV;#d?L8pLX4^`ZdB|qQ(?ow>n|Y~ZWgQFtk?LCMHxGk+J$LqL;l|vhf^b)v>#98gm>s_rH?|V@HeoS0X=7zLviW0W z>%3DL_ToV-g;wR2*ykHmuL=_T?U0FMsvxnNA+gmBdLa_eZI_8IRgt)ShniEj@4D_NE*3so4Iaxrc~ejjaDV7c?Sp{(5pNn7 z1k=9Gn<$98)rj&|l`B`%BE7N~ZSx0c2Fj%F(7T1aKP}9=q|imz&u?Mb*qc5E@ycvR zvd~0v_M*5@W&;*;S?Up+ygu|In5VL#mxMkVlS3_#zrMI66y0MO6XFkHI*O>p-IS(y zq#uD8s|sAEE46qq_r0j4Mr*N3yrdGpM&5O}wZ-0FTDkaId;`_0!{@MoeAyjOiThNC z+nX@|b5x)ns9D{#$b_9)K%T95T^6xW*f={H--?^@ z5pT8l)@^ZCX`wKx@UZ2x`}+ldvYy_!-H-j9cW-2-Z40PIYp6E%0L^R7N3*gBg^can zKucTVWu*hsg@|R+g^2FVDqTu<;eeFxWt?#H+h!m+W5jUTyHZs7Q2_`b>Ly_$3A-8frqni|A?kglcGBE zRDN@hRN>Z6d?VlfuXbUwYjM_Trl^eS=321Nw4HTGfpg;7uOOB21!W5kI@32)iA! zh?woNh>zV-gw=Lg#F|u5#Hv(T#JE&hMA;p(h$h>Ni?Hg2A|5_ai!fU=8C^6y*r`&OhpBZbcI|$ga`bu-hAUN1Jb0VbR^x7_r+Me23Qb zgM}(Njvn-b+j)GPFynhwqiEGS!N_}gF_wl6grhos9IYD2>$6Sk>D54tY8BVxs{}2S zI2igGMz}t&{4&b_Co%U<jA1=;35lPw-4Me-djMQX7|iu81~u}D={OOfWSks{HmY?1mihkvN` zU|vI8d87bv>}QzVc8`SB$C-e7eM z&!MnDYlf1~FkagF=`txtm}=uLy`kR2co&vAL}U$OWtNfUaNZ^VrN7azYI}9J&@4)) zxg#+~4oj!4Bca_dgX#H5@Tmd{p?=8+Z#B0W!He)8X12y}-NOKHpq3>L2j zN$xI7CHDg{S==YQmfXW%OYTo1RPLpVfg*={%xi7Fp%x)H5)h8p;%2_anNU%%u)F1= zHN#)4WnxKd6nE9uj}YiDjG$?wcrDf{f-a2Wv$fL(ir51Ksmo~IlJy-(@uPVkSLY?N z6r+J<^Enmi4d=9Ev)J6WfML`T!%3uwt8L*)cjqcLv|tP`WYGrHs{80Xfa1pRDsBxH z3yzUq6AgZ#RP?>GD%0C%@yT}X$@iT#X4Gda4`I?W}J=GY`b*8HkH?$c&%GB6Wm+_ciw_HRs#$&7-yg+Td!Bk)Z&$O_> zO1JQ8KVvu^)I#y4iBmA6>N=5k(!)qzUYmrZO#QF!NVX-9|hMVp&NCL;%!|f&6Jf0QKjPckUwm7DCB}$J% zC0-rX()pnlE;#e1F(T7kTTQhkJ_OTO{isn68dPi9jVc9=dNo}U)lw^1Cw~|hFAk8dZ%eE zb)Yu0c{kTdQ>1d*i#&h%-N?MGu`Q}*CF5x$wJB?NiVMG

Jr0i>XsT(;^}P_Y>cL^hYxc(2FpF|M$*$5zR_;a2$@x$@DW+d zH4#}|=-^VAlMW-qM9x)a%>Oqsx{e@^zj+tCgTrOUGpe~08LNiUlD~N!)@3-3pJF;w zbT~OJ1I*_eQ|2DDFYCSKi z8)-<3pq(+?ec=i>TUNC{u?>8p?qENeEu5NG!92K3En2eyn@XemQOG7t6Mn2K4f+0N!MF+=Egf8Um`j8oTf7p&-$?;Pk!Lgt3 zj6N#f_dtaq?J!zer76`$Aj~(^A9_eZygIB}c0WuHHt{yv1;L`V-3zAbo8b>e1=I4) zd>+5DTy2YNauBuI!mHQ}T5cE#%i%;%rha%3t>1!PRUwGdw(#+6Kn?1@m3#1k%T#Y& z>rq2cwXZ?Pw(@%X*WWUBb9E8>xH<)H!@Oi^b(*n_59A7_OCD*gxZD0}LXC^O=0QfR zJSDgzm~=eGN1fH2TuQ;)`F7VP-6dE?R^=(230Rd!F-BH}CB#q(c#{nK=kO~3vh31L zE{3#;)_fy+u>)=OP8E47Q|kE~6)8uXyH53}RKU9+RkJ9z#Z(Zq-b?nPea|gVQ~tpW|9$zKxR>R~ zXD^m;lFL#3y>Mm&$`kG7^~<`<*XDbTYdAPTBy|zvqUvIzuc`|Tl5^c{jxR?A_raA< zZBL!{Vdi0qFC6dVrChAa360{5?1D@5^n{8CW?+PaV-O&#ma&hQW-rT<$9~KxCItLn z@`?LliNBPkQAdDC>DiRIAN_q{S*jSv%UfS*E3uXR`~7wr8wY({+)gXvUOi-~+sY^1u&kImw5x!PMv=*Ycq_dpGI;Z*Bd!v@vye zKS7Nzy6)ko^y(lyE1&&T>JS7y-I7Ke;#1j$QuON(s(HF3`5s2uw_1p@)A!<&zubkL z-%HVlLEWbX-8js{?V3S){{pf52OaiutLzOgvf4BlaKKLKCP*ij(vu|bom(X z=CZ`+|I*$C?`-Ya(YOTez=nF$k_0qRa~~;9y)a{G`mB@EbU>I_n)>U6G;LTDI9Q?{ zvzi#^3|%X81|!Uy(+{tC6ZNn=j(RLAPF5$lo0SGFGwWR?bBpOH`GZolP20nKh8}mt8E&0SD%*$u}YQd)sdt6kC zB`!T@iFM!0z|JHWyCMd5_J3f{)93k_0H1)uQZtHz&tu#; zP=|iqu~`Lg+ine+ zC-YK8=R5vSwS2Ot4#}7dc{tLRWI%Jzf!>G^Ux*F3iV$Ca9VtRf2Rb6cGxo%;A)I1Q z^+Z@5->w(oS3M<*aIc>1uOl3&r}iQ&p{Lad`7=9!X8~O~f`9#)DkQA1p}oZUXtpza;WO zl?C!KY-N85dap`GpdYC$L2nT#KyN|SZ}R}%`HG^SVF8~^E@1~{;cW~GLn=xwoDDQ+ zq3~T^yU^9%1}*$7Ahj@EYJoMNv3GgAZaJc%g*8jm&QYwB<^R;e2@4&~yT=>wH`roH zOy-XEvo+69iWncoxfFGhmw>dU&v_xcI712&WXb}hOb{tE^5*G|lq+dSX`eSw1*CYq zM@p%@DO#kQxX){HA(i$4{*1?;#^O&U{8=FW{AaGCTjI}7{Be1RKYj71i}+I%e>RFg zsX86Keh3S1ju?+r?!%92!6%g$=Pi(=cD29pXMQRwo{l8Z%X9%ciD?LV{EL-Z&qv(7 zaFq5B8h>Y|q8vM)!XLo|RZ(gFzb0rkc6;RZxG+I<`4Q%M=gqPKKMvGeYN-YQjSmOyqYmcXhCy2Jk zpMBy_GPaDri9fUOr^ZwKsfRz2;t#{0v*OPYMMnjmp*=rWWNpJ}-dilXE#u(!4A_?e zfxuoj!axwRBm+P{c!s`q4n(T0vj&m#zq~=wZu}3>U&2Nc8u+1E+I)>*(qqUbnHMYR zsFMDFH89RZ$`qpx0`&f0RC6=7uMACoRi``}7>zVl1A`1H*&1ks6jcMY^5!Xw6jcNI zym>Nk8c)^0^NzBdY;MPqqI&`KARvW`KjP%xLhz{uoGvL7_5|pJsQ9yyzi7cL7^ni7^zbcC+_f+y2dU_r zyvESK>DQ8fvFn!pi>kfm4T}c-k_IW4o!j;kn9tUnnI@s1r$S%!BVzx@_}lIWeF0ZK z^`};aTR&Sf7EWxg2H*N8g+1rawxNcEYwc$55t@9bvm!kFjV3r_)U5Xl ziwr?;F@B8rM$O-1{8;miQh#Cby5(2e_ZA4h{;DF(%6y}+Ozulw8NfF3tBUQpuN0mE zY+b+p0o&$N7WqV*MEKw%rHOFqM=J9l!WJKC_e1G>dV1Ow5MR@rgeHY=(cNFvmVV!q0RfPH8(K!(w%Al|!z`E{#+)8?y%1sj+ zHavq`d_{7Z3|jgXZM1y`UH*zTYW0@Be+7;k-bx%_oNq^x)pvM$vs9Y@ukC%8MqR$6k-EIeZltyi^5FF*T{hBZ z^<_dfUJ2@S!jNY3ROM68kd`f!XBurres=#grHe4; zHLWf#ZHFIg{+dSo;XY$=`3HwA?A>1R^NzJ|f?41Ok?iQ#fk zs5=E}ltV@DV|&`jQiYp{FP`9)>_*pTDnBMiQ>7vA@kG1iG}fg?n<{?H{RwR~Rcf)j zkLfK!zT~l%{yK~17we`Jpq^%m4Qu+CMwy{XZyw>hm`XY3_?QZ66@M0m4^TKNj_krC z>Li{kKcabBJWqT?kF0_CF)i*7ze%MU9*8XWh&~CTwW&1G70)kHsiqFktx_q`3D3(B zx+Bv%9Wt4xQi2YVVX0(O5Rq>YS^4P0KY;F|MrODDKUKTH1FCJVG-QhTNJVLZvvfz7Esvn`=rf}DyQA2>iHpUyZf@rtMAJy zuF4N-3*49WZgHP(3ZmopWRJ>0v=s zf8$N^vPFpRMf4G&%T0>41svsXNI16Npu%=aIo-`0hU!z8wc^8S-;fPv<_+0k&by#C zU#?3?s^6eAJ5+i5brllb`|C3A3w*W-dBd+uWH#bUfC7o7dgQgkgMg>qb=hv7iO4h8 zBs>MK%XZVTnBp8f_SzpXdX5tX|HDjNz)=FBz%YvSR9tKWO6P&9z%>b##*mN=Rq9oG zWe;eUU8T|v2wPpHp(3=oN(USORf%K?)tY4TaYV~GpDZz%ATX(vEPku9%Cl$KqQfUI{yqz)7Ur6yM-AQ>X^$Ym8J z9ls(gc!ru3Q+z59y8H*6?p^wyI3-@B`GwGyynXWk#4k&LzLk&(*#OH=LAE8|w=iP!s^U;$Vds zNK}BL&q#oh&qyp(fNGqP08KqZ8$6Kn!)b|4r85Exf41qg#3ubTRr7=eYL6oozb!;jPc>~?I5U3P9DFIMXnTW4OVxZQY!WjKqdB-n6nLjwtC$Dfy|OpHhk0*b6cT>h7nwvU-PTil5S%{d)#!rRud;mXc9-~%c6v@`^hIj>E^uaz8U(M;Q*mLC{?GR*l4R&kTwM< zuH36&&OTd98*>ABxVoEq#s+B@a44j0Ii&zwVMBe&DYaR@y|lBO(v}77BlGf#3#)5I z-sKfPZLGE6yWJYc>=kc5*;?gWgtnK5YdGjGT`aFeI={a2n>Zt_M7H5p)W3q_s)-@SuEKp zvRYfdGS4{|)lB;Uv6*`7U1VNa31g>rQisZ*?HMa+kLwJysg;#x+E~P9>QiH>auv`n ziKSUplqo!2C-$q0Yj^Gtske7fa8;!n8@huwRz;Vpvx9C_RjTuMT1mBSyP&$Uo$6G> ziS(JElzn@covv)*lVH~MTh!i>ML)f)aptrS5xrF(>Ruys!U=`oy*wEn?&r_P2^Ei zY00*3qLDR~zB<#5QldbbR9EZ5%3mT~Eu{y$gm)3vLVpXrNEx-zls8|Z3bmD{eBT8# zvbtdEPqE`nrsG>yN+G^FpO!k;QCw_hrXF{c2d-ZIG83Ry=bCe7cfd05+MjT2%mG|s>1ay^)j+vi9V zqLgRsEL9Cr*03sT=wXP`!2Inh*;w0ur-&AcmpJc-%GFnj@ex1F$fON6*sla|jkXka zG4Var)$~t&oWvEasYxDsH}fyDm?7VciqU$2Jr1?3q+<;+A+=vg`5P%y*k4~&E%4GS zwDjPfscXeH#wh+p9N2|*qli$`lx5Nn~i^M$M%E5+F3((xCabWV(i$uM*pAQCHdJY;ErG+nnfmU_Xn!c0RIz3BBNP&i z`y}($_*s-5dT>>#+7e3TcWn5|RIz(SuA4I;N$m8(VaYGFsqN>zAgAr;X<^Gxge0r)bTg z4`%sv3E4)q2pg3^J(?+1*z%*awwdxl+?$~0N>i5>M}9L0FW;#J{`-!U7D^GbL_AtW zE~I1=Q+ul2Lh&@;vq);MSccFxde&hf#N>kK4oO~eAeo+FX0Yl@Tf$FpKSD=aDutPM z20du0RN&r+42Hd$mFZSut(DTu;vfaIR+_NT1r*s@DQZ3tr(HxVd6Fi|X-L0XE56#D zh|JW#oKI!ipmWchPcdzj{yZ=q?Io1knx9Kkg+HBUMvtE2Z4#B*D*5?3JgEmA-!9b@ zrm<}mSNn#bQ`f}&=D}*KP*QMbIL)u;(!;j!l*~aRRsh_PqS79jOP1}F3hrO` zi;Cilu}H`{%o6=tEqeNEL(wDKq3G^-$~j{>bibK;ErvS9Y0MUGjI}49_KKHo=PNbm zc5#IRoc$c9nELIpkW=AlC1p;TGtWn*x>~|@2FH{51x~ICp`cCoVs^{C5w1Yb2=&R7JknS zusB82pw3DO3h#v${CNgV>WXEZwlhU!KbAIw9(0C3>o$YFcUEdzY=Zq)0h&2uNf*V5 z&d0z%@^7cu+8*AON1%bvXkobG$?83$xNxN&J2xFUny~bp)U=C|#I8*1stDDG_A6p^I~yR=mZK9qF=jy8Rj{H*Fk>e*K* zYSHwrLHMc@Xh~lTgGI+vdS9iVb;3B&Yv2rp2xq9mZR*`mDZ?g=r)B+6pwD>v+E3|U z_||$^%Za&~aG%?-n)+V1$z;A_XPuQM&gj=c3I(0i;QOhA@P6);{z^sXQYw#J*T;^h z2nGo$RN^n#v^6PsQOZ@=s{Vf|KD;8v9pA}{>yiPADZ4S2j{Kz*;b|BjvLk2W^zHz7 z{PV_8Bk_Y z0Xuq)LIx{M+%~K<6Z;6Au9@XK=nnjh4u!590iQE;2xbHq2g3ypTS;#Q!`NRKMs*1+L*kI(hly>ki+Rv4G)Rc%%=%=|#gU4)tyx}z;}LP%QOa%; zW;KuwjaCBn^_NJnr=xZ%*vk1VWw?%k|9|rw`HxYS@ZpOM`qRS4iq_-B4x(vF{XK=> zl&!z~Ncp0Lyt)lifapz)SThY@9wMKQ}jfg7fZg{3Jd z#KmH(srY(Ip8OV?7qIg~wHO#0iA|VX+_eFkOGup&NkhjedKUMZqQ}8lEPhQp#$nbp z;x(Nghjx5&5jl-lg8AbG>Tuvln{H|>Y1Vc0t_cS;X0&p=lE${ajGHw}* zmEI;=>z-ohYuA$!rob6B?MW_?N+(^{IjZ8?C(^q}#g0AdK}#c1R%yfYjvf>|Rk5Yi zNX3esyeo-@7$OTzMP$8)GP05y>B)9Hkk6TT?~uqjM-WXhM4s$U`=$bCuT)7ir#q#I z=Te6B);QW5rPR=hLmrv>UEQc}6lO&|yV2n&rMGz+4(^H(ed3X9Khc#2PE*Qj%XJm> z1G>`oX{cMFuB4rg`BL(9`fIu}-KE}i(OPnSt>F4$b<9jYOg1wVH)R(djoh7x8FKo# zaGJr(NS~p6Y}o7pbR#B>U==6^ZqXcqWn^~?H9>qkAzHQqZzRZ8a8T8Ab{-3j!(~%C$Quebx9ca*O^r(^X!ljh&40QACfXO*)zYmBbao&O+VE$ zFQZc8ttss(bGFj7aN1}yFI;pRl^ z*YBsga}_Vs@pu{q3p- z_ozjG#?c9}3Mb48O7~i%mTvY9(J$T2>CFOg_nGh~?uWo4T7Tdl$({ZY+yf|Tff8st ze!R?(ZL6wXqvQoj^TLjXgxnCX-6J@y-a|DPDqd{#9_qai&h4P9v~;1;*|zq$Jj_1t zCW}RiFT1omThj`)U!)Xdb9d9gMM^l+wWQ=lkRxDhj^L`ze2>NcIT&l~mcn8tU7_Mj zm2xzCvEpk>WAczT*hTS3U>>`SO3^MsDUWxO%Mz5*p*f95=-O$tYEK+?{yq@2Zcg8q zD1l5jn#z+hf!j61LP%TFBCcIWsd=1Zf6vptnGn7xJsg805tgH zjmTyt7Mkufq#zM)Ye)k{IJP0J6k+3rbWwzb8`2LEzHLChs}LqMpmrjh-+*R_uxkT~ z7hymHdcH~twmH1P;B~I5F9dv4pDL|Zs@wF)iVjnw7u2WetFeOIvOZm0t@PxLL$p+E zCim5St}8G$x}z6AP}E4?(F?)!T}-!N)w1sB6|F}b)+j#ucJ&1G^5&fQQeLKhbv-Qw z&B7u526f4Lt>WQfVc;Zg&MiCf8>f+Vso7d^+94z`aB{CKIDM#V;IyI+9a*bX(fb>b zyif}po<-uWlDJT-b&8wzpE?496?JLcI`oMtbtrBfcKfw;snB|55?j-s#%)pDP3n-} zCZ%G5{^%gkTnOIM9g|>2iOtO5NmtdH7QjuS&R`@^35&O;<(rh+CjIEqCM6U>!Um;_ zc2g};&bwOVvk^vI5?8;U83>Gui9~|IU=L8~jJ$KtZNHu_CqI1OB}$(!o98 zZ_|U__QjSXO1KauSCEKKObacH^!7{LY4&UiCXp`ceze%|)zA=TV)CMdQ9BD^`HYknR zfOd3cKUh;)!TM2IsuZVmWHZZBOdOUTd0VOyuS_X9zKt|fz12Y~2=i%i!zBQr;r2yu z^}bb$XiN8P^eP?>v1uC$JfMv9C^riW$?Ekd^@T69XGRQBjjZ)>)yP`TQmrFTjAIwoMfZG9L`e5cH0j$xGlJ(vw>8V6>DO!%HqbvZ}9 z8D5i>#1h^ItZ=6_Hlx)GadWR`6EnkQ*Hr2}0eem}KVnT~SCUedg*Bo#NlFXzo7f5! z&h+33(wW8u>XGLDhR6%!vm>?i@H9NgYNr%49y7scU6lzB$t*()7$exOX%+xgqCU>v{c)mr8-$!s+mhm zH4Rz{&eBq?KWgcU&{7b+xgxaWAgelhh@q;w}H!e@$rVs?gF9W6%aTQ2(n! zOZEmWRX1p zv}8jaQ-qcR4O$8`XsJ?`mMY}ZQU!yS%4cb*;vcniPiUzey}2i}WFf0MxtF1;_l1@W zk@tEU*Z-l=(gUHTUPhqt0Tws__yDd_D|3UI${N&E##l{}1~no4O-+#oHR+@*&OLG$ z3pMpHE^!C8A^lR7LgrVrGQ-&JxidgdsX|Z2xpW1<9eP6EYpF^%{z|K*N{^J@Y*}eq z_ef}}v_Vt;22DLyHHGn0^rx=0{)y03mpq#4N^hTF8Ge{XOP!v=lB_RjP*q8Ts!C<4 zszfeTl`yEvCreene^k{Ap(<~B^FpW!J8Q!1&1`R|?MtC5L*&zT#t^&~s(K|<)!qm= zHXxJwzf$~oD{RNDIE8VfXEB4SiW;j5a}zOcZA18*szw@A^;61Hq;2kEp{lmVCGPl1 zrP4%?`XT8f+vKK)rqYC_j5BEqfH^evldh(T9`%C)(?yReLhI6no{AXsP63 z%QO$e(3>+uaA~Eg&Z!k8zlA&Tr6s+8tCZ0lXla)3r8QQt;OgL}bG;0um97`!pylo@ zX=8>`ikEL`*te%L}(wOHPuQ~~0 zV9nm%WYgbMv(M0V#5;qoCpt-8TR9o&`f5L^>yrrc>UvKiq2^Dka-ukqu< zpBa=FWhs@Xw=_~-N@uCO^9b`QFTS%>-rT2BmgYf5%0scmcxI4si5H)erAFhd%XlK` z_fnZpN+~JeI1L1BV@$5~1KUh}@29j!qv_7fpW>jn1`0B#DW)1I$lRbH3xk5pG(tfb zwuOSKQKVJ_1>rH5f~wJFtp*B8rD{426oe&W;XnqO85E?=QVH%Nc9PT4<=8afugG$kImRY@TsT(&L*! z*c^rtR2z~IbDWLPlW``kADBZ=DYVB%=qZI93kp5`qA3M6k-YpbQz~&(smG%;P1RS@ z#0{`jHHDE|XsQfF+6hhJF_)&w&}BQJsq0ituc^Y5zMImdcghSNh(DI^!EeqF(>T21 z#>s?T{YnGlH8sU+plb3)Urp)j2c;U%k3Ujb-%S7D?ay-(z3DSuauU7ilC1B!5(Zrr z61p-(dKn@m2rfcb&O%ohY>jkvi5fWzU0syA;!z(>#ajdh`078J=J@+5^$f~F_?xoo z8I*NF3e(No7 zSXkp@;xYDvDNJb#EVj>{8B#}`7P>#l`5xG$b2Q0K(}MMS7kA4|(BFHPLqAc{UooP` z`!+p1K!5se+z1arUo|6#K3>vKe44{Q_%tPYg1-2hIENyFe)*dm`V2|$|15`o%}MIw z1^Q`eadBRP{zqC4y?0$z{!}A+lO!@J2Kwi(;#wCI^c`O3(1%Otj^V zSJQ*_C?uCc{~uvr0as=6y)Scl=e<`X1TNhnsDL1%7$}&isMw9z-Gwb;Ac(EU?oLd^ zT3c+fTZ~n#U2|96RsPSs??0M!y9d;?0x+z! zpn4&|P!p>gxV2_4tq1{zGJEN32rvvcS1}}31cqMsR1BZ*7R4~&?k^ZLW(tO4W(6=j zHdQguJr%=|I}{%(ebx*tAyZsssj=2FRe*>}rOTB8;`>hWtpX5rOjHmDssKdjEdgSv z(apjbLLG1Z0^zz&fp8Ufo8_@#r%phqYII8=sBCnDc2xs_8d{k)g#o}*OcLejowm`> zFsT{4x|Nz$hwgb}AB+Fe5$ zt~r8EvP`(tP}_C0C~o;?+)gYtXVIJKS~!YZZ(N|b)GNOfSI1Z>t|t7_d{uYHul(XZ zMxeM7SEzhV6c>j6c1ukZ_iTeG&UqvKsEOjPZlGqhMD19C;sP)IQru~DrRauE7!@pT zHGbt6H>9?x9V}*TQM+QOT^&)obxQ5l(YQJio;h1bck4*~G=HC0x+zCm)Ic($Egy^> zY1TgyW}fRx^;zrl^s=rLjSY%w&&1kapoDtTbvE?}?b?TaZsXse$hQ>CKQZ&J5l=5uJv!R5o9B0$oG?e^}Oh$g= z>YkyR%V~C$RGH;0qYF`zqqPen#4XMZJ|Mp9?i|0~syu^(+# zBk1WD+;vqjp2#e{7u=7CAX6Q{$(iiw)lvO{5B}?qV9<9CtVN*o$+j=bKBmbSw%+ZSrCzQE3_!Ek!Wf#k9JmqR*ilWGFBHUl_}CC+AR*7qO{Z3BH<__nA_d(EaHZJ}?S)97Ja=-c)E)VCeZ9lqC z1)##P)?lZq zZO5k4!|u?w(On>`*k=aXWv5Z6uF$rQJBnzI#WPjgvY!^zwy>_yw!TxGRE$NQhge3^z-Px^4Y6ZIWKn2D> zHdG)YLDaPgMRzKsY**ve#C>`~*~%nPTu&%l?@5&2Q;OAiZszJkz!iE)gV>@?w4s+& zk2TpufA)gN_im;#y`?$K{XtOyewsipdrLS%v4QIJk;bwy8_2Am)Qt3f!Iho&s8V0R z48K<-o5pgyOym1tPwC6Pk~a%nFEaIGlkU>kevp1I^va5u%Z?M$?>~;V_k$s}A4j_W zzU|_YoVZeGHi|y#6;^@aDDc zHNQ%?hXd;>9Q-R}zxfa>bRUSL%z=_4O&bBYvzO405rF&jGC7R|+>FZxxXD8V+)G2K z(MZ4@HH0>g1l-`o2Dn~vfV*jt3b)fD74E6U~xWAnrppeIywQG;1dt5?`Kitcwnu0iVnvEtM4fT);C!5 z&C&b!qIdDYdbcOlp9HM)W*V@bngp!cnF4F1QHp?C#b_2COaRh3$BPunx^}0LlYzAN z47xcPNZ%x@NY_sRQrBa2b_$TD{#GO#pVSqM&7k8Gpa&zT0_>_JS}_%1KOUtL(*X7k zx<#dlEyV;ih7nlwohCJA3%XFsG%2P^)9J#F8TMwWn=f7*!B|)S9G`_AIPl9$CU(1? zK0@A!Qb)Nl=D809IxS3wwM|T<{Rm@6V(FhGDUkg=4ST>Ocj`4=veLH1@^6lwVku#| z6v^=P;-%?QjAO}ZzZAdWkSKoLAu2UfaxpqY-IFltvFt?0l3<^1$Ec)BP8FmpXa~j! zs=H#ScQU-;?lE)(r+=!rPx*!F{&YcgFFyZ|N-apo7BiIQ(UDSTptP4A)Y9B1lXD76 zo6td&cDw_%O+jhHJJ5FQadiwz_@%TnX`-}KX~Yvzhcv1)6I9E$r&TjS_3w5n)u2ga zItx^1wG&h`+fn^lQd2gy9W9$Bb#jh~SE&}*$#QES7jEu#bl=C88@p(B$G8RCqzF@vAM_;K0 zJzD^+`qG^I7fO9tot?CJp%lUfjHas#!QqR|L@Kl9xYHP_f2JAXy6G^JuIR#*EpZ4~ zM^YK>_ogCQ?Phd~P<7snoEAw9G?%Y(<*^=jr4>aYjhJJ>HkBm10A)@fc8xNt-fp|v zHdD)MbXsGDRQ0CxU=b|C>u3sHEOm8WJyNZ{@@|3J*aEgGTr9hdE2p5u$ud@a8+AGi z=LTmnhB;)yj$_Z&CS{tuwpXke$BRvwM=sO2C6aT66^K{%Q1%w>(-3VUO+&D<1y|f| zth8`73j1#dU8c)RBpde*D&=*EHxUoph-6WMg8GO|*kzKIN*>JqGL>E`)iasD*}$$p z8`GGj(sT_5VhUqqg)v(iQQ$Jkk)>}=YqU(VGGcL2BEVV~zE)w+1e{P4wpn3MP?X?w z-zeI-Tx!TlMbW>@r77Ch4MpgjhBSQzD_wRjC-{xas!w_eQ4tbsk)|hA1)4iMp1>0@P}{oral{? z=gWK3>W!EQ&FMprH%hmeLj)bzB!!e}(hF@%vWGzxl5JxWVLZ%Coa7O^F_qU0{F0@= z50cepsb1NqJ&|^@*L*}_2QHpy6f1k1#8ZvRjs}qhqbKYbU2u@5Y?h+T`>GjcC>cC1 zQPyV3mHoI#Mq8v(>_c@by+!h44a2GR7WlD=HE78eslBdV548nE(vA&MC5_y}P@TzJ zQJu-%)#^0ru2yGf4=T4!y6tqnnrL&mZD8V8<*HsxttFez-$NeTp+Q%=f-6#a-Jc>Q zU`C1??j6kHy7Ig|Wvlj6d`IreW>uq4+abNzRVZ`^5LT;3J$9h*sa0v&4yggNp?p0p zb*BpGVdxXt4YkajTAl5OzvEA2Z&36)b0MeHuCkAI4ASQ4_f?_pJ7MugRH1DHYuaG;oQ6YDHfq`6Kq|MQ_h4JE~$=l#ZD@{*!(teVV*)S10I($ccVO0uo1s< z=~Z=F9rFu{ifXRld6c$W@?>W7=)rDjfW^m-hLp-Ees3zZ+kQFCP(B|k&QkaoJWI9gbYZ__!YpU0rd_-z zz&zT~gzuQG#Zk9r&NJHnA_@1I3I&&$d>$U$Fi~VAe6JrV^ezo%YIi&G!^;`$N*l3s za$WPiwK7FuEgrz|r>W_n+O4%pwZQc6w`7ItU&$&}B}P!anN0eFpqkW%p1i{j_MQi& z0JgD}I*EDrptPDLCFQfvwL*a4)O>aQHYI71%B@Qu?wV{`BsU)Wzam3j=!; zHQ2dPFu|Km-bhmqOC2oQ6^gZ(P9G0TW$hj(LJib?uV2h57BPQYTWji#z6Ak1Tp)5f2WVvSf#Bg#4{4Pbvn zQS>S4EIZwZ>`zO*G=~~;YB<(7f=-{7vNY5Hzan`A?mXtk^zMw*(>c4oYV|^ko>F?| zffFF&u?n`WKFvLgsp_8%R9SyI3saR7Ni{O0=Uy?i}0rsPj}x1~=yPUc{eRHpFr=qjD+s%q2ig0wvFpHbYfWib#<6Af#2 zgd!}vZHlfuN3`NWY;+cadgV+(7o~XTq}nP63s^c=q=X7MjWV<-@k9svCyxHSh(6z> zc0MS78h#1NKfIPoqQJXH#p4tba-2|p7xrSLs`E>07tr|wHS=_S2vxZvIk6WJVl|`+ zy*^rM^Jdnh=&MqQCM}#(wUM}X z=+IT@p-VWqWlHUIlZJs%ij**n5;7$(mveSlL9L2g3535_Y?v-GMPCys-pKePVi=vy zgz&zG(~C?gnw__$&}&jV=PlJ$ECqC}#!v-IwV?&PO2?se~;Q1qlLLlpYBOPUjJeVDmNX*$HJ{RfL0Ni z60)L?pb(a9CZ|Q-m-ZT2YJ2BT!bT-~A1NWHnLU&$8L>B0sNN%KI}1;uzaGJmSR|#n zJeK}uaEj7wpJKHGZ#&5}>vySTiDK{`l&1C!A@6^e9IU^i|1UJPyB#quFJSp+ccR8G zq)=Q)E7P|(QlNPmmHp~*>Y3vx;{{B3*Kzdc3#pdoCzC1UrPM;Rr!Pwj#I@QpTROr&}+%oEo%CQY-^-~k2eUhc+GMczJjGy z@DGypD>_o`Z1mneJJOhJETAv-h0ost{`P@v6zGLz9`jdH8_i80L&hxA>rc3rR#L_N*>>>Ml z6bk}x{WT`^9F6)zs-l_h0q5-qx2E%dU`X=VGcD&2$;L?Ifk!nzNzHJogE+U{9SyZ&{bte3pVW?Z0qZeq8bn zt>I*#X1Lx#o+Jbz;dA=wpqsi&%8SX_=2IRDJ%T{hZRNkmQ^*$#+q*i`m@k+z>o|y( zf05kTi9vKse0#>zvo9ED**X&X*SggIFRX;rbl^1M6}KaoXRI_;|68i0JM_`O6)Ja1 za#l`%j=J-y{dtbOL4m4V!q`fh@ja!1%_F&+~VUpaoy0IT{=M5YK(~ z`3ADH#NG)-)SY9EUG0Y27AD(poFH3&oJ!VzoN8*<*r}%WHyhQ|zSifPT3Y!JT4~om z=*mA*DZ6_5!t{K`2zuUQRC>BGs;M1eqng@z)&))NQL8j;8VE3A73)#zPs!Qdyq>{K ze19#dVpAR(M2>#)YkKh$oRs27mm|gDP6o9~u@rO#Rhm~)g%E2HTiLn-Lg~76JO`XI ztxIz(c!dQed384G)q3;R0vX6ckeM zIsI(VZQT_`YrhWfmanmcYtmp1uc8Su#~^sRaTQGqQ)M(9LCS?6w~Vsnsd_k!rP7>f)fhYLr(OyS5M$j+bFYxCL$Rh?{?sOu+qFk7%t4 zFQYM+;meuuXpO}q1M<(t`9u@4YM4l~DhuR}QVosNx3UWUnF)8I?IpOWxX1Ny32>(N z1Ki`v16lD0q&4MxH1T*}Cs&`GG{qknROt_vOI)2J9A8PO(pY@{FI9@Iq^gpeu|bt^ z>!W%p7*y%2=AXNUR`Ix4VafwT1m*rA1zQ@9LqXb|G~v0KyozMnTR~*nU7=v6g%w1m z3N*1Kw=KQ+4oXo3B^qS`LB-zA7gQT5CYX+uDTS_jtJzs9|Viax3_0+_Q zm!NP<9?N`h(|ap!XFmW$lyumanJ0D&)8^&rx+SmTe+XF%*oJJ_hREa)Q)DVq#=V;b z%2>(n-BifCyNUb)d_nSUC4G>9ZegkqqSDs93hRE8x?A(gwsS$H0O44G?{q^YjH@)Q zc~uq=NLoD)XA^Hw13ho#$v^Ly{t-D60YQ z{Ch#gcJ8B!tqQho3T*3KfK7+XRYfUD7wC=)@1*;7z92Ss<^#pKLI4%c(Lz^V&R!#; zm5KfM#&iFf?CUud$?5kr$_-S5GgKs7-MFJY z`|mu>4SC$&tLW#PRr54*2l`knRtogkT^jF>X5A!%p1Je-Y}r`~@PLhceU>6UU~~7M z#ZKcWP8(@Zf~7cK9wftc)5VY&Xu@)r+9fp1d4=^x)1c{S1}# z1ihRy6z|JD{r-5Tl4+xmNex%Yti`v1j5^Z@GP7~%oF|X6V`l_MW+*o$;62j!#Tb5u zM4h2Wp1cmTe@EV4d^2lzTF|$e*QlxmtN)h1c=27#;}mW3=H)HAyeU|wn;X6M<{s>; zE18u-i+_7k$w`;4NETMtU*{)Pxivl1ScT^@D89g(wS;>Ly!wp za$6}?W3Jh0uBCZ9BbN4?Lep-=i(Hz9H84lfq4+t{pJlm&#=?7zS6L=aD?JsQjfB{ym8=B7}*#jg(r7$!vMMd^kX@CZxNg?ehXFn1CP)lKZw8Db7KCyi|)=d zh$Tg;A58uIxtA{Ia4s#e0AZboSd~BF#-dM2mOShz!=DFQy|q<5jyPpuCRtb2^u8^b z1n`zly$=0S>_N;7pvCSuq-cU}$y23Blk&7R0K7hah%y79@HG$Rs}n2zl=S6zPZo8M z#+O5@JA06pmgB>i`#~xhh*G+wtEIdb8Wewgla{G>8sS2kbea^%Ls_c>bU2XLWoHhM zaS)H<^R2}!9?h=C?fv`XZ!v3t%<6nXB)Sp7t6#}2JV48WxLxttR-*rGE6ui|qe1Al zo@CLFAnwjw9+O*n9%C_gzri)Y9hx!HF-w|R9!Yf4gN1zz9m&U5jG zffz#M=(p~nl@)k!*_eIk%|*3x6jvlR&j*OZUm@KvPC*^cppQ4*OFt^0bN8~KDj|FX zv)fC%L%2VCwTGUF?}K~Dq9VSN_fUwrH z%>)y}w7ZE_;#D=S7;J=B;+0vG-87~WXu0jCE#mvbF1lL@G$-sL$539e{F^(fl7&Yl zD^e*1{K^Z?ifL$@DyUBO%0_5pa%6Ol{oqnk`j$v-V}kcPRV#LAJDFESw)h<)8xBHL&{$^~Vo|QDzJ0b+Y&Gs^)wyW4 zYTIe1hzKs4!)!YpMufFgG$IQN`T14MxlT30z>q#$DK-o>3*4sEOlymhbM%|H(z!6O z=h{}azOL0dXAQTKPj&EW*w%uC=3S$$)j{azX1Z9NhiXo3DH!`KQ{f~fyK)_CyjgJ3 znHJaJjzQL2g!JdD4KpRF7}`1J7zasA7%eM|j0!d57uXw^2VN&-s(KYm78ZCwYS1Q8 zv@?wi=Z>0+1yhQf@?V9+IXJmdP2v>+lQVr2MTl?X%@h;CTe5MRXkP@6)YiBnVNtri z7fCgFsI!SOK?YB>tPyrOOaxz&P5)Nr!CK&*fH$LXlirA$ytAhJWl78{y0F@p>3dBc z5NdrHWDGlmXLyNK=wN9R22RQP4fvdXIp~(|j4l&9BR&kTj&$lWbuxxUv9&m9>s%5b zn&2_WTD%7PxSqmm^O`ni+y%FA!Ic6gQ312tofg%`NW1YxdS9Cd*qp&=C`ok+u4jo> zlPskb<5Zyz1POnyYaQOhB4@3jGeccx*UwptZRI@7vD5{@PaMw?vykDrvyiy_V)Ysd zs*CA`{n+k^8*%iMHQLyU(Rtcfm$%ncIWLLzo;uW^9uHx=)=+#s42rRDZd*OLO)t;W z-}QJ?_Bn$ZMDhn_#aFA%B@Qd~lO9lo`aD$M4PnZx>%rINtDy1d-0tF9+WN4aw5mXn z?c&Z##S+5O9fVJ$Y!nbv-%2mvAR%l8f?Y@C1tX@|n_yvC#2Q_4u_V*+NC1|o4>{*W zgc~QBc5@(?25>q)oB;tpTj1tLm39Baj z$#=+0|M)wZG~~|4$M7rfT01A|*pR!H?1eC)%)pM!&S5Jkr6Kp!`5_oyoBaw(Z^&cK zM2DPf^gWl6PZU^sV>!h{fu;LS(ex;I@pqQf!6@#_UM!>MQQVV#`AWJ*=<*vZqv%HH zl=h#b#75l7+H0wha_+_yVOf)w(t$>NDSLH-S~iBK*K`SuYRo&cg-hr`V>mHamXLWg zwgE7=W;8g`B-bXgy4`-zV0_nqj=1Du7Vm2z1)H1Jr zGL*T8REd5gs@x3SWX9375zV;2k+1hc!L#{lZG`OX z{_mqJ4QmabrtBfw(;7bNR9AY?npf16Ntfty2v%xawc$%jhR+c#Qu)Z+w-&Yfm?4%D^hOPw-U{ni6dM`+wzhuqC-%9Tnh z2{4_sTZHE7R0+^i9=*aZ;_HQtM_B-5Xm zVpKx6(bX{;kES#CDfWCDB5{|hDYi{bIfuU!!YbfzT(2yyT0v$(16H?NvZ*t|@nCl> zG`HKUX$a13BEl9;)^f^e&uxqM$t?!27N8iv?|YSE)Qeko!Ko43oYvvH&_T|z$)Y{u zz}DQKF7#TxgIV?BB9h3c6Ku=q7u2m2?`c1Hm(UJiGBgx1HpDvNi$r?fiN~|ssnj!; zpJS=hsC;K$n|+){ah-W{v)NDb4dURbbhk6Q@v4dRcW2&)jhaSHyYM0G=2SY}1&(O- z9Sgg1SL@AFR4mb06;QF5Po?0lyuDdq0hfBmWLnmh-(Xk@8q$pivBleHeK+Jbo?J9{ zpUHHm8x;5ScCzozXF4s|s_J>FQUk@hl~BYRCMVFz?tC!QZl#Jn0Brsi+R}rMXVzP& zOiwFJv#gt^?I$Njly>F>9tV%M`_ zvP7iPx)g~Q6a7Sz>4V(ZZHzk2;bp1S0KSD)TSFy>bL%vlfgCGJUst8o9mGo+u?}%G zU@)(&Td-1L^XpHPIv5_n{y2It7%M^^E6HgHpTc}b(%K=sA#1#X-Vebf(aaAd4~1p@ zx;zb3xe-MTgB&^xrvbx|Dq)$L>cV@vHVmo!mx)yFMr`3wQJO6^9KkC&9bA$x7em_+ zV5qxl6+ZYWZzc<{4JU z9-b5Z{QYxeJ{CjTedj1-EOfK$LK-s`%JSz+T00g!$>nUiIF{FB0l1}e9G~X0ex6Dz zvJfp9P7M}FpV7W?sLivdbbB1Qkujfa#`6gF``om);{~AOb7wx~{L z)@Zg`lZ;tPO^h7q;VAA++40=Z$TzJV9`iFI`vf>b^LtSB1YS#bC`A#X#ZyX3;6BEW zd!W18HJ@8iMgq80J!Ro!?xSgot(_kpqIs1Y#PyojGbDOch1t@~>Ttn_Oy=#`Ki%lc zWO#k`yVI-5n3{N;Or56i5Z%As)TYpevSwq1^(}i+vZ~Vx15>0=AEdm?>2z$NxLp@M zurzm8876!i z9)NZu5@0LbQ8Jwdw!fQBO{ejb%y&B3Btq6}6KQE8s%UbAt|#(Jnp>SXWsU*Q%@e8I zbp8+9eTe*$xSyk4EVf`x|M8{?cJizON%3QtJ@)YQJw!v3U`hiMX-5*Y=v|D$1c$2F zhmqUS(|CeftXJeXOvrJZwN_g0l=#;nFf%9+h~Fvm6`ur8}NLHwRbBj=(?jlzxW ziQLr*TScC<KRJsp?UVIJ&Fp>FM9bDBLL zJyC@g)MEj7zkCd>UVxrR+gw=E$zG{SC4~PzpgG-Gz&jS|$4r`0jfKcP1xMZR3=#Wl z6h#tpcWzoV_t~a6n!qcuGGk~j!KmG8N}q{0)_spN7yw$`3A_D{Eyly!Js0sNx~YbU zND-l@8;hX7mT}~_n8)cBjZ`y*=4I-`EJu>x64<5bBWT|ezKp#YPR*C{S;gB8SJP^Z z=tDbZy>Jg5f{ ze+3VA@6`~qeCD}aIWut))W=A4H-bsnoY#QjSMXk%df0=w>$8!bV%ESR_8p>@uk76s zJzu4>!Q&^%Vm+@zkJiB7*jkrd*K%*Sw{`P@iS^{$%Km&|ZN!3~^u^Tbx zdI8!o(vFpMU_EByf@+h|1~^_#Fc99rd$EXGG<^eF#7WBLx(o;t89HZrEKP@Y}y#Av4v-_bK*Wx?r5Hc4p5oYeveCG zt1Ha0^?FggaHaJ}!juWv$zHh?w^*W9JaH?Ws4~@Q)mAJYtXNF%w_<(a%VN@P<6%CN zkV|L_R$1|#xG4-@xW!4x7<=KdRG`+EuCCzrx-B9xu=uOtce; z;;PWWoxC5j=}f+BdWy@hAMN5HC0?Oh1un63 zT(fXHwgXk#%`3ZHjLA=)zs)lSX_NIG=F!aEu;2dk=*Vug^^i*RV>e_mZHVB#BX!vW z%V$}Mj_twhVd=T*7(MU2{(}(uV-Jt?nH%zdaPM@5!rVJ366bQyS-Yx(;9Z}cD(@=p zq#Jv|JCh1&=KBQi-j}B``!T5zKag7P$IN4`3W5v4R-@bHS4#0x{b$k5{XC$=x0!`` zQ2f>Ne{*u+i*6r4L22Bx;@DsT7E_5r)G0}E?&{DTUzkc9irmWdL#y&4)eNs}MFX;e zC@GD%(KIg4DKMQoE5+| zkLDgmzZT<9Hx8pwJ#L=X=?K>tY3?OU-< zTT+eOlA8IdtPpArRxI*XSP_RWup)i3q4;%5su_w}<>&)^soHTK;B`iXV(b_wUfm1D z6uq+e-bUG>808fXmp#y=Y>Z;yM8qN*<%#vHiDG^&Sn^JwCnva-qjdt5rnXlH6O22>#A&bO>6p(g zW@7r!Br-n+q;^b`!WXJa~a3X3(q-gNI2ub|oO$*J>c$t}zzN?=mwqf}Vxd}H!N@D<3mPE^!5 zLqVJy<)=i=pGX5vqe~nzk!GL9+-IAKl6ZS1KzWBp6Wvh4Zaq7a&+sVSLoox5iiK0q zOk-DeyaA0ngHgFdRNARC+}4P7t}mvA>?v!m#-BVhfc{}5b(o^sYeqp@EI(y4w+r#4_LF>^!6g(&T7@6rI%2jI!<)t68F{I$IeOd zq*&uhwNxdE20|F5_wZYSrKk7=`y!L2=gRL}>hCD%No2C=Rs1qBJ%LZ<;36IxJ1Rn? z)R9o|Mws!pNMtO0X4iP{k#mQYid!oEBp$}tVyO< zp)0rS$?qz!Zn_@}zv+wldo+WFb~I8%#kQn{SK)i+;N_*O==zV^Qi)9Nt-)<{G&FplxkeFqW2VVD7Ry2Y#7_eQ9)%mHH~Y@@p3sXt*wgKqIMUIAFDO+TdMcPx-HPNc+t z5FCa@+*{nk>ph~=4=X8emdvM_nx8Vjii~d~~(vfs}3FRUU-p0?lkoYH*vE zv1%bwrYk8UkTOR<&yuFy=C!R`iii;)CCV5?a`de% z=+s>vRop_s7`7S9z7F@eOUZS8^A!%074ha&{~o3fJDJnad%U|Q5$W#V<7J!%I$3bl@lwF__*5U!7GL!t_xrr8W-pR9xz9^EHB^#LFO)RaS0o+PhvtZ+wUP8U zBz3!wDbWMCG8W5m)=2MuT-Chi$-fPacnz$ky!gx-0^tLR*?;w$in((Ghr&*6GxuM40m&oLDj*O_`e z=cP2yF$F#AId^yS>#XXZ;8SD@9yg6Rpv9l+x@ERm09}2~BQ)5ZOxC|+zGn*dvI-6G zdQw(Eoe--+9g6RwP`kv^gx>*mSXmY7bw3qq?=mXX>=%G)ruhZx{IV+4UNI`v8<9?#}(?b`dQ{q%cL2L2^WC6PZ^(%=(LdA1V7l%UV>%n|e!K_-S6(`=U?f43lR>ypVyW2$<4SkL0(W|)&3cQO zKuxlzvM^PL9(?81+RC14)x=m|{7aUOv=&v{iBHsHwi2{M30kEFDTl-jF{bkoW@0)O zpGwubBukS-h%~G<4gLm?$Jz_0P_Un+l7}QVJKK@lpOF38@6`0W*m>?wqrPLRP4=WC z-}zh3C^v})*D?;JpYOSIBX>8o)fyV>y%qx3MSMbsW0R%J%I{<9Z{>NaWYdHAWn#Jw zp9&nj2D?dwNK0B!<9{)D40op$AF%4%+m#Oe%g?jrE<)+Hvze|G{Rs}v?7wKpC-Bwd z3mt>9v>W4GRPaH~1PV`l<_X?I39?p$3JG3|FcZ`7P4fl+7yiZ*X-x|XKFf(>KJ)In z{#dll7kpDERq$J!4TAsRP(bkU4yxeGHTgyGPEAz7?{riJf6?9`_)T^N1@C27RPguU zU4y~+%o99roI}tNHK>r_cOlHgbS*w}1;0{+NOPi8!3WvX_HPh;3)_6bhfwHuOdmY? zQzR8*@{)7r_c|&b}qTNh?LyLd%QrdMQAm2ClJe)v1*c>;X5p<6-TX==+WaMrGy>Jq*@7yz=e(q&Mj&|R z1^tWP6W+m}7q&ESvSJmgls;3Tn4)alG%zA1$rtVc_z~!=ILI@QQGqa0WSFI7=ukMr zA~i#_nxTDehPoodY$Ze4c7<~oMz@319L)^a;Nz)9Nh0SwCFjTA3kk`h350YN#Bz6g zwELajGuh4RZOtO}+xUV!HDEyH7t~oJS7hr=X|YCjvb9nO=3b1F7>;dfIr5eg+1{#t zL=kAlzZ0G$sm2!Kl#fQXB3nuJWEe+RlYnoK$egQA$p(DapQ-q^nFxG;;kb{$cN4cJ z34E_4;QI~v(ibTBwqYmf|Ao(5#EZtJ;@eQ82)@qGs5b|`Pft~R2RZO{DlV3+?H#bO z>C%(`fEf5xg{UhoAU5x)LM-1=g*Zc&-Ta+UZu&w6qD~=^*YP4^} zeL^L)z!v>P#nw?Pd+H8r1t1JUX_ZFS+m^}t4}2+ED!v{_n=GZaSMkkiFYvW+9EB|D zixg-*9*cD;)1^)ZB4iY2BMZ9+3`3guN3=`ic>R#F zOynB=0$z^Z1gKsu2MnQsi69LlmgOQ!&><#0xP&lxBOXvx3AsY;@CSuzxv4H{8JbEwS+OugI%DO10K?;5&&`g0evbVhqDC_D8y8*5h$qS==US@n})w2NkedYIR%Lq z{#5X+GnG3qw+F<`X(m^(Kcdi5lZA?8D&NiSlb1P?jlM_G=5n<%qJ$j%3J`uZO5vjN zMsQ*zQscEP1gAGvfS0&S`^^FI0aogBAs(m?h;isw3TR1`h!>XRKOkD%BM%FdJ@zg& zwvel^@Vk^`Ay@MkB=ABza=xi48inYHQ~pB|9_ATR4ScQJ5E^1+u6}?G|^UW z$V&c0mu%&W9zItEtodF-&mj3@Ex}gb0zJm8D|E;X)o7bZckSdTmiCQ&?B%|!!8b~D zlf&5GSLm!8lrrNA;ZARVw)hGKiSIsFDAobkzg?!)4ya3)zvzL3>}q=ndrn0il=6}j z2e}Jb2VN#iN7;u>yF^tTWk;JGWemOO;LDH!Hx=!u)g|iZh@NT57aHV^3a_duThe7m zxhpgILS;NrrI^3cCORp#zo689p;FkGX}i%;x@sfa(;{2&s^n+7- zQejXhWH_KLPJF<7}|)AW`CxW2rR_}WVkBEZzZ9&!o#>4mcAoTeae zsK(_t0z;teb`rqC4Zyl7fwt7Oy6iwErR4H#Web{E2G~Zopkbxtx?*Q(Kn)PQSxO$n z{(eREeB@@V)*D*tBUiJN-iRhJ!=SEMB@jk8q}B=g(?<@{b;CFVxPJeUR@xVeU@`GG zRLWjd>J}=6i|9n9+yc;7DV6$mtYD>N3`vlA;=gn|LT+#N7?J6YN?y^D6s|hGEX>sa z%Bm?Fvlu+yCTdVQn)a1J4Tk?lKgxgsYhF^dvgltP9Hmxe(eavKkb)9se5Xle6$7*PurM(EC|Uc--Py(?G{z5YhaXnkeS_z}w);cR3bcE(-_x%83(dcaU73a3 zz4KFYFNbzN;ECGqk3S{nK&bugG=b%RxBD7tYP;8^tL?t$6SducN9P?X`%;PWFe)3< z$e}VCdt4gT7vJ^MD84+t9nx^a9V)PSzpC(-k5q*pw@(zB*Z2=WnRE16`>0DW8h@pI zlpHKOI>uNUblV;KEz`>h3FtX89=K|uN}<8S0#b0P01I&FfvVPKkJ7GIQ24ML=@(h# zTL9<#urtcPL(j^8KeN`e=u{~unYl1ZrCj*YxWJyCbIdKb+Jg#*Ly30{P= z)KrxX@u?~snx(32@JtnK(9_|{X#Bf(s=RQ#t@2{(j{o4rs~yy~3L1a75}DWdH>r(( zwztywd%~?%#u#OGf9sZLd>=c$`2muzq6HU2=g@ju-P zLScfCX#A_f)W*L^YiK9!w*zWkS#W-w+aI=olVK4^craUnb*iPT&|{> zcg>h`d}JFt{iV$+pjC=BvZ|s0TK0R|=y1{M%WXt$YKhv2R{sv0M?|atU1O-trcDKF zvp-bHj4K30t7kJeQH7dvGu{5nMVnkTwXJ`xq=LO(i5zPItocUjT1yVoRJ)Q3Zp4Oy z;0{+*=+}8lnYH9GR>KgP?xBdR_d3z$53Q$8wdGd!Cio@XgGgoVGtaZ?ww^B6Mll(e z$fgdoC}SPftb_4I`?b`wj@+E#%E7~RWFJ#+WDhzPnQ*Gj$sV)m>N%~A(HfHLBE_VO z)S<47smZkx^*FC}vs;s2PyrWi*jhST7X{t9K)jw@MssJ4L{=BHo<^&wbv=+Ne*s4= z&`Lwr(9L=nP+wSuBNqstd!C{q5x!tG4Ud#PHMM`>RRm>yw(NPqLUnyMtEAF?CDbSZ45674-#~3jNrznXtoG%hO-nRywXzoB*KrLB)2B0$blt< zmp{v~v?VmO3Bs$Nq*YCTrp6Mw&;)3FmXIbI;X6)HU^K#aE~fU;2&cs~PlUUipkvXX z@3EM&qd{L@OrA{= z5qS!`lO)1EH9akECfk%uIclu##1*1UkxG4{W6fk&wwmZkGuhwtAjqWG(g_Q{aRE6t zmn%BHIifZ^bvRW_xdcd;5GJFU(wqRv#?mc+h=T(+Ri%5CXnu3qPgiUKAf-sBOVPRJ zvYT~1fe>SXI2>gzC5EVy`!)K}TyAgK3o)YU(c{)8 zx8`z?BcAt#dgP9Y^Mu&C{QnYSnetS-Erb{iDyWkl^WkkFL=rGnAqr0vLL7Bb72*NJ zs6xD~6u)UEIkbZi<7ZMtI|wmuzd?wt_tVRE5aRw6D$yQ7EHO(J;+=h}5bLMV^!5;< z*j`yi{==Os0$& zIneSdicBw|&^a<40uQfC<+{s34o1i(1g>PmF?exx7h0{eAI|3V#@2bwd9fmqZO><+7T!WhDyi zB74x1SQ&4LB+{dv@am4m$`!5ZrsgZ3+C(1EZ_Qvddrqg$z2ugf!#fSSv2-Wh?IlOD zraS3fXEf*&Qz^5DY(u5G!1UqVN9Qh>Hheyf#&?n1S9>`{0KpzmmD?7We=u+xSIHOU zw)x~(XiR!Jg;U$7kiM(j)3n1>)H_fKsys!M!3Al7>wQ>EQ}G7anjX^#h8McNi!j3raC-zq&! z!Sf+raLJ41JW?5yF3PB`L@iXJY%C1Z?b#}e))^AUh=etigjLmq1WuZ1`g26=Sv^6Ulzi*sGO#`*oRHmO?MSEwRF=m}hxlzA<@D_~RD5W1<%?|76X+L?icegPG z0LTC5d~xPzitjJi4%Cb-kTfN!4fmsrMjtgfe34*)zXzU`gyrBmA`ubZ$t6pQoefoWIsnw5Yc_vgTLiVoB^VN0ISx^miO7FtBTx_~We%6NFR z8e4ohX6}=vH|>paBBz|zK?+Tl&a|hDKy7p8o<+_<+R?0Zn&65HTmPBTgS6$?)dTc8 zNZXoC+fTL1YkgVAH0obo>u(y6hO!SSFdX;O#`4Wsp7gG-A>`Pq^9~eH_Yo)$E^~oII*x;aNHADIKsh z7C#PvY-Kf!VuH10yh9a|hDQ6}ZD9Rg+8(T}9eBH7(xPqPR>>kNzIh+HSJ2kdblYc4 zRR_op5$%hns92a@;YQ$JG_`ggod7*gb;ZORp3kcz-*R%&(-qFF-vjole=gZ0M60K; z5N#E9`aX>c(e7pQc2l{ES~ur?=0(gT zm5tqv%`qHp1n(xJqSlO+GN(HgwG9I9nH3?oK=?1%iX2`4Xr2^P#$qs@>^yomMOV^x zW7T%i{z}@$IzN#VK51a?u~+?FDjllr!a`ChB~CgvT6w9Z zmE56}Hur{F+ScuKyBeC!DR>m=9!hDuw$lG2?5YEz%DzAI9`W9cC<;hQm!L?gAO_fL zVj(uVy1MJyYpk(?tr%DwJJ)s<*FfyKmHhI?md0aJvYyL z#j7ZelGR@nR7EMTTtCOi`uPj8=Kg|gPn1H5TV8_foVA>+%Q-YQ(#TV-)9-fMsl+4F+>;c zM4OfgM&nN~M(5lGqYdtYkqb&8|3nwTsLnFZ=*V%w==PtqxRz2j_~TMAs=^sPSdx!X zI`dW!gu@*BDoh0QL}LQF-*IxRtt84@mzs;`eJwmj3u`NtCEW?SQCm4H6<L|6P zT1V+a9i^?*eG&C(h?w(hU8Rm>y@)o~RqmVnF2uO8C`u`52RUngmd&07WY*=Pd|IH@ zgrmj_HGI^$2l&h;9N-uLOpIb8tH&Z**g&yYnsT`53z!`CRI{EEAsskGgX<~Xq?_~U zZaoNXmHwSWryDBwCBq!L-3W{W-*LvhIS?MJ z!3s+%DCCTf&jI6sye@rqMv2DC4?3G3vxNlXcq8S^qV91RV8_g+d2vd#^oZz6oDybz za27Co7sGtVel?L}6BLbMMSXZtccPZ8s17UY%ZthorLiJ~74_pq&(i1uD>_Oj`i&Q@ zOC!6cN?6eetf)UP>Xl|rZCzAP>fcmxw2o#K19(M18qH~{RI+`OhN7Ol==Mx=2oz5A zps7;TbuNCTSX_B6o8?_9HtU2LnFSt67~2dps2OS0vzZd6CV>QYFr8-7s%DD2Y6ld= zZ(SOlX{I!hme0)aX|8xklIwJ;9Sr0Swt#N*BYq`#6`+@r*p#-ix$`%egp$ z&&kPDz723j8F9Ls;Or4N;~X_UR-8|d$uyJU_!w~vCOG2+PNb8DBXJz-$@Cw?Iq)b~ zwl!PwWQ!9x4|8$Yj3meYuSwLREpR#;aq64ku)8Ykco-LLOxtG?ZDu&;Mw};`^Y|Qr zFu>W08!IMudp42m+X3g#hqXZivy-fFRS*A#?e^H+S zibxeGeqb$|FLb&MrI0ERe_N(2*_Oc$z7XP@TmjqLbgHwmRf_+M#&v=0ZAO`kT!DKd zgjIcG*Dz`iZV77F@W0>%{A0Id>V3zuu6%yAOxy2RR+Yoo%Jlh;@Of%1_XGIhO+6sicY<(Ke>-jM&tCH(fQDXE)MQ>vUyhq@`{ z<%7dDX+2jmX?I^2(r(26g3>OzE>qGyNV{o;Cavu%P1;qfl)Z+9${*dZHl+DQcy8>+6LMcpB&>mPK#yHd_|%}~}2_FggV1~EKY zV8ay({S|)w=P+1+B@2WNg`crxfs{X)_aG~pvA|!7MJ({cEyD4MCGA6A6vm5uMA1_e z8FG^a98qSl*5i}=IFbb{S&7B_%XnT}ZnA*cYf`q)4Shj%N8O6`vAYFdv zpBbJ#6{HK8FOiMu0`iQ-GVOY6=~A*f)=mZT1BU=k!@ealg}k$jk#8-MGg6=8 zkt*``g))1Vm6kG4aiLI<9j&mx6pLqA#e`ej!Y33=+AUB5+Q5gGApq- zmL*f;&&b5-4W0{MArp1>T$y%!#*>sz&SAcXYh`oEV<2WAd-|GFzkiWD(zK7}25V*) zbc2pqfrMK$!>7>=n)0HWq9~smM54@K9f;3dH}GR67LKP(-N0tHOdZ}>c2?*2F?ECF zS(+PMovpcnCz-gxhBVC$IvoGT4H_KR+~5{zZt!_#t{YsQVd@6WXB2b;{ZVe~BPMR} z2|vOuo{6G-Zg3lA2J3V9%yom)ti)pP5#a_MXUen=DJ1f!>3MFTWe^nqRrtbx(==ab z0?D{9?4N4t3*D#Y^97$nOqi1R6u#idf9u6>%@@q^%V7QXV4g3$#&?6o-GiDhOaM>r z3ma24UwANu`N9;spNx&Q%c*2J0#ntiT`6FMQccS2NG~YGO1zl(pPz5CXWWqx1Hz&eh)9TrvS~YA3;+j6YT6{ zVh&?6L5#TRzhk$y%v!+^L^8p|{0Q3VMxsDS$we5z5JWOTo7VX;v}A%LfiVIYY#1;e zIFx6YBALL;6cHI8jnGutPgql}gmv(s$BwjTjN)PIs?jjo>{2KCcMJ^hb0-QNt5lFL zjF)MSY~|uuqoYZyrHqT?*#yfwnp1BJD-U(}cs2+g#XxsUv2vDI;>%~nDjZK8QamE2 z0aZ&V7@lZivqI#0&;j-E>~55vqBz<9zO#V&BRW#rIEZ%$?`#>1QJy6f$YJATs$u~d z7cuNy&&riiPSj+)K9DwoOqy$~FLFEvq1k zQ!#eMZ`yZpTUrGa+i<*Ug!zcEeV@0Z>nRW}shtpxXB9jhg&1SZDsXJwwh$3_w$(&T z18|B(_9Y>rwEGerpQto_8 z*hGp;ptDmkzs-y{r%FYw%2pj8&jb|71*x?l-I4s#eP|)1ODyE({?3-vf0_~~m2OFk zrzw@3o^e{=Bo|a|NpBd~>Ui>)u2c?U9CGYWfpEU$f&>p1x=y25OD?F~!kjXSLB=9X z22IAg^&sQ87x^qWw7HP6uJ5w?1&H>Ev<4&&dH@V=F5@|ZYt z>S1S9UOhHQ^fuE3gXlr@DpL^&2J+P( zUydD!S(1a*aqpJ=q|kWP*oLJTM4F5vyX!+vM=KY3d_!}(>WG{C5Bk%)c}jO_aDQ64 zL-C=Ze=1Ire*>aF;iB*B)7km>f3!a77U2J!`V_JNGmO&psm}r>N}kqNrW?*yZVq35 zU?wr%HcrC6K#qN4J-V|1$0Qj)kYS_oc#aYvso|N#XQbh`{C2WEv$)P`$5a}$Qp~Y;n zv?jBOJyl)?rwpqp3^TX8W*EyFg&5}N8gylu;vc!Ex`|}Qyn_U9e#ZS^p=PbsAfe?Q zOaVe}-hq7XmjV{k5)W$rLLZmIDX2TQnzc#hL8lmyGG-oloTWYom6E<5^JQ^K|5_~M z!9rmVf_RGcBP?TZ6y9kV`}fV|C%JN-Ju#~B4205EOqHvMLl|JE50dF} ztX1ox#ZX%?1);%@Of^U9eMsTyFTwm;vOM{OrpeFvvLz6jEQ>a$uYtHWefCGpY=W#D z+ELCpQud?I;;#GT@+(OV9tRhTYE_vTK z0istp4-l?Wc`ZIrI}slO+p+i%K-V`b_R^(rdb|U^zcQShcH;l<;nZXc{?`kqq%DXK zSHeU$sNR})1NV}ljH@v`9D#@D*xQ6rSO&sF*HCJcp}4qAo~${1lQ7swBqLM+Vs0{m zJh+vq!?SDz`ALEnBC58AF(SAz$^peRAE9AOW;EC$o`ncU>NZyimtVDnK`JtXl>L7U zQpTK$L|V0ySH#QIEfUwS&y=N(JCs^dg?MI>7%97qFvf;5!WbjU2xBxW!;E216L%so z+zApEsozWs3|j&VF^N+UmEMKG(3@8l%t=_}%L4;pUd-1^t%G1Ek&{pj2)Q{4^2ny9 zcFIdic+*4#hC0pi0)v*7P$B@Nj9Cd;nIbS;#?+Dbos`lL))*LOXL6q|(S`jh5E#OA zrK!nY#a-SLU@nppCN`$O_aZ>t^n-|6QiAN&I4?jnklJmf z8*v2Zr|*BD`$w?<`QF2vYSgmwl2Y8M;!$OU)YyZzABAUKbE5}GaouJ~U8;Oc@t2pm zo70%uR_;#GZh3^w*d$makj`+Y1;-fSI&}XSBkYD<@;Z!gDXMs!5q6{P#~EQ)dT^W( zu1%Fspr0-)MO{u{Z@KyJxu*!xwV8_I)JjV`aLk`}P^At3?ACy2W-Wff+Zwl@K>zF#+HpzoaA?ltLxf_tw^FRP zFmwSe4WW|_C0#{OlE)yw>Gz3E=gpIcn^6rI%bgLette zf}CzBt*RdjXOw2}cBlzi(jl(NTVtvja4<2-rwnAkS!tk{R6S&@nZQ4($%gCJy~2IG;nOVTu>q&B|S;Fn_kEF?SS~ zqW(+*k(^;?X-@0!DCM0JfLDlD|F%+ib)A*@z4{OM;A~+| zPWN!FA<0~L_Ld;w**<{qya&%dbq}8XOlC$Ze^WB2d-vek?>bPi`|#|!CBHNmd-OFckSszO-Jg{)rzRTOCXAXV6t2oLBz^d0H zxb|d!=CfM|3)h}LSh#li!Q8c_17B&&V3`SrZs(`#u$L>eK=G!YeG`vwVZsZ)x#cMAb6w ztrYtD3UiWG?6`fQ$bDKxD3Ld?RG$ znJd|X(3vK3zRZzchp=xj80qa03FM*{dqa+eoJYO?o_{in3l;DH>hTUXpN}&@mP8^_SnOWWx_3$=`xqyoa-N@E#4?8Wn2xWx zpXs(xlUJD9&2-eftUkVQFWd1#v>4A~3IBi_cl}<1x5#3N(<*ru%ajj_mE5y|5Fjs$ z#gT!FESCSC=TpiNEel6_g7pelYUc|;iZqrv9I})?Mu4PhAX29n+{2}lFKFgRC0@Gl zjGlc|T$+8ZSKydp{d3S-%I}%ad&ab%!CMJAE(%T^arih3yS!k&y&&QMAYz2+`i$Cq zQc6c8nbbczhkmL(Cx*Q&VI>G_X$tmvU-i$Z*Jq5wCqJP_R<%$2tn`rD@Uq&RMICajF* zIyu(oIu-j#7y}a_u`WOKgv`GxG3`g?$8xOBu@VHSurzdGS*q539h|1-#yZuBp#89N=|Taj33Zi|f)}_bJg_EgKMh4;Ird_upkKjF{q|(RR@b+7bUyBP*!W@D6Be z*ZgrEtZ;Qw?`Yh*sEphCwu0Lv7>jdzr*LlF5;Sh!fajY0sR(X6Z__~qnq15VH|?(f zEuo1ME}u<}g(lCf812Y5f_6z*h0{(#F*JFF-8Zhu+H8$>St~)ib~aVkLz51-KwG=; z&(nqqYnUasG;RYe8MnQy1h<8-6X&L)7~BS9UckAP2Rzqg3!W6tay(Aoq>Tn>^6&<@ zX}A8b3r&JFEeiE;sv)2uoLIzf?{Yg5wilWNgcp*Zbu}+?e;ZVTvWyVf80*X zz5jnDA2&0T`~OT1bXal!-{RFnKS?I1VrmC@>s9RJu@`L92;?7G9{~tKNHn&rJXT zfQh@B2}OTdAe(>A_d?)lO#6<;2Z_ot4IOB z>M<_`Koo|~L?IU<;XH)UQUK276QXyX#ipOOUWlG*;Os4 z_67-TD5@tY(^Yj>&4Gew|LO$Yc2%25X(uuwN~s=_T;!Ncb>eYKOvh-tyNaiA;ISC) zYAMO(7~OJL!z71eWb1+Q1+OW_0~oW8QYQ~skB-uGR_^wi_MlvLJSx+S1!)A7kM~YRvhPHE)KhFgtv1X zB42Od%rN2%F~QL$y|eIE4wH-K!w%7Ch7)1LaWTPJWWo6yvCk)4sY7&+;hgsrviUvS z{ya~%ZUSde$$Wfv;+ETh*2voX&4|>-1gT)g0rZ1H?SOQVA=w#`K0V9hR50a0;z(Z( zU{r1cq)i^V;>|Td+EXCs0NY#OG#^NB8B%i%$@gKj2~xoX0(Nl+ZFGPR`m#2{;!%5p z%F{gQ90j9U&iQP2V?X)&u{JW|3^BopMB`%`oq(%kCJ6+?_S0yF6QSYwJ#;a_VVnNI zQF4X9}>%D+}~mYP$Zj_CXU={gF>_40yDey zwU|CWq?CMXk34-8uX3tip$ztD3>^Cj2E{Z6(R&JE5Vwahc)v?9@HJ&HJQxfb=nByQg4s^vWb z8W+MR5`~OUY9qm?F{EUChU_A%vf#7xyL^BFKH2OMoPtmIF2N_RX(4Z^)+mX<(R`5vdQ-~bBQOM*t(p%Gao2KtJp>O7Q#Q+Ag>-ju= zw^8E|wSs%!LfCkqkg*xmM^iUbQ#X?;2C9LQF}mDW zFq*PeFxtLV*foQvbQS9OK*{eY{kTy1?TUzyYuC10g4rtdNW3S*Sl(?@cl@*5VBFxRlZZWe}pnNo-xW)p=R z2`O3wZ_*Urq$#}dyK(>pa=gUTfVGIzZ6km%WX)z{!J-X(EGU0)!*^x4JekXo z7sivBylh~iy5Xvqbm(vTHC&B$D$PmYU1u1{SpLg4Odr5u#NV_hT(y(dZeTk$w)AwI zWaHfI3L9V9wvBk9Xf4y~Xw^kJW=$axYKT+sbS9RdY4S#g8 zY=l6X`S>?}U(L{c>am*Y9-Jj+^)JnYj^k%M!;A35I=`^r{4>jN9%VU?<}kpd3H&Lv z$@}6k!@#<*B`7`d%`C^kEZ5VC^4J>*C?ZdfE$46h7-*TEyeeZITV<%}crdA2juN9( zd#COw%C!Kus*wG_dYT-idP{3A(#9yYiFpGMp`%A6H}b2b7MGmXQ&c6jqU5oj`c_gy zrB;_{StT_rFRE8Sf~Ju9jnVHfy&{v8DVOfku- z3UuLv4f>bKtYj8~M(MkT_Ec2uhaYDQK{Mvjc{B{{)w56%PXU%o!ZkCRlkiw$A}gBq z(Fj4|Gdt4xMu^q_0!Ne0^uc7ov zwQR9A5c5e?W>pSedo?|cR9$@9Vaq7rE+VszJK2 zlDRswC0#VUNUokG z@2LY<((x+r_V%agWfirZv@nYrSH-$?#tQ0LRSl8CmQ(M`YOrnvo#w^M>3LQ4zQ?c2 zAp-mgFRjCS;Xx^OD~n0|#geJZ&|yy2R(+(1ljIzuew6~2k#nr-tTy;lU?om(Q|Sxiv?^XgIxqDER;Cl$VHS>6M+6lGW=_)b~ z-%u?pjhsch8-n`^qH7J+`)L(A`CgFyZ{v{3Tpjx~1nkJC&GE(6=xowm-m7X?JpGfxG=t^@aW;K;QHCM|AEt&#^ z{7v&E)ud^}X&_q1Kb5skl)5!T^wdG*83XgDw@?$M${9?Kwbq0(<6wQYsUV;d=C9Viv64@!Ge zD7iHP$ioTLX0dvVI=4|hrLG%kY#S)hY67ilqn4LmkE8U_YLIRM6=@4Xt;bV9TlK1W z)$!;ip>aUI#_1c?5|M-itI-nW)j;5?c@Jky-PZQM(^6*hI6?4{?l$MXA>mAW9_0~uf=d6EC z?>a&1^J~cYM@W5W1XcbK+hU!Pg+DDFA^hoZvhb&c$%0#9{*y-!<8D9X1wA4lMvx9c1j{o4I>S{)0q6uRl=q^A`(PKYv9@O;u~@p8?dN7n;Os z01fG-UXWh)r!NEGJ`Vk4OHTHJ?`Xf&3MJqvL(l@&Q?WAxHjx zQ1&;Ie!zlpN|o0AM2K2ESM}7*F$qz<=9q-2pZbarHKC7D{@v1mK0@?4y@lviXNeG1 zzPFINRWBy>5o%aZua|1|5~BawQ;2SpmI2Wbm*%8V^Fa`MVhw5m;(LO zgRT#P?h}5c_k-a6J%5GSJ(HOGPwP%Ee~0=Nrc;L`bgkNh)hyq@?!xSGd2!2V_C~*m zy1EoHKy{%x$?9@yw+#la_zN`{fuW?$&jKKZLXKLxOF5~OIs%OlGYl~QcB96_Ac#XZ z>OKsOVAhR>jY1>%ccnIesE2%xPhsI>1|QWh-PE>I*}ItFVSERE=TB5?xEdW0*G04l z8~?OHbJdm?nG(~7oFRKuk^Eosjdgw$5#Itesz(8lBE^Whm7 zh9UcnmV#vhlRZ7Z?7EiB=P&p^j@LwHFpD^h(ZPypb#>`_AE?u_xZaB9Pf^!O?^^Iy zkzH^+SfvDp>)k_XN-Co9Mx5y-e^#BOOU;B^?P(_3;z3iII}L7?G9;f{4H7&1abjnd zyVbC!G-TT^(Z@MxB3zeuos02f#1CTp*k6x^&sCkxAN+v+{>4u3tLr18((}3MUALYn z6>FYvoorfNydxb>Hl(f?MdXEbWb*5d0U%@r`ti6reAHIg*4A|6?iJUQzAk{AHEZ)> zTkcj%rYSd6U%5yRiH7&corRdy5=eaXV6)2H(Zjzc8_AzY%YUW1OQ6oI8uZH&H9`u* zRdLp92GkIv$DHb7^!TH?ar9UcOED)@f8Eb{gNIxxR%?OwF`ATO5SO~~L0o!UjT$V+ z*ydbKCWKW@HMts9TLHiJuS#uJV5C0YMWS-QVg8lA5A(0xRd5Be2nO=|m1*8eR8QzE z(V`+4$TL=Y|GhqlV!(b&nJ!25;$?NOJs3JuAmYVzE;~?<5VbC?-s99oU5FlVo3! z%C1MauUr8~VK-G@DZ5=p&-Ki8erZpm(jjr%^0Yi%trYMQXT3~flepTjd_IX;Tpb)i zD~GF5lA)~#tVNSB5yv~zcw`+DE+XsdFk$w*ks@763!}9gVcl(^bYvr@f6GIKs~-!Y zt()+BONfX>H_Fkn&FUd3Ie~g?fq4DO(UdJX7%UMiMzr{HVniDpEJn2U!Nw77L|HMS z`L!?^(VWX_E>K9osai&plRNR9W~>tiQ}0FUE=t&@mXIz6(XZPOtUm?{IJ{<~HAcSG z+Yo2}38W6&p^sG{4cX3S6{STNbtp~UcOdd?Z;~(a98ob^CGYF)l{cXM6+kU^!j#qh zwKYV5wubQ6))4-JYhiP~rGDBPqLG;MMf>HhA$$c=?i!+@$(&Dm=R@`P!tY&tWNKJk z^LyDGznJgH0XPli;q^p47G7a+IKImsw)232PU4oM{?I3$xZOi=SXgvBbCw|sT9pSf<`*#s>w;O_F+Wr zS(CDls8?LZYQ=oz!v>t(n6u7>&L35;NY!f6`eP73)P>F*!&2_7lZZJbT|~^O`Sd_;bm-r94JD&E@O!67LjrPvRX{%UcZQ-!@EI&O^;p% zd3uwwzLIS?MPJ4EV`boLOf^U^a1y3Zb$6FehtlwCm@VvAVbklXll(wIs_S+2yp*Mg z@#>A0HeSub4TKIqA?Dw`p=P;Gv=U^#8Lu{C+lfchmcfK*ijNBVUG&c_>`2}YChKh6 zPdJAyrjTsR{P46OuHZ%sq2PIQq2Qo0nu2X`KZ$j)!W0}X3kAJ{gn|xOO=8iE>m{ZN z-YP8=Jg39szV1T7cV;q8yo+c*H-I+Yg<+w|I^vj5Rz=n%Oe$?n8+HKkw>itj+mcu?v)aOSu94_`G%~g@8^;t5bhE|wr z_~cDB%-vY2SZ`PVL@|#saNhTl$h|CVJn#edZLWT#r;6TL{rW*j5+^3aOFSt=)xYAa zPATmJmDTGpcD$z_^!g)wCL%9=FHve!D0}#wL_1ESHPhcwM_avvbm=Xvd5*vo?@qH` zK;*h_Y1<2|Men?kXlg^XjO_hZ$Sl|SPojBqRX?4piO8qk7)5^luMj!S#Z=@8{|b@U zz0N0cQ)d&AYrm!&4iNd5S2WpQ?m2np+<{}6)xUP|;VQ9Umg!SMypQhYIY9-jiyaa8`*1Xhbme* zpPY(KJL9HP$EW1^LG@ED?0}0s{{*p3)6hn5$J;^v@I<0^$(Tx)dP1MwAo1Q3H0dKG z-u##*eM0ZQ@`zS_LWJ7)h>||z_x*=7?=ya%en>@~^$zme2NKO+i8zp7#K-}fY1TosM*?|pz>#^p&neA#^&&brlF>k)JB2?Y!55xeh7 zyvzSsg!c%!PVOYdPvtSj8nkIQU?o@Ibi$^ z;c|no3&FKsf)rBp63p_hiw+WXjdhT-vhOvCVpgDU{BRZYSD;az>GCGC`^lye)~$E1 zQ2QciIKvgK;ntf;8JN-9>f|UuZ?V?9OF@_ElC?gn%$|$iYJ)>2<4&z{JFw0ru27_U z`72J@;AkfMfpXigI#L>A=;0fJc!k@GV(20RF9_Fk`64j#T=TY6;sS*f2TXMQgx*hn{82}#si26dil)}>vpfpOcos6v+piv_ns$0mY3xVpYKP|e z{S1w_(^o8Qll6^4J#f}wR7mXW%*iro9y#c}j$C5YK)(AduYaebj+5|k)>>|4Ut5pvRD7G}Z+TV{`OdJ&u{^VQd&Wsx?f&t8W`-?Mu^!S$ae? z%;CeLVYmXI}K(mD&q~e}% zwS)r_4gDEy^2QTwa_K2KctMw=`zgvxUtaFCPYVr8_iLfy07_VBkh?wBWjwg77R7X6 zpD=J?v7y&q9vfuaM>?u}RsAAa?WJg6$Pu)MZink_=@(!9KFMwm1^Z#diQJvrRD6&B zI^K&Op%11-e)=r8tX-mxpMQw03}b+Jvr{zTtb42pgQ>lWvETn1)(aDNiUDZj4murx zh|%({FkFZTwh04qsokx#{+V?9E?Eac^K;v&T%f+3+!vemJScA5u4#TAC0z4CcQno2 z+Ti@rI8P8k%NX8=XOdh-e?jV&Nmt82;fY)Mz$JxblUrH+SIK89xdlV#x@?yoLx+eT z^3$8#JTVxqJdKa5J7ZxKqbyiri;d7FjO`!@-tyI%iR>7t=f`qg#%5(=gN z*+}kTPbqc*TT{#ytj1~z%}Rb7VX7**1_4bv(L+jha5D$%wVd?#!W$b9#S zP4rE6jMt^-s?D3a>1Ec`U!~gV^trs=S^i}`#=chQW<@ViOa)X(>nWxpD*V=oQCcdt zPISl$e`y`^>Ulzk{Fk~$!I3*(pb1gXEPgGmjnaq7uUBgmjqtVFin#OD(+QWr5wy6XvujZ-e-JYxxKwSF*niX5cM?IRKVPPw6%%ZuKA^wV$ zG`9*``}hihSXZ24^*T+rs_4JUKjI*O&77pM%jr{94E4AM>|IU&)x~|efakM8=JmOA z`P$2WoUEHvD|P@=?M(D19-S2GxZ&-R9Gry7~$MX7fNS|B&5ozDX<SO&O&!&SB=7^oHrK0N8SNTju<#kOn{`Ez zSdLBgL|jhB9z;k;-X27cG@991A01#e^IO%^zEypusp>mSRiE)cRG(q0`gX3mymGok z4fdjiPE4aMO)*4lohC;1>r=(Zo|LJL?4O(IPs#(QipiL|09Ri5f`xC{RNtCWqy^LT z1m%uAD*vOi0aTc~79{Tr#mWGa%N zcQ$W530=9$4|*Tz>n3J_Ptt!A#em{8QOKq({C94osjX1GDTOAtMsK`4K~#$qLwV@m zIy%!qEt}Q`17^Pobh-@&$T{ONN-xF$`FC5y6{o-HLR&bfVLZKStB(p;G*-xNvPJi0 zoQdrG8Gls*$5BE%2%fT@_P5i&@g2{w*m8lzm{cBPj9c!1jnPDA=9HVP(^1X>4B|l@ zAXD8jJUXhsj?R@k*%sUKk%{_rIbk&Bj7P8*89GW-Mv7Iw$65;RsJ|jbjS_Rq#E}wR zII3pQ)lSgo)f)QJ3Htmqg4}=9hXy1i13CXr(Tx$`=%XJY^y$7z=<_qf`i?%6l0;n` z*C%wPi9Tw8R#%tyE3A&chet|_AR3_KSTOWL#ffv`mlgFezuTrhX2>leA2Tm-m61Q`b_jvlRgv0@S%sv zOvczQ?FN%?cL?#rU@0vDX72I3sb0kwbG@_xq5LjUY%6r7GJ}LhSqtfp9?MTepT=uIzu<$y)Mfk6It`qDq2rfh3C zu)pSa^LRVCjb~W7?IflXM*aTkM;H3&&-%{pr|HFfa~$_g37`D>$j-i^4hPexv7y&- z4n6COsF%=JTiXongT8{bjpQ+#IvOw$Yx|pijTF^~d!Cfoo4oqN6JO1uFa4R%^&Ol?F0nI&E|I^A*siwiF6tvG zeI&Ns>JHYYd)3V?W41|xRdPq;UcU(75Sl*{kGxa6u=}^5YeL6s)Gxgr&JWO9on%$M6hUs10XC2V-YhaKV zA|K7M?+0F)z07*!0#;&I+)DYNckse}v>p~j>Mz2RW+;UgvZt-ELY3kY(gD-io~#LYip=0bXh)?l!yAlwuN z*N?*u&CdXNl#GFbmn8#nNI}GShWHyttnm}tMDNnFdO?^{45mMa(dQ?w<+OAY#MOd` zcLAL_fFqviLb~C47q_f^1vFg4UTrJHE@!9e7m(uE-m;|TTgBjBntLs%(P{lSFOqeH`R z9WcqPw*~ywzH`Qg!+IY%u^SqiCwWrffZ?DauChvVKi6I!xF9 zqLT+UL5!Ly*;B|Sy{&7)Akmq!p@`O*o~`Ab>G4|DncStLYgs=kFI|nJZZq|bq_lw< zTW9JI>Wa5%1mYt(@wyEqv#oKoH%af7QEI8ayF?-5^-6~O9DQwF@qYCHH;Th0{$PZo z;Rp1~slzF~LS66ci)O^l)6bBt-_!!^XbyY3X2z?9`oARWN;Ob6hL`zQr!`CTHHts3 zj-s)==u)haBxC)rqJ~sL+aewgqV|_0cSpO9Ohf$C)LT1Khj%cpo=I_Qv5J~JQ%8F* zNnSK%t-ge`Fp8G0)z_6eCT2WatIyO~hgAfl@tl!+1=_eyU&H!N1r(+5qSNKmHt8KD z--;BwMPJ&*vc0h7`#f9DoyM5Wo<<$lBMJ?kCQO-9fo^WlyHeIBeVA0I9R>fb?@Pa@ z>yJubBLt~iZN4G(8^T_Secx0;s#&Ta)j5KZY9x8I$pDpuI_rC(5N{$E?`#McFCa|N zR9OZJ;--ms3nnp|^Ck(J!zMAB!79H`ol<@>-tKOc5*I4+q|}87ig)6_p*Rq?^;7Ko zrwEGiDfuXNEhmKaj%UIy(^1w|y@&O~GLU!@m-s?Z#+R-7GnUvsL)m0r_Ra?loTXCn zXZlhZUv}%8nOl$ZMC}w_+utLj-2r_a**d}vWvRT(vlQzXT?|F`l|b24Ubddn4`VQZ zhrsFX>xrt2frCysuRml}besyXX@Z<0WwgJpFQXQ3WQM}&yf8XPXSQ{m@b2Je`YO7N zxA*m`W$`QTb$BK^2RZZ(AbX4;X{xmyHl{LX@=D!vI`dZVC^vqA9gTB(yDjhZEpG!a@%s~yjV!`+wN&}Pbu_^-hmc= z(7%*6JfW!{^`)h0B{Ft=)JI7r{=tiQGG}r8tOvl)&cnBp)JGXWZKShpg{sV7IaKZY zI@}4K^?;^*)pwEb4xSHR^-<0X?y<%dqdU9Hq>aMw?(1m7Af1=fO>0(RFwK zjqcSc+1^l6smm|!H>^&t^oCOOBG6J#Cvx=G(!0BqY;Lfl7dd(>>1`}6)){;2HfO4`d)E-_LsbE{hr?aI12{hpw@ib}7I1$GIO-*X?MMdZ&%xp}Fa@I# z$Til;VW9%H!3-{d!+pvYY*|t+;67FnY!f-$mD_+T&Eb{{IEpccO_p&eKk8*}u&^G* zfC4#C6At7e#c5PLIF)h?AczBez9qP=6x=>l7TnA@+|_KrmEmwJ1RPbf0Jr5D6sjTn zXa-f5Lp9Z?y%*G)XmEQtTnK{;=5Swb3a%cw{S6&IM+>ftINY^cfGfx0Rth+(Y6-3@ zG^ivFHHJZjaHwV)wcdhSQw=VW!-X=qP!9L?hTu9&zk0^I%64BIEQMk(RnE7G!t;N#|jM|#=s&tSk869&IXfNDET!?kYh6gWQ*Sb zTzL++T7!!eaH}-9JsfTvgR8*dT4-?WJ#?VgT)@$81#H6^SVazIrh$zUU^%$R!a_n4 zCwCJYwwaL}Zp}3zUb=u=t-&R7xbX}wio?YVI7(GvlNKDxk9Mo@vj_%Mi391b(HYg? zBAIDaJUEqG*8mXB0oGm>+yWFX{F;hXyo@2B#3VpGs)vq@^b!`QT3n@JdV{09=9-Q_ z@xp1EB%)$&dQ)IU9u@s7il`{knbe9bDn`j(60mBRM^YDq!9hB3mHsdoyq(tQ@{@?J zz)3__plt?2bl^L1zzew&bogkid-Md7voI_u-z={)`>mMvE@bM{%-oKK9+zxnRi~q3 zCoDr~-7vfk>`M`Ywd0{nCh=XQjUdOt;|h6M8)n&7y$n-0a~%x;M0WjUI%#caB-vl4 zQbi5nrIIc(be2nHyq!f;)NvUT5&eX_BFcbm2UGDQ57I+%J;1jiH`= z>$917_~)+Alxky$@f!MBvvS=O8;|7Yyzsj+Hd5&HK&i2rGn5`C9XZks2 zwtMHPR&hgZY1w(2R2*irL1{s={R$)#vo-sa-)#SsWBT1LC(L%RoY8DeIiG%KsY3~v zE$(bCWxP{3&upvCX=Y3PsHvch*B+d#8z-AX2Vk>V79-5 zh1ojT!faj6P!C&}ZT(qcwq75E*>sI)ij5)GtH=k9<#()>ltrEFV6}L#%Z%gNcxN%I z^*=+K?F@LF_8IzYX9#y#d|K#cnuhfyOGiDURWGNz?*y0J{KntP@cz=L4C`{P5+1p| z*xOLr--Y$sP_snz+ApVsdN_`mV1{C-w^fV){s3-h;ZEscvg&^uToGs)#yS&>NP&?p zFfIp~U`%5e;T)rb35K@xS48|BuVJh$X;A%ZFpLO}VPk@Uge@jjh`^}CF}x}JXA2AK zHvmHW3N`+*${j?$ArAw%jEgH^E{`(+HG0*B~(k`fujyg(kmtm9c#MPB87lGd}T zhFX;stBT}R=TFdAR`s=Xo^IA#Poh4G*N;9y4INRxzO z)gwHVlm$#C@}1-K($QcqMV}-qCqoUNbtiyYjaLmhp4-SG4^o?OLYSTmvJQic;gEJF zkgQjWR7v|DC+Gr$%tm!)EQkE-SRT!E28nPE|FS$mm7M|kJA~PAEOGG|K)m%Yj0E4W8cMfKnEbdLxhrX!colgyxqr_^3gzc&BgB zf|A?}cGBu2lwHbDvc%Oxc_@h(G%6h-#m!J%l5kDP%@8IP9m(MX-+;78BCT0$G#jWe zqfLSn_!Ph&b(nTB{07en*JGwi)-?qFEx;M^|7G~T9KYDX{QP?zV)%aa!QHSu(iK~B zEOue*dO{Y9&&m4|VKKxmH&3yzV|s9<-alKV%eoY5?GTMmM6y=46Gdc69=fhr=fu~<^ZkrG(GB z%7RLc{qViC#>dcDs<4+n`xv52&fYC(*X6zmxdHRv9%|@|Y0~uvLdXzpJp0v^3;DAv z7m^nG8Z71dt~$Cs-`tN*`5J7b*Sjd&*Wl>$#znLiVytMb(_n)IlbAxicF72f50fw@TVs`3SPIVbxAH>Pe5Z1uc zJVjRs5nZ9WQ-Mxq0aUmfBm8<{esBnDTd`6|w|*3k`TT$YCYQq@QR4tW7zzrSKN}Jn2jK2F4>ME9N!~Es~?L7E9i1GOA9^ zWMgFwYjegRfR4MA);1MgY<_oI(0? zNHaj@*f-rmm&+m0savRYh#|_U=O)H!qR%3rnT*4SH`9<1td*W@($TNIRbQtm*F|^8 z4SN2?+1kLjxNhcNOIsy`@42bP=~alKy6kk_jFP`t#8SvYgMmhb8l0qMn`nNh!C5`J z5$q6K0w}q*;u_KWnxGn>g;K?aQMJV9_(P!=)l+H~9?0>se|t721#ljZi;+#3p`x_x zI{gr4C@-D5N=adcOHRLUC{&NLe^c*pLltS^6 zzht2?64GgKgu$g`%iOx^tgald^G~NWtj;gDt~jd;;dO7<({)z&UlEOFHaKI+z-S&^ zPuAs8cObWJJ*x}jbu-peRaQ4Wx9$&C7tZT`Tu;4NU8mf-hO91v*M+U8MW~ZPi_p&U zhH^e{)}zXYS6x|Gs2#)7$)n_4Vqj*4u6U~DYgLlyni_2grm+zQYqF_mF!&q(zN`N#Q~-Is5a8qmAwbo? zgaE@Yd@De;046{ce11m&FFe7339yw3P%RPyq=75O3MRmiwS@|B{x2cGg7ZRvVrzu} zad?_ZK@0TuX9D!Z=XV5X<}U^C@puw6#1z3h-F*Jd$7d5G1aF()H z3;v$xzU4pKi}4?c&+qW><|X*2R>G_#68tb3WvolBE|m3vHG(x>4pR}VH>?t@U!VDw zbtON>Iuf7XVO`3Pv99f-f)!>SjO+82g>tR4T3EdJ8Da4eD+SyAm<1KI_;hc^b}Bx< z!*+nT(D->}XnYk6F*GyYyH^y-+i{iPedn~`-E4*6J>&GZyeIlF-V^Zo9p1fs1n*K+ z4UV>Jz%bL3^G;pPBJ!OTRJW?3QOQd9#bzUMd|%50JtfCJZ3V5ZitzR0DSA>B-R}G{ zvaDtZk+z(s$Z7@;Y2|WiUCj_G)moM@shXjjEQOq)UDXYxB;TcUqdFEudlysj8is+= zful5`2KFSv7Soh^1~+~)(zV}FuFMgzLgzh!f8iE6U+}~J%NIJ+&|ph1>KQDhJ6|$v zY8sB|q`rsgdMyyiUciWix_Tb|7XPnL41dk1JbXFzlNsI2vGB?mUE8o&C;1(u4e_8d zW1fj97Y=+&sv|aWQtUf?$Rj1y{6G-JKjT7O!yKJ-V;}XY2TJwl2ze&%`<93!_GnV< zOTNn^B7b_T$>Ut#FhwV|oK0&Q7$T5^Lbn?jl8QXp&BmlrK1r|#O|5}BL_E>yc<4*0 zL50Fbg^50htFTwl8%DqM8%EzrD*A>NHZ}NW6mMkMrjwF)(#gh<@5Ky;?>7Bp8C%zo zo!`Z#{k~V6hWQHoqL_mg_Vh;|^CB4o;+WCOZx`*ibea(5?zV5q^nSs}^n4*i zY4#!mq8!jkkG6_dYnhrS#jLI0qWe5!=w8o+6ju0UB1O>_Op5tiMAI223n?0G`Ib!f zV@BrYVRm3$`I&w0*smN_$-Os31Cq101${?*6obKJ)&7VKxN8#j~P zHw9DC@liqo^~mOj_^^Gll(ijfEHI2%Lc=!rjo4w^zgUejEfG1f!!}9b`|Jb-cN-4G zRrLPY@C`6#iGjPaod$0BlhHWq`?mkpOC?+oUY26GLtXY0b{(n9XyCAFt2_mfq^o;M9Yg#LdEh>HnFg-q<60=Lm;dVHxVkqSqrIWhE~KPT^2hUWyJrqN zFahIs^f7g1s&f2AIt6sH`#i>4agRxh!hB?5;B@QLOV@Z~U%18_E7qqcuZi_(o{u^# zJd@UgT;E^I--oL?%Tn(BRVyFg`d8ihl>a>S)uuxLjx%07tl;Q$W2qtW zS&Hvb7kgp`mhw+OOR&_(*Y3Ql7GurA()1LhRYH$Xx$ADY+FFXGDf`o1hf0ks&Tw%p zMfFe#FP~F?+T;_pXuRnY{93VfmHNBlXBr2^8D5#f2A5&M>@u0HEW@#- z`)ca;9g~>%aV(R+KBGF^La|`JBbG%N#APW94^p>>AID*3;=x_sS^ThabtN^(If0k2 z&zAK}s@`_f%D+0X?dMK%@W1Xrn~jF^T8s%b_V4;`wsB>g;ndUA#y;aHq-D600V`cd z8T^owlJ=n#lHo&!keckum}_*$8Ags}KDV)@A#Mz{v(j>E=d{t}^i6bgtkc@~n1nwA zdrES8>l@L|9ZRX5){#;>M=$-Wlbd_Flk51uf_8qhSG04(VrpkqCxz4(6CgIE*T3Z6 zUi(rCY1Nn1&fyDrJNZ*sohJS5>J$sUSW+!Qa%{eJ1 z*sJp?s5*mbf(@Pj*PO6;u)t5$?KpM&xR_3uCWQTZ;PZ$@tmW z(4LWpt2hanO;oRqRIhX;hJWuGxgi{QED_0~-@E=@QH&UZj~?q(CYnF4|b>s>;Zn zJe&*`d@G?!llr{G-D-*RgS42$MVmq@Q9~_}-Q-jF#8o67(R#^PT!(Ry@rQ3p?&tCn zEz}Zyr4sxL0rby0D)FdVBD+aTZk(eU-+n-gC4|d3Fb5fWA^4?BJ$Y); zEf1(yi0j7j4&YaaBmFD}G$v$~qA))9@)mVbtE5XrWjI9>U*fJGq+Z=I zSSs^zxx1s8muRh)_-CHY9XfO4eAU<_Rmx8&#dVu8JbJlOF@j#z7qzy-NtDGE zC|>8w_iM4+xf`n*`yK9Gb|c1icWg-JN4T#7)mNwc)o;k^ve?36m_t$wSyg$tc51mV z2WT;<@VVD@{-b(`IDK8;xTyWqmaG`X0%09In*wy!Y_%0qt zyZv(WwBO6i3zsamgQ-t49slpb`Iia{m+)tgFBzCsu%{1gbLEh&fbh({}Zo^*=#pSA{ z<{pPxqi2k*4Bcn3ho3Rp<5PPntNPc=TJRXfhhI`I75jzKOBdga``D>xj5$gD)L1MI zR(-XxkHL;xOIPC)#rAvIoYls=`(B&Lz?@?H-pugp~mV&u5z)-Ze+!y zPs$qXTWVg6?9b1jwa$j!v*s){mmOkPR~sKNJTQ~>USrHK1p2dyYmD9RTGgMAE&U1s z*IWU&tZJwDaKswiB4G!VG4?&}Qi!ioNd{Bm; zL14VII-nmSx)}2qu~~ZSVyoJh6|OgCCpp#Fw)SRa>y5n(-#)@VSZ~ZoE$pq{c2k&v+ir?7 z=nBCTADpBLdN!L*Eq7oT#$##aD{RC&?T7UdnL5**!~Q)8Gu_E&I;Q);EXSS^YK?~q zsm4ED?1kr0Bdw{6J5mqtkvqWmZc>lZ|JsCu^BVj#IqkK0qhb9f=HFoKYTWbgmO*#53= zCA^mCH)sWYS&MU3GMdy-arCRC-MHTYU1#m98yG_m=I|M_YvSw?Q~1Sh6*T1hq`x#| zu1{^qY@ghahkewLDUYt}i@tj{NK9Bo#ZnibSHYoDEYHyN#oIK@V@CbF69jfIAdtD!)7${}vckGTifj2UtDT0b%d#+crb@3O0`=cl}h_+R(kMpLq}<9^hO z&oX>OOJmL*2`2YbJc?)4D3*87MWL7|3LHGlop6l|Z6m~&RqWeVEL#Ou6o+P8p!~1k zIp48itIKZn|2;TwL#()cY=G)|b(V*NG5RNB2oPa)HyE#YuPZp~N4j!ZhI9%an!*Q?Fx^#U5mb;j-t@wZ@#PO_9rwvW>2@ zHEWG6GOu2v^kuGpbCBKAUp>gWO`}kgIH|&SNxV9 z;XQB1-|d}&+6tE~B~-*`F6IcIx$vycTs~j;%q>*UX}j!ZowpmSCC@{CWQj;}&=G0S zNbZW(qjR;e{lvcTy}H1P+p+b2V|L~~T#-Uo$FG5)&;F)TJRs1Ro_wUORttV!#Lsyg zFXCVssl`vV_#C=gHX*jisu!7@7{3Bh)j*694$}AW5K?O5kKw*;zPdO&`3b@340L6GT+=FCQp$pUV?9>9&6sM21@9ym zPLWavP$xBVQuzGtq$H|`|CKta9^5MY&1=Rc$^0w+$SAG7$}E0kr{q;_v<^8)9tVsa zP9FJTAee&fC-(XE9>*h&NQaCatL?G+NsV%}ehp;7j#bh}4I0W%YCrR1{OM%d7CG78 z1(rc4wKn7`7hCWhNj;5JmYUZiS3L#C>0$Kba;bTK1h?j0qMkM0uTJRZGlx+F_@@LX zHNbhlu1jX2*)*Y9+_LzL+RNvKq|P}T=H-`$64)nxW8362t+YPM9!S0ZHrf)IG}%;z zWuUH#-L2KBStXA}X##D? z6TRi4Gffwt_~ZGRrgP6(bp>p%`rv;~XZhk(?gIvV?MqI&%mekL)?_z!7~8rHQZ(m8 zGoFie_E1+84n{G@W5to^k{})UiV}W4(V}jK&x6`e`xhiA%W{NkTPObmDC7p^H$P2O%u~m z%sr+y-goZ#hsY-%IBRXyXF;*>$v;TrS5r22b*Hgq4aOsqohT2fPV)AhOP8E@$;r8h z6TZ5Zr=+4V&2KE&-P!hUjdjveqZcD;$GY~D>xPIAet4UCddUIu#9GFL>3moXvn9fme7VS1A@5Rt(txt^49jTAo;zV|o>nJ%r6V!cuVrwg z`71C8kr+ed_a^*G6LxWquK9QNqWPNZMab3lY=B&2c#C!Xuv$c-^O3vV5qJDRU7oVIIlH<$+R#PKV0 z$U@`_`$@9oDom~pMqJT;l7zz2ev)%6sZLt`=x`*)y7oHND4Xm%w*tqLNR&>Lz;Ki~ zb&X!!EKdECo#{zwaEQ-q=+i~bVq&$Ah%`G{au_6s**Jqxn~g&g3MVyV)Bc5KldHs7 zS7CC6X0O1(Iue^5l_R#3dRL2^>Y9Dzb2OVrNfj+Zu5SPEjZ~xLJu12Olk3GeNmHYw zwJ%BUdhWZ%hBXpn9Xdv-4zb>>;Ac%@y|JdNu@>NT6b{w(W?44-Uh;E}{B+qP0z+YGsMRGAli(ei?TN9j{p6a5=+Ht`Py*e#ZnP9)6Iv2AUyGW= zgy|fkhM9%_lYF_zSK%1Vm$6A4{)|at48&q~Wv8)G`uGM>cZ(Xugk~KdahEK)v!Mh+OTvW%dBTyqliXdLqAq)}?t`+@b5MmJw7bbTH_3o0$-8$|LL&c96 zvF^N+qS4`6QzSYkN}#c2lSFiwd;EyOuIxf|Nm)^Mi*To!E;{?Lo!up%W(?!4sg9Jy0x zvC+z=zK8v_anx60tS^7m*NQ?dGzBFP+PbM~XtYOU@YOB4hGfLVgy#V{)nkhG^xMM|1;lGmXtVfYa_9X)$G8)6}4FayYlA7F2+o6i?GRDNCa>y6kAr z&y00vogV42J3TaaHk3e2Nz=6+`vbo;QI7>Dxi{K9Z`6+oE=b<6?#`9G`N-RD@)r4K ztc+cG4^ynLsTN$UJ5Q+^9H!Wv?pkmblt6IfOQqoIG55!q79y;AF=5#rRsHa=BtIVV zllrK>&)6gHBdqLZ(N@lkbr*`dyVFezD@^VV>fPOBSGc=%n!6iyW1{oUh(;&5bD{)V zSvDgkI@Mj$-CA_9?(8!o?(THeq6?C{?3p@uhz_Ud>1Es>uFYMZP>{?7-@^ucr{%+SUuN}v>{eWG& z1sqpWk1;>hjtSCFj@hY0*tHcHqL$fP7&$ zy4*>N%z+YUqMLx&w%ge}^LW%Aab)L}&9vhgEXtA$O@B z)t$OmPO8DG9m8HZF4jYcJn+H1M++!S9uAU+$Wo2LJcxkSEQ;*d8k)~&KI+JOaHh+cAgPVe>{Tlon(u%LCc#XnVx ziPBH*a12LGp&{+VD$tIsLCfBy`fycVHRM%}M*d})k{&$dkUf>mo6 zA63y>54lTSrgK+J?y@mq#UMNw>n=p@FcnYpJwvz)le>fDPF+U7!JR_t*>8}0v#L|^ z%c?QKd7n~)L+1^XyshIq4A#J>Ab)eIc{n_)ooC1HFFf~(^=w~Goj2#CF}X?-UnlUp z-Q_I)sIgVLUn_T@N=!syQSLU%)h?rQCztDbsgT`u6rFCxx+sqOy<)xiR*2faf|qmT zW$_B(g{JpGw(=Ofc)1rk#2!eBiN*0WdASWQcNOzx80*{9!b?0`cFcHpx^fT7)A7Am zY{tJbd$aod7fu=*4YJ$e1#esr>lN#z zaGjWl$QIwf;?NnuPnIH6DhVYKpAClUltN8#bOb9F4xQ$ah z$Okv)deLj}QmYt-XjaB}?xg^Rh-Axiy7piyaW5gwORrcjt_>oV|6oViLSBkD z=)4@fBX08Hcgl2Umm5^6lZJ~7ksd;>E-vdv(L?Z0WxhHF|Er@YpSN@H`$1D#?)F(BYBrlc26xe?+cA_~^alV0lUvgsQG?1^*VMYNOPiIOM-WUjCsrR*R%OWeqGY9>UEp+WjxA z|9Sok-QU@i^Tr&*nj386d1GmAOYSO7jiu%dYL6Z5v19J?_EZ|Ew&x+-3Zupnyve#= zFg7(by2++o;BKz7jTeliom!$So+!h6zUFn!4Zq2pyD{A#;|AtxlN`e^5$*U>@`<(0 zIKoF}Vv`$e`bFG${_1u1{zXi%SFW>PdHU|_tn($Ln_OqpFY)|q?EOo|26vU-hkJKI zaZBb|jJOpoVZwdv$|d97hN5dM{byqn!^K}&ub*+7`SIV_^E^H2S9XA>2mZ#=FZ2AX ztk-2@vEk^i?1jr{-Tq(M;meqJZLYFEFB?#we8bA>-gl6T5i{nbuE#5B%p;QV6Ao(^h(EouR}cZ((dVodJ4 z73S(JU&GyYRIMJ9tiRbE@rb@F?B`#MEetcRvif1P^2adi7smYm zrJtza7`);sVLa|#VKzH#OsiG~FBk2278r-is6g8CNP#lBb?5{C&vXJ-4=nB7tV!)-rY z%2WCx3yGUsd15mq>Zv(P)I!Fe*`i;K1$7cs_RS^q$!H8!d5T@l?(;J{`Kz&^;f3$n zpT8P2l0Dxm%DLG%Ko>4E&-tD;`^{L8-JY`^V0-wPNa2!%Tn^@>@a>amgKhA?3zsFV zevK{t&Db$>3_sCY#%~rbO)C!~V;~319Z7}D>`8@7QhIb?=YPYm@1NPmjMt10HhlPm zqQJH?U&?XhPUwj=b|K~B0xw=D|Knx0@R~8(@XI~y^=l~j?LF-1HDj%2N6~)1?J?!4=#x5CizTmwufLkt0 z8;42@mp^b4=L3aHzA8`Y_A`6^y0N)C7e@T^O#uQzVcb)Lg-k7ykc=X^9~UlZvm7=D z65_vBlq!{!z=4E6zoG`9E(0K1FuOf3+#`%l4{hGW9nTmF4BY##@G!nbqCBN7{(px% zDO~bFdCHzkN?qlgw>o#xBNg5@@?e(tKqn^TeZfj^;7N=B{wMp_4P$my{2g&*wK3pK z^yeE`QQrEBwYv$O@D*El)7Y~5Q>dkIR84PTT(CS;xIC?RGuwaD*v(*kg&BW0W)Dc( zj1FhjGCXQKm#5X?=|x(47SiWSv3z2gLq%y_czU#!uENs;wX}OPTlhPEZaWHp4(fMf zcf-*yS(jVJT88(&WDniKk~Q{A=D&ppvv&BBH)&v&6-S`#r1F%)^X$ScW0U&voU5l- zI5MHn6VI!YBzu0s4<}fQKa7pjdz|AX&5yl|k>7W2Fu(nGS;97T7|kv4gX=%=;JX`} z*rGp-%^N%fyzraSl)@#K1`iwFWk{EyU4{+Cd{bL{AR+$*JN}2UQRbWQbs)hEW3?BS zrR@MHZ2Dp0a*R7V{f{qLz1zkqhJi1$rMHcfd(_2vOF0KI*uFXmoHXtRz6u6pYY9bcF&)96z5yV*@!=} z)H^n@6@MCEFeJRha_<}j@ z8a~Rq=g~%m3x6)P^3}k{TXN#)nmMK>mh;E3=i&;el6xKN%r{5ZylHY7Cjj*XDHnn)tCFd4moq^QJ%H+Q);gFUXW{`T&#}6dO-~zIJ;z?HZ0cwDVLiK5*02u&B7Ec6bRBJSt1(9=SX z2;D1myU^!J-HlcVFhl5Aq5Xw+5}G44U1(*Y*RdS(iqEQw2~p=0MZqvG;QfoCJXp9W zp)yB&xrg0$VgJ0U?(#+}1ehzdMCdS~{e^ZCnj5-}Bn9<-9*kC?H

RxYOWQlZHY zQF@h7)5DbZ3avSq((ZL)qxuj6YlYSwO6li?rVgX@2BFsBl-?vX%PH)IW{#lrW}(eS z3VWg1qtvup*+v_RZ8RBd6`C`K(mRCakEQg#gnGtNy2g0Yr-W9SKM^1NKc9a&gUrY*g)#sNE&#&i^5+%eoY83 z%PLaGysm26`7Ehxy-0s8()^kWUf%CX{by8lE1_#5;|6KC9j=hz6`V=xcawUbBy}w! z4SPv#t4OWSi1Zqf&qyunNxhp$oo|u)-{y)rWZx~od!(VGq~W&sJb+iIfYjMRq&t&_ zdyratkva;6_8|@QBemU2>Ki~BEGG3o4CQk;FqnXCD5+zNFeoDpc}YFbkOo$hx}GJq zY$f%+MjG^!+TSGgzeQ@@P3nDzRC$m8QV=iK`vgKCkUBmj4Sy{33!&c&{aNVmq`}HP zxEm!{U1++{wxo{sq@GTs{;s5k03-nFEjb)>HKq|Ob(L2x4h`#(ti{DA{_gf#r&VP}*-X-}P-hR~A)(HmA}!R}OQeN5`cOI` zRw>)Pl=j_6Y8goC_6(wpa51TEFsW-OX=pg9VPQdFXRpybp7LfX%Bn>Yn#b0Vr_{+bXH2gHFZ563&HK}hcsq!qT zcQvgqwl$S|M-`=#T9QY(m-9(kd@TdPVfgvgFm6gc-ha9`p@-L z>sPGj2{F?&HX)XgwBw9e;{>< z<8<&SrTt%%T7D!Ao+Wj(?5%c$U#P`KX_wHTP+Kl>uh6hiwd&QaF$J! zcD+pMeTCG&g*5bPU)uiz+X(nx6B%!iTHhvBL`8vriTrm-eeaPv_LBOA2H&T&H$dw7 zfYiQ^G%VEn@4mGEJ3gcg%SWU^p^p6`E!1&<(jlSFgCZ@|`LRd~bsiFFq0Uc4TB!4| zTY$r)&QC>JsPl+O3w3@b(n6j5;T(8{ggTFkv{2_UkrwLwT%?6M-NywG>ij~ag*s1& zv{2`lA}!Q)lG0(JuCGK|sOxKy7V7#&q=mX&rvwn{`c|Zcx=vGC`Hs{hR0)Z+Q004( z7OI>PX`#x0L|UlwgPL|L9@VX`#vmN_&M`E{e2J z%O#%1{PU?BI1l)l417YZmql8r^%s#AY7L9DQ0oklMT=y_VFsk<_(`RM|%Ac#|~r4ypG8QrCgrwEx?Wh>R~t z{ojy=&yZTrlUlBjI({ehDbzB15^1m+X`r^ybW&F%q0LFHtw=5RxM@S_M(XWDY8yc6 zc}S>J@NuM}DWpoN;ExIJ5quG;uNnC+K^h?l7`!n2JNJd zdr9pM(!l+s-l3${$)x_rNIeTk?Mp=3OB!ILZqEkVu*TDc0#_x{Py(qvj?^dAY7l9m zPGJ`k2OV!MyE@rCwMiYRq?S6QL80EdY8vBTUt|dNrcv6FPHMpgcm4_r^;#+IXh3So zBn=AnHl(y8i`3FcdmLgXBXzVF>3c-F1F5~E zNOuzH&ZIWGNOuwGuB6s(P<+3vgvF&7r4t2U=}fBFNy9>wt|Gr1sXs;Ji&L^do8BuM zq!_GLew{X*IUAVlRfFkbIMdnI2Bty$;;k?4?MzeI$W#R{T-tTtE`R@hzRh1qCo=B> z)A4lvrE%ot`H`G>LZnvHu6YYhd2w|+;EsmJi_6O|;e8u#6VmnZZvN1&BTt$#;|7O% zP>G1Aa7hryO|Ica9o6-E0ccr)w-;5^J8h(pOn|{~lXT_ugXZ88n7xZasOxmJP zyOmTuz`0z%NK;j{#H3SW(zcj%Af|u3F#-Bh&d6{RM& zgV3vZXJcDQQIt%)3$bm3=Hopc+ji&%yj|G#K{w+)2iuNBlTwQJ0&H_#hWAt0=IY*p z|5hWx^`Cfez&6+WlHdf}PH18kld=ul7HCtvcVgQH9fS9~*mglb!uvyP2cehn4q`hD zy@mI2Y%5hwN<7{tv2B5##rssyYnqff*bYFqrJx(J?T3!9jh@4{3;HeI8?YUM4o`(0ww=&&ymw<; zsbf;U#yh}mpm*>-$ho_&NvV$aVI-{3(Rc^3?SdxPL)T&30=*ya5VoDr=kUISZ67qJ zzDfBF+cxNUyp>}p3;hUh6SjlUxoIXPm2an``|-}kb_jYA?`qAF2xnlN@U|T@?Rw;4 z)0DWiYfZMigUF7DggSm-%bu~a4fNe;4w7729;T`bfu^ar8J9V%B-s7+|GP>;}ULW4rXLbJ)E= zp~r=Wg<9gNoKP*Y{mGNE}wy9*sAv_$Aqp)U%3SLiXJXN4+> z6*@=glR{SrT_@BhbgR&vLiduom4gBt6M9PMS)q{@|8Ev5%)kF~rTX72 zRDAybcPrKZW}#B|zyE5bLZJVHg^Kq7|7NA)^WgvfLM8V9|6--$_5a^rsN7<~QN828 zidU-t%|hk=uU9Iufc#%xsNBkbyHfpc7Ak!8^WZ{{N%(EPTL>=Sb|N?#@Pc|1aNtdkpB`qo`G0&gjXL`3~Cw z^+n!eBOCu)WskjO+8!USf_rpQj8;>Tx^9GxMf}6XiMYDfekyPZ9U?v@+b#XL!AEgx z-!WOLc+#j?`;`r9GaDxXLGnO$Io~n)8bO(=0N08yqo9ryfOu=jHodqbe5BMI4Fa*?!=y&W za6dXy3c?>ltl7*@z-I(sZ5geCkr$WgG@}_oL9>n&bOnQR&9>Vx*MgxE>Lu8|2Af90|nFtbg%47zJbCgWxfdt3MWCe(Q zyiC@E_$PwkUI4M|YzDGUk&SkMc&Ex_FNiWtCWk;=r7}4I;-4XtGa%tInOp{O%;v-$ zss0u(_PA_R87p2`H$SR_*yhPL=^(BJGHC|ldr~I(Aj%S%bOmuPQ%QzseLo<_Q?gMp zH(I`H!xhuq_{yV@2uxwtYo@W4OR(+pu&1t>Y?WtW+cJ;syJi~bUVv?H^UBh&zsSi> znS21^*&~w>C(p^G9K?lPTALYZSl1oX3=+u(@h*@_>wAD~3uR;naW0Zc5hqJz@*s$7 zDGOdVjdhR2w)G=9w*$TRfcUz~`zG@*Rj}s!T3`IB|lm&4z0r{#i0n@DW6DyJchqax9QZEfDXMGRXw- zES5cIf zc!x}GfhhlyNo6-a=s7-?QFRd8XEI3#aegV2W*{LQ$p`U|k&nL*fVhUqq?G&VB$HLu z@X6BJjWv!lr&oRh*`5KcPn>zFn}7ba?UhYWf%tXg9Ejz8+2%J8myRg-)EfLiwlRVD z56L7I#QJH3l#I(O$Yb{#%y+r7kYPI-$w1dBHjvOUnREd09+ycWh~*2J3UWU>Jyd|D=3K$J5w*$HC#Q6>QpkB%I+w1aE> z4K;24pM;U)51IS`5*U{#*~H_bK$txjZ_aRMV$)k$&ddQ(?v+VD5a+`(nG6!Zhc&I* zG7$ejnJ^I7aGAUfq9n^?UsG;WO-4sRLKc~P4dTdWBP*G0m4C;!ttneq$(-&^z*P%R z7uoVI5L;K7q=5u=q$!B2n{3kt#M)gZT|fdl(htPhL$-Oy&5d+u42Y|jZ1f07SVtZQ z@f6B7OF)#~GFb!S)sYuKEPZ5~*Q(>d?A4(?FtYZQjXnbL>&Q_MTaj$@El5B|&VxAm z$u`$OLOK#xLy1>h{aJoxbHHuJ#Udv@&}p-w4oLW3nKa@yxTdDrC zTFX9wkw-^91qtcMS0MI>ClV?G! zZkfCc;=Li04?)82KVt<{KAcn$s`%qDQy>I(gMW0LMH7vIW3c75c@AOnF$hH zsf%$wH+n`kdK$#PS|-ndIB?ZLn=$WzD6h$6KezcvCdatVewmyG@$XNP&yX(gVh3cS z>%7=OnHX?Q)~_SUAdZh^o4VXaM;e1TKap+nKtdqWCACgKuEVlXACRz)3P)K&pmgR;@{AbuU$3SvJh+q?}D)RBE4PTh<-!bzdx9QEa5cR+$VQWe+39k}A8&4yYaK^@8DHtDiW4!0rV zR_J?-_S`5#HtGrD*O37r7OQMC48)})6G4JHG6TfcKrS^8#4CuKs$T&V))}n_ab(KH zHiP(eWCw_)p=`4k#HAyLK!Q4Q0>qXjN@4xQ>kN=Lf>d%DB&;LlAc015Wfpw(;B73E z1|Xg$GRXsR;R2vGK;1x`O(R6De;|;fSp@O=$8e*&Wio@?G?&RD5PJ)mtm8I0GWjRB zX(^L;Ky0=s;q`yQjdG(1bmP!%1tIRK*uB*0wUK1rjKbNhU}TS3e{D zf%|Xo z=RusAGTF*Y;VQEh^xGiTCNkLv5^gG!BOpPWTSi|4IXcVaEQsAMldB*BT*lTay946w zCzGnU2f#W+CbdBP6J?SK;wd%C=RY|>_F1w~dv4^BNl%c#BAE=}Hc!c97>M&3nM?$+ ztd_|P5Z4-`eEu^J$jfA-6(Ig~GFcB2!j*Ha^_xKg8)UKr#J5oKrg1-Ssy31HpSeJeopQ0IAeOgevKAz$ zBQNq&Z_75@xs8sz!)?;tgfCmPj_t&4bfgcrc~7<(#BFqBB)8cs+mvvdC{gQw zj2pc#8$HR5bYvB`3CK3ja~mDm%56T7ZQkZKIfb ztRoY-&Bv0BTbaR)WTedFMu#LLWd*m6WL}nx6zRu+~%-svzOcGi2D#X`cyVL z!Hsm}47WKV+gt`wK9k8U5RZ;jw!$VT+f)bf=t#Po8y%I6nsFl?$>%osQc>F%x^f#G z>CbIGmu(*AHaaqv+Z>l|rdi!E(xJKB=nL6sDTqf$)^eK@vdxR!Mn|@Dn=fUXcess? z?6>mspOdoDF>a(Ir@5oAWSa}zMn|r5o3CXXLjx?aI+6@x`9`*>3*z?bP-AX%N;b*^ z@##n>5G%gX)rO-Fx6zS7+~%}wGm_irNC~%bexS zKbhRFA1fE*WOqj?}99a#b5 zx+vSM2T?A`WHU(MXPNBa#np_>faT1c=|BhbMMn9OnG$jh|)33q6CGMBG_z+k|`r5lyLgbD9I@uQ&tKBx98H# z%`4k*8Na82SsR$sS=C178m#k0Qz9FXZuT}F6|X3F$`xf?+=$YtC1c7al;(`$&LXeD zsLFXW5?#W0B)#mBA5Ha|A}Uy8m;XHyY@}M}O->XgKJH`upUj>pGH0+`+2*<|C*7RJ zrly+{+2IWHdI-`F0a6*{yx^geW-yjSh%9~aP&|1g4Nul zaZm^Mb4SR;?S&{92?wq(Tg{nqK6Y0F^P6$=*+&h~<>BAi^O@#MwxYkeDk~mluFiZJ z<|JmzG#AD#XR|Ujlehr;Ak#c4?jNj0Lvu#rF;o)%-N-%|YHq;2%xDPTL2lB5ZE1-{ zh8mi^aj&ySvfx6wr8bNCv&>06@lF$tq2h^+ zBXKok{>J8=ai6m>O?Vglq4pAm+>iyEpm+Xcb+XY9bKxuehmk$e)|^&zITF^}ayT2Z zm@C`dGxbg6c%wOyF@fJB*}TeK=!$xVxN6LNH>&g9)>T(_xA|mT7Q3%Gdgy)lLAKaU zI(&ho6Ajba_8*XNw4&itMwE^pGi{pkXC$ad)o>DQuC=yS3$(Tk`=kXQtvlR*W=-Wz zY$4=mL)VZ!-x8CiART*>I+?vWn9M!dpE-#07Q(Qoe)#TOuWBQ7%wODDIAO1~$FDr> zIryUn>Wom`dgDg0mNs;6T@pR8cMiJB?*dV9qa~o&nd@&+UelCT zS|mGKAw6L@0}0_*^IA8p0a>iG*#g3U zO|RJ;1W|C?7?DU^F4YfO6E_hnR1?;;jX5RKTO-?G-+z()+6FxtsuJx5W)`-ly0i}r?j{8gyERhytBew44p$^US$ zRY#hGxOAiohy_32*Gdfoaq7qn5TA}L0kPo+{937Jn*zCX=xvZ-ltj7-;r1-R91F9f z?aZB-qXAY|e*t!sFWHFp=8U+LtYbTK!?+*V2uQ+J_)yrV?Xeen%xrtRNWpFichsDr z_n0#b=4{r!y}5DR`G|)nU=I&3bGgbsZf|a44B!z1bnwYzBU+m4Q2pKF?y!gMfpfT+ zdk+!t&7d8FhbxgE`uYQhCosN{?u zQ96PTYc1yQXr5Rzse+tL=ICTDtjQmIphg-~Fq0kO*{Ky~7j;IqwZiN}JUhF>>_R)T z`NI|dzV7`zyP(4C?p=^=|GR8o7c{x>?{d%?nati51sxS;@8#LW6=rwnhHPhr+3)b| z@fBtlbVs(U!tA$sc3Fklt$QHb^LN?a9%%C7zspgZZ0m`F6=!ef+1?6EH}8e)broiB z{Xb`C7oxPU!qS_0_Ld5>vw9=j|99D*-e~gfzspgZZ0&=B6=y%svjY{DuHP5g2P@28 zkL<=jB*+V>FPrag@~bXLFqyBEby;SSxmNE^D&W&Sa=JbgB&6126^EoJBw~o3I0hq; z#NjHnVqh;^Fr_3Y_#W558Z^P7JGljxG)o7Z3e?JsoC=&SGEX*KO5lgOEMG!tAekwyVPI;s=pk zR$=xDp6#hHdtfoL7yn(hzZgyS{#}mRWXD4&xURzNBRtzzVRq5O$lg+6_933_uQ0oC zFwQmK<2yyK_&_x}GDr8AZbPugd?M{JF%~^p{h>I!@UtaD%^9ihVvn}*T@`ySoiQH- z30Gr*q2|n3=bfue95szEBKX-TK69lvJ*C=;Jtz*p;!yWQZlS#{@EX{6Mdo@q6^{Fz z?HXooSUIUFPIfIUG|b%75U9qohntJJ&J%h{XugxUSLg+y-A53AUg%As14a_xCbaS> zN;`$VBedpd;w3^43e6ltd=A%x)z}H4wy~66D)a}ToyHMgC-kb&qVdExldhdW$%lpR z6q-Dd_;{iFgw~%#e1_1V&}Nf~FA#cKXu%ZXtAt(>+OveXPv|Y71E&(-E;PwS>5)R; z6%)t!=veSq&)iqZ$Q&g1!f2vM!(j zAXc#`k>?VSO1g$U)eKkLJ49U%pFDNcB*a!GP9vz;vnaMnSL}5V{z5^~j=dm(v9u^r zsc%&qyVPgrLA+6$;ls-&4ER7Fn^2YLbj zL>NOsytr)0*G7Xfd=y>Fqmxyuuz3VFhjq<)#trnYuJc|7@sEo*f;Z(ukZl-BZ8T4V z@Rv3#u5*Ih(=}49IcArxR1OGkESLNYXpTV`qKjxIjOau)67@Wg-*h4JpNHc|0FtBk zKorGZS*!j_5IB|s`W2)A1g=DXB(zZOQikXp<+Wgk=9x3y{ae6L9B$B^rIV)*A5${g ziK5!bPlt_VtlU#eKq9AkG*D|naBr4W=@yU$;xjGT>;UoT{2Xut-PX1FB#1uxb0GZW zSsNB(4yLQFgByX&(b*J%ICYhc205-PwJ4_-_6;3+4o3W?wSF^5k*@DP0~xI=b(!TZ zFsHb)bvqURmHvmim>PqabOn2ZC{^Y8Jql#BuGAtB=Qz3h*MUsdh4e2Fn_Ji1?|}j^ z!ap$$Zh_z@Oj1W?;G%OSUH9V(Hhy{$scg!K$yhJoTGy}#VG}vg!kpw; z<~Sc{8th3hx~+?GEl84Xe}56gq9bpD;OEd%h(Cjj){&H4RDze*u!bNzaa*rctP_y7 zOmOoNAU0iPWgum`)q6dNe(&A^vRYUDmmo#DUc3roLNB^8w2?h750yo`kyl>_WMFg| z<|GRwG&a)JoD_h(t8;xX$Y|XFO$O<%vvGr{AF9y*qWTp;19egF0daxgpRhRyQj8S5 z3-UEccU@)IK(ci<@vU&3RGkfxQmG(bwW(tK@t_Hy1?UW|KOO>Ut#dsY#20P-h>=sL z@h^Uyx{0w2tR8w`RKzO?nL8;X_cCY}SNQq&QQmFXagE}*AC?0_&6 zv*US?kZNNU;c&s4VpLO7)X6xsmmZgpq*O3HgAvFUQ-uiHz5Af zP7eox6zObAK^Ew$e*$EKuKMRdaKpM(*%lD~($>chK&O`+C7aG5w{>JBNC#ar=7DVCPg&Nw`e~rux{=-pa!J=|M?s2pbNC`i zcU@brgB(7c8j z2qa7A?qQHqy3Sk*(p^WkfDF-%_or^4GF<~tfy8_u2C1(rR<{#&5}oUIAlXqr_-r`= zpDm>|3q7y&fsISobxwX2-KU!rQ@Lwhf6NAPRAc?U=JY;ZY+uslz5>Dz&f1I(fE0tl zOw9aaAn)qJwRC20d+`A)y)%w&y84@fSak=No*+-@>MREFMH@Tz(UMUEM~qaQIIL8`zB?n{=VR1+qa`{UMNdb>mUV&U`D;oECN*;@}>& zh$`BHr0Uk-o*;$M;9;l?1KFgj;SrFUx=^10;peRQCp@nMnXHRq=U||K?!XlQIj(bk z6eLSm>^BgP?ySVr1;-m*Cp7EAHmpJeyCBCC?bWd}r%fmwgOh*nbo_HpuBI1hxsw0X3i`_VfJEwqc+3o1}(*<_F^QUM%<<( z(nE9|2Mel0*VS!dq<(W1ZO0Ihr*y5K0b)SD*0JJ`k4j`KY?|wajQ@Sn4LUzRf%N=A zHHIP@x-T}z5!W*rx4E-$G5 zfzh!t@xW%MZW?U>`B3NlQ;-h2xc>vvT30Hm2lkxtI+O?GkB$hhz7V9Q&c+EcMA!Z@ zkV`t7=Rvel<9-6{k2N?GJ&ue(w8g_8Em5yDj*k*<8iLJly4KtTDbf*BPaI|v}LFI{dR{hG|5=2u@=Yy-%gdJ)$%A|Et#A-)Zp=F#fMP8&0Z2X5Edd<7dz zbOq;s9WiJ0uKLW}sZxBa0^6>l=ge^i_RvPNsZPE!rfkfpM@z>H&ui08+s?P`n!C|_ zG%mhXu8sBlhxrQY<}=qqsugqi%nh)mdHQ0-~qH5|1@{3qlMWzw;)<5H@_`=^q=OJ z<6F0C#qQc_u5H$$$;~fdUACHgHIj%}&x>X~ikuI&45<__kzQ6FszY%KjXd?ViFHS_u= zZQ5xy=C;j^TIJ_y+qv!9F~fFzNtV}^_1SLDG@u(xwwv46ZLLL?-$t{~Zq(pZ{8$iE zrcK+JP}|@Fl`i%+1u^xuDTr~JZ=+EAMcbR78{;)!n&NpjZNlYClOfNh4Nab{VArkJ z&5aDz+UD7!gOrCoDQAZ{b5O1}?0I?Gl+DZ2W@TP0saz|q#=KTi*;d+s=C#gcJ9d~S zbZ*;P8`-w4rGl-s9V;KBr46<=*YYt3`@d;!5ue|-HJkgUxpqgbd|O;Gi zcBQ;LEx5L=a(A76)7&wEb>3qh7+;W|$I|zh8@Tgubs^%XAYb!Ykgt_3km4)A9MJ6L ivRY>q$ipDb^1L=$Cl+9)z)zA`>2i0yv&X!(;r|CZhxFXJ*%v*XlnhsGpH)H*@@7iF!{=^gbHt z>Almdsiz>Mx}Kqlp5DI9HNHkum3n$r^z;G_WZtT8^}s|g)n2diUyh01LLwH)YRO&9 zlzLv7t`_=Lo|@=oSIKm>%j%&tG|n>sJ7&03Dow9`=ACm zcr8!72Q?R|Rv_xjoQ?dT<^q}rMIWfeNwPa)C#WSrjd{K&PJvpIBzq)okd02JCVKvt zPwELEj#0^HLA9cirdesFSt(y+qBme#Nb7E6CqO4x5T#kIJz}D#(wkX0wsSs1TzWum zq8D?rs2u*4`u?K;>sDQ|pec>OUyap&1hhM*kP;${ z?eAY0lXBeaSUv+;+D|T?uF}v}@1CcUm=3iIvmm7_4}MqZm0P{I#q>~PV)H{DX$G%o{XvsUSOnYb%%h5()F)7 z&Xo%oK&!UUq*8W;5@tFk&8(G5-iTMM!kBXWO9hDS;LkLUt5D&Q}368jVYgQL!%5iVR;E008F;CVKfUrech}r*J`RqY#Pj z1u@m%&7nI8uussqVAdxA`lN;!LLV0~+0KnLJ1;gf8Af`aXX-?CUc7Eni_AVJ%9JC> zs&l;Y%sJk8@~n6a8tZl1GqWI&(W4 zv*gYn*gTFlkE6|F;xTBve++G6qrovT z#RhC*W9%`sc~op_3pS6U&7)|uNR(9vn?-1|2yGUM$Dr|kA#1FyD5{KAQ)voAMzX1? zrk>)w5p0UL9O03Benebrmq<(s#b?GYZVh3B{Dr|Kub6&0WbFKRwM8$52XQzej3B#?cXB0fY{eWWFUvxZv(d-E+H!%^ zUv5?Jp!mwEAt^X0dO7!X6d(h#SPg>ws4`SVR0&k-+p>Hum)V-ohf9nt!~@O>^2kOk zac)AUzYzUvG#971JBdjyE)GA-uyaCX=Wo`$^Ji=EVGU~q7erK1$gf$8=Ul>w+eO;cIcDHr)5lBrdG1)D|@!E4Vl~if!vL!cl!4h%Mt^4H)vcr-C>GWIz(%9kR zvtLGV&4Ty5YylvgNv;L={rP!?Z;NN(+foqcd$#t>d7|N1FH~M=ZlX8NiG_qiiKr4P z&ovi?8a_f#v8Zl>m{B9x;pF3ATpl;$E{n{#%WO05^7RvN8A*&Ei)~$-i@v@i=$3ey zvn=?Lf+qZsN77#NWlC|ASFqR4hiH(;3qTyHss8!pbC)r)VPWYg&-Uw;_yHVxdnU zX>ga%rJ?U}QfeTc_w^?$3`D`tkz^T&E`DBy30v9LH)pFD=hvMCZxy%u+19wO4`&TH z_*fm?f=j{+mV_-FQ_HO)poO3LLXL^CwzUL9(c0f7-~k+@Vq~xr@N-~bjz3%S9XGLDWV~P# zU`jbY=vanmX6-2$aR3*=uqJ@-p!7Qcc}57}qM8+n?}+9;k4tYy4lgW57p zs$=9Sgmcq*&_!!>p!GY`+2Lq9uQ85p12?^412?^9z1p-m6|!?g1UJ12x@ej<)-zi1|PhJL8vo&jT!O?HAA6aw%9fl`dt(^LQR$}UI-1e zeX|OX!oe>hCcTwM5_}GdNjq9@WxGmDZ|v^U_B`gI5EUe%K0g$CUy*j&^WIfX%Kt92Mr?XNi|0J%nNoW6ntqqkFR;;-3XD0_^x*0W~Qi z^VmHL9*vHR#NkanoR4duSoK~Th4@|}<}~#p$0Za?BOUD)X``qxH*KL98Ra1y<0$M- za1>{vgGiAmx;724;~%LjQOMOOo-PpYHuG>ks)54ytu_kxw+hjvxfdytP#8x!+QCOc z%~({JGhZO)Hun&UIEpPtIf~GhK_os?%xo55m#IA?`f3#8GQ`#~9!^IzP#k%sjbisJ zaej<9IU<3W*xb=BLmNbewbk=Q*A^baVGg2UAqTOwRS$JH*{11u$ zws9mI=86t&{m8nx+`9b%4eLIo+Sc7lx%I0BVnN&1q)b(5J)xk&`q2a8u(%84eSsJj z???vB5qrefv+XrUGlJ@zkebF*%#l2e_+5Ug_K7K`_$j8C33*ZkquV*yrl)C!P;G91 zDjNcMv!4erE?>OYu9SSAEta%zX#ZxmCV)tO6Nb~-YBtBvYM%y%-f)K1OmpoYBL?|C z3B#@Nj&_nk^|E4jb-2OESscZLy&T1@eS8`for1`;nPOxofBPvjwUH>)9oNs`*>1N6 zkhgGh)dceR0nc{3B^U)AAluE*3`Hb_Qo?a5g=f3n9LA769L8T=Als#I7*$iWVZfac z#2-AJXS-Yt7|HjvVT`$l*)F#NhojweZ5S2i5~o2pJcJw$<9jZL@v<8vyQu&pz;3EG z3b-ALC{85vT(?65g(;khHG?6$gSl>pgrZhwN4sQg6cy&)PvN<42S+hyCr8n}C*-;* zVs4iJyD8czs;W_RoXm6GHVqW3Zfc_tZ(^?7CZSl`)zNOUHi`;!(d zt^R}Ox(yse!%YApPu$iokUShO3JC#riBqWQ_!(TVF@JG1`%+uvRo$6b5(*t2u~nYdHwj;2HdytYwAc;Sc^{*M-B22R&fw7vN?z! zLxV`>NHKn3fZa%K5Ea|z-Vvg5h=u|AXaDd%#Z4edPX0u`9T=kqQcs!pVZ5A!xSbS4a)*fi!vgGvXoE0NuhDCR#n&S|oR(;S zUJBufG@XQZRuKy45e)-nf({-ZsFMI1ye%0V0$ z7ep@g7tKcn*!9;20T1;sbN|y%JU7O}X`u#)@FHyxfkmR}SZ^XqAij@swCkr0qQaVM zKXLU~4?*N03Knt@wI>9T#J*zUgxaJZT;NP}I}^R}GtryFYHxTZdURM!NcR>p#qSeZ zlg)jggLEWv$pou-B$~cJ-1g@MlA9?`p6EzwB#O%?`Vo&rZhYB%4dXiwY8zj3kRORU z%oiK})tU@WsI>lIUWN508RDzIE|5|4#Y2-E$+KSKok@P=NiVVLWH`>HqjfKSoGVaU z_rl{`0Uqbl#fg(!yL$J+Ac1P2yd+=2Zq~#7rzrSL$!=a1yQa^Me8XI$lY`? ze##kQmM%IaJCd0_#IR&vGNT9g`D2cT&#G`_K$@aYlE;1SnIqmxZtZ%iyN1s}`*nSG z+s}R4&fz{MtHj)?XGqQ*amqAD;@M4HG0m5Fbi*j7YDRId+9yuYn!OmsRI%Z7h+@40%fhcz7*1ONrus#BgP&gv#P`n$XI7^&30}jQkhx$-F zv%)%=E!LR{hvHe{zcb-b+);Fx1&88}+`9b?4eLH|GSal}mdmYQO%V%b!J)WArS*iA z3hPH_h{I;Xp*TehONB#md$C8VAL-X#oR52XX2y3VE#^;)Jw1q;#sdA*}&vJzad5w!k%?JLv%T zveW03z|*XlX-6aO2yoM{kl*+7i5C~n@ghO-oF7}05fSI)VL1J0@?+OFF{7=wkSx|x zwRZh8PJP{7fxo1d1vUq;3Be~W_d_C8fTy4W$#!l97*$a+3 znl9UI;UTUvS)7yJ+O>Kc4WD_Nb$xEx%zX};#C@KdEGEu7L-Zz#KJy*PxK?88`97q7 zD{=Dt`qjF?F``wvlAV}aq?MFgEpH_j&kv9-oT#9=RPG|$XINPFi3Mlyj35GTh%TPY zZiqf;DK^RQBI9GlVHr_9`>o?P*b$H0$Oiqgc`O&g12HcMb_C>82zKEVJ@#Du?gjR$#B|1(d*C-+ zu2`?2@$CgmdZx2*LH2aKg0AQy)DSO<2Il{)g;`0P;lZE4r_1%%(c4{wJBB6W6ndX$ zcx+lk6zb|B=0du98AEv8h<}%+4&>AT34W&xi z5lR?ir&WB6Ay8Au8?NGGyfsQRe>aFEjS|fc#>k3CE2!Wjq>$_+@d4C7k5bS}K0+}` zA1MmUUJ-*o#fOJ`kY*9waU(cM@I~Pq&K-Xp&K$$x0UTF>Qlhlsmo)HHG~C zQtmi;I0W|(qCcEF?lD4gTyGe6Ja@R{*nKEC{#%U9wkGSxa_6^0x%11gd2{D`8*=CK z1~cc2p*2vx6iSsc141UkcK= zzC1{0`bk0R(}xF%Y_=w!hVmfU1#;)+^Cah={JHaU3C#I**hvHBH=$H1FF*;-FQ#+n zyP&3!?|~f==-(lMJAcueJC8_|oZI#0&XW=(=LWsF^PbzS$?AdJ`4J!Pd|#U6d`VsI zd~^@yd=j(<%BMi7QjUcZoR3T6&O1X*A@7>Toqy}jozL&ioj>g%IX}{kJGX*5=jFDp z+<9KEHEGkAJMUMEJMTVIa^BdRJGbe=oV!A6pxhlwm9jdN;M{H|cm5N0E`|KR8Ql5G z&fIxWXYPDX7s+|QPTYAxXUTbdNABEWpEdc>i#s=}!JX^D9>Tf#*n>Mi*q%8rp30n; zK&et5h7z0~naZ87hMGdYb}Dz?v^{rzvmJNt+d*<})Q&sv-ClD3IgUFYQD99rb>q%= zxN_&~CrQpzUAXhaw#@lZXbqGPhf<~N3ne)3H;FrM4mE{5W)gQ^+J-xy(uO;~+E#MD zqcwM41?oKP%UW^grw&__j-9yk_Kw_nOUSQ$`7s;r ze0g)`d;_!w$~Qr&Qm%v&oUaW6vze3!sgGdO??#h@|52f_V6WKSRYIHfFI`mt;yOz zKBtM_(S4VWlKaqa=-$$cxp#u*K)DN)Dy21);NGSqcmJ&ebN{0QcfYJAr<7Yw?tX%o zZ*I4D)h=1>CC=vEx5H`EmJ+O0U!GftdV!<`sm zrpF?!PC84pa*st?-FD=(n(WB57C?UIw7Tvj(aNtS(yG)!qE)B^r`7Tr2v`4YG->)8 z;Wmts;5xoSxS#D9Ts>$GloKdb%CFHJ?zdEi ztMhqXVkgb3pDmx)ppVw1r5m5u(o%GPzp3Q@=yPI%jpU1$V#li!~{A{2g<{sR4D_Y1ouJV+`To_6mr{e?miD*O;VqRvtY;2*!pM$r&WQOM5`UJ zVN`5=H%%p4RX3Mtb=!>7%FLA0Dy=%slmE|XV*3D*nubb9Kios4XOs--wT2AobtqNJ zb5H`(^9?!D9H=SeyBcz&?UWTrWqyq~lpV6}CLCOi>OpYR96qMC1s57ahznKF$PU5= z*%*ZccQw(_;Vz;=G}(0<;cl)c!JT^x;r2ITa7RLOpnMdRD&;^Z z0q&rB9BxafDder{ak#Gx`6`%a$oRcB7*|25k+ce;f^il6G~laXr2*604QZdRg0F_s zDu@rlRbXTwt%4r?)g|C31Dx89I zgL=$=ab4!W1WGvdLJ9tl)aCwHLk&*7b-DkhdTa^EPL~^t<_Du?E&>9OegDr`dLvS&)f}Sa1wLCb($jGm>sGeY9m6g z3}9|Kjqe0^K6+Wh0A8NJ0X%Y%25{my4q)X+Hh@jg94OxcrAnC%B@AG-3m-rV)D-fW zE_?uVSCy^f+u#@Bl>_2S6=i0%9Lx2H?g&6m&1<5Ev z7_%KD7()sXM$@+pMr&vel(&UarECTzz-aEkVR%6e?*2J&7^mOxIA%Erp|W`R8`wuS zzC|e0UL%z2ZxG4|*jpHs&rSg4#^*{XH4Y&ZM_UPs-a&+N^96(Q*oHxQ3Z+VU8%lt3 z$A&{W3^j$k(1sH*;YB3@8&}sNV0d*-z&>S_1ngw1LBMIHNWh{pBw$Jz5-{&M67bIt zIDcvGFn@)4h<3k)gm&qEL_6jgLpvFo1Let3s+8lP1hnHVINB~yQ^>nn@W6e4szJcz zPZ_Clt04hDKhq>&yJ|>4D<+a4kTo4}RL z`r=18>!Tmwtd~5*S?_%xXI)+gX8mEAde&PCIf$aFLPGI=2ckHAm!Y_7%uxIdrAm1g zNN%B)Qk``q9 zR)n%nUxG4w3qnb_$)F5@=0N!{C{@ZnPy&>``W#9#s43*p`ka5yZ&dQ{1nfo{n`p5C z=U*A@HR=R-S6_pFhS!mQF*lHZ#y60E;eR9lTpr@|kzkm<=#7ZBF_F-^Za}o(uQIe% zpgB;k52Z@^Q{ZU-6*$`aP*cbs3OsPruHy9Bz?Dtk@M{>f=qnhs6<0B6L6Op$0(6nKKpBC$m!G;fP#?&8CCkQ!U6uYd(YF z2*eIWFO#~lv3nMM{AR}tm6x7cb)54~pUbTd}M1gwKVj3F-8%(21 zNRa=cfFQ9HBhuu96cNuXjL3h-Swsw>IZ$p4rAny>B}7DkO@vL&Oi9Ani;$!KTTA7B(03Wq02}_ftM((J`K)4C^0bx~<;P2MK~L z9TzRsAeqP6G+NM{XhC288g`f=G%``BL)IU~MD?`@6VU zaUi=3*+33KbD;bPlq%(3C}AM`V9Q|xSqxQ$d@0mcUu!}NkzyB*AjN7FA;t8d&hkU2 zLZsO1LyTgt$i|XNcCDX>5SEuo5R%goLYIRKLLX=jl=p*DrR)YJKh+PlN6n9G(7@ zMsyH1lJ$ErJ3ZZp*=gfG* zOP~aZOJT2I5GO%ZA)f*@wi2CoGl)^d>kETt#h(|E54m)C7g&ka;QXb5(fzYAz0*aw zZFnumSnFAl6Mf$mcxSbXR__LD@_H`q+zl3tNe-Rf4b0T}q-Cb(CjheO2KlgqF7FQO zD<;P!chH(W1RvYkuue3*-jJ%PbpGTjeso0-VGU`$oksSAuIaFi^@OfRx6!jb zVQD_zkBm<41&lsD8ADWbLkiKlNf@HZTUm%^Lvx@!4N8?V8A=Gz)EgM0K2TN2`$3J- zsmfN3rMY1XTW$vL_|mkY`Ux;@y={Db8uo=l?6k1;Z)uAJ!Jkyyf(vxdX4b>%EnA?C zN!6`Z56aU0nk=*vgImg z1iN!guB}5>T5Uj9USE%_G+BqN4BJUv2LdZC$01Y&>`NT#n=uIW(Lz^pb`U+!uA>G~?=eDGed|FAy}Sh4cD&&0cr6Rq z0Pmc@3kT{i#odB!1)_5Om@)rS9NjTqP{{T{&3}!eG3lB`e~uSo=;X0NU57`Du(!rn zv7R60y`LPV>3;~$vSUY$X--U)Kw?g*<2WIhG+adOCkTf~-)#DDqTp`TZGb|LfBU>( zpFA6wQ&?_wp&yMMF9bSPUHA(&iz05_tVk2v+d>K30+C@G=U^}X0?Vb4cXTX7SU-wp@TVINxUPoW`suz5JX(8-&_Jk7BU6N2{cvz{ZV5Ws(_8K2 zqS}dfFZzCx;O99sU1O@i`j~=WM%1r>kBB&>)1=A5FwdO18exMjIr-`?()ag5<*V}% zQ{TB96Ddij7pDq29MovaL0QMca{}bg-)d0B-4)c=#K1;237!GOPZZpof6S5Kv61WN zsYf2iNB(_30^2i(0c%Tp0pDqFGetGe=IU;2aX`=Ja0bwsr~3O5;=6oV`=eSui}#P=9rK&}{Z%PVJ8L%SBVd~;LMmUxR599 z>4w=tAZb4XyJbwrZX??1bj#l+byKAZF0#Ar6x6&vv7|lI1XJogS5QTUfs#q>&1{q{r#_4fAws%R;r#qYJ!q4DT8F856zysSo9Pk$HtMUwrSK z-I}WA3Z2P@HuT9{p)Kjyh6bk#iR43Tx;R}3A`Wfn`EpWB-Dng`URq2KU>i^tUj?7HCrOs8t1jbPf>b=uHGhi zl5>-2;(VBzx3M&?5cGpv(P>*@0#45tEXeLydVfANzK^Bf=7W)uvDAM*)VIgd_e(&j zU4~#!>|^Q33}{S@rD+*}qaJmhAA)r0Wh_?lqhS+j*!1QP^^VBuY@2%5xD{RKiSso#RO zUm!FkA7bcYcHJIB@3QNl80sd%)jfs|6d|&O(e$(kk$n-3L(OYS>`9ksdTApdS{Y4S zEd+oj(KO)()Z0a~p<2@&3k5q;*qoZ}f*|U{qaJqleIU^Ja408Zo74CUpj^-#hg=#3 z7QLENVKX$2Xig_B0*iN=(Ttl=cWX|~mqA?aE`niZG~>f0Kcc8f&C9^|@o;2@FFbM5SX;kihGk>=-!foEcsPw+4h;C-m}ao+-p2GA zyCyZJb}QgoyD{y(0+>+}Mvtz55Pk?lek)gkzl1Pk#)dE&wGyydh9NV$h2dmRih;>4 zX~da9s)o@!OleXhWW>=%IN4#Vz>;4hWW;!QT(jmTy04AWeaU(DGe3Wr!KLkPOBkT1T>^wRzsM2G^F!ZgVyN=oM~na z>8;f;ne!ST)6O=aPHRBiumLh{Y6Dzv$!j1X{1<|BYt(@5SOc93LO9)wzJ?&mQtH11 z4sOc9)O9Vm^bJA6jSHdU)`I%S`baqc5S)bbYr*Eu`pCN1_36KB!EyKc$hy__aT0p1 zgLs?PN9uKiR|(i8Y*`0Auhc{Snb&8NU`5|B&E$HFghnUe0UTTGIn?ziAi6aGxj1S) zsQe7(lT~+Mu*PIfJNi2=whBTsW`vsmE!bP^hJBe$A)%0i54&at(Qg}Ib!-Ww<|hSbn!N({OxGYfcq7bBY!FhodoIAt4@3%= z2hx`tAuz)Ok;1zJF?#cFfRbwY``tO3zE!P5&$@budrL8BMKsPM_nS3Dt zgXp&z8p8sR$teLC#3MJM@x4DLxE}s=2PoCM4g0Sab9cZ)!wR1Np1Br&w46C8_G1GL zCw2X4&n=Mg?)cFi?5YC!78vthKN`FhhUf0b`8e2*@y&}o_vL&v^7O-jy`x1XFfh>< zX?fF^nr{QO&c2+HMvHt=?E#HH1!oltUnFL{)O-VpIiNSD!>8K-SgH@Q^Slpr*bb&z z`5-&z_+ZS&Z3m7S`XD=-`(VuSw?of8b&;aq>(Y1I0s5f2$j%*g>7@e@?f4zgvrb)P z=MZST4ULO-K+hX>7&~Q7b>Y72OSp~rUy^(J!*7(Q^ndt?ZEP&TpW0n^(^Ntq=kTbI-RN#b>ytk%Cc+%%=>dzBx4&{k9 zXoe154ZJk8e^1w8HE=**+1mq^i8hZXT4(U~n?yUSCatmu5^XTN@#EqTiFVi?$a+s| z&<%SaZdGg2J9~f~lWK5cAFY9FJ8UnIBccXo+bK2Zu)U!3$`ffFPy=Jlvu%ziX4^NO z^ygk6=rB**+_!kL^H*JRq$9F$&Zb*GHJf4mUn=b*j5*O~vnc{U1CZX1V(vHTzp|u;@QUhu#rxNbmZR zpn~4j+~|aSpz}FbdWc<9T`4JmYg1PmT>t^=;i5tBD=u_@0Zh}s(8wsu={?j1b8aqN z**??e06=$kL2~zZ!6<=k;1A%(zvZ=uhZbFMXm8g%jW%s{beBo^YV84}sSSj+k-pJJPL(Ak)rs zq(9j;%#pS}ECd-1gcq&&L74iplzPp9^V8lDsmJ-b#DViuxK0z#0`3bXV78e9a#ZDj z0plDsazKtoJ7Bs0Z)gD=xTnHWivqQc% zg2vn6_jsXDjTG1+U%%QR3k`~(`v5!S>oz+KVtdwTXNP=Eu%l+hFngWg;_`Vv9|V z<{0pIueAn$>)6m<$AQ0{Y><_lzsIeSzs5EgRnFfD*2v$(AY^Ns^S6#Q@^>`YWNVuz z=LfKNv5!PGtTC!LPe3>pS|N#VS+S`4kr*rF?>sBo1M0$0dhwcInLN-^BUnrFbFrTVQkau{Wi=%GlWG`UPWC?4knGvjFuI)VPSr3g z_oznaoq|ak)dX37>lDOJG)I=-G-pwUyW=$UJYt3f zmz&e4rvdpGGvs!@8TCH{mg<`!t;dRVO1K(uKy_M zqH}6<7g?*Us3!03PoV%Aykh?ZY3>fF`OcUhp8Mv}_ z&biyb1i71RLWf>}fW3xS$Ji(NKob@)D|+?<_*kt#?mkyw)Ohag2=A1^dWXlWwB<#} zz2O6O$XX3v?a)XBzx#auhY?){eMv22%)Ob$^xH)sU;%t>zdY72g=ppI6xF!T?#g1+FY!9j`)9TLWZaF9VF% zYSwsGAE{W)faX2|&DU2Ucp3W0$MgC$;vO{mUjxlX`aIW8hj&EY*C!SEKs-i}mf0uBLs^B7Z`Wq5wQlbt!W97)sCX%3nosM!k{BL06jVg2( zyNXrl7j|t^g*Lhl>>Mc5VCOv<-F6-3%CHJ@j%U{K@a`UC=Rq09uo6Ko`yD$kmc#92wh?Qm(o~*GQ{c8L`+)XBk7nNz8jziO^!6>NkI4GaW?D*!|1?dYAyi;aniQQf^Fzd2lPRI<(#vykry_R+k7iM%N^_ z+5EGctSPi-f}&BH)V`RGS|hm144~crlwc!k27j0r!yQ|eSY^llgW3{M7bNvmq_(v$ zQakuAI1d4(_(JGA1^$S<3Vo;Dg}y)kHKq^dLvurD&b=$tl;uIo)YH)N8UFa^uHY-{ z2Y)1GK+6{RBk~@!*uo#9ilC(z{E>bSdfoYHoRtX;cF=I?9=zw_3m^H|?M{dL;5_~( zj2v!)$|(HN;Xa7B)uGex3n8KBf3W-aGw^neDm5gP?O6?YW5s>t-_hIYJ3Mzg4x?cF zww&2nhu;Z507fLSwN96JmShza4**%$2SO9sYp}7B{jmxDc+CFj3x9Y$6za$(eS=%> zIq*%PkMPIhheDvt5k%)7!dHb<->48nY-N`~!s7_(*FpG3U8A6JCNx46E&0(w_VFuB z%r2Oi2Jqq$qg4{W=^)z(?WU_B9IxRI2&g5k_83^*{VVlv0Y+9sYs<&r%JeIZZ$@lM z$FFo}ePTr!&25i;`Ax)pz8KTHj|Dr+bL}w_?DvuRt+S~hQ9Kbsn>XS8q@cprhduCv z;1+)DNYw3S$+Xku!5tC!Cbk~Z<@Gw!hh>6Dou3M}wq4na8R_!T9kf)&bU>9yOeO0d zuCf!Xv*Alta@wQH`e&eW`!oFqJv%6^?ZI+Bhwhb#bVYTLvm8-*c4*ZM9S$wq+_?WmVu+sQE91VB->JkOOBo z2{fsxfhB$PLYPh5o$1h*a5Hwi3(b2eoG1EDH1(CR#Av7^DlewfzQGiRzlM1|>_n4Z zgH9U<8u3P0LU!BJ+i#$+z9aQ|3+-8U^y^#b`=dJcO~t-D-$CCt_VmF!(79(zbKXPW zrnWTp1N6u&?tc=zGtG#(e^v5mwaYALx6jIK>4Ptj^NgO*M&E>*iVoe3^;;D^IO_M$s_ z%7xcA*_g6H#)4A;ulxZT?87?UgkO<@PUEFc!BVHQ5FxEjDN?7ZtW!_yWGr>ce}E`9 zL8r2saJLl<9`D9cR38d2S+Sp?oZ;aBvtv5r7L*`fE{Q{R#015YP)rn(Gw4~jqL)eFS>FNR*Bl8Iv^@W5edGJQ99d2cfjyIFPlUk z<{F-=GuQ1Td-?aYTrqn-lD+hMXwO5}-tD_+&l&_}@Q9n)E9ipw%(d+?=qn}B)4RX8 znusEje;32<#VkIB-}r%UL6U6fT?~$iWF}PG4D(Rh8J(MIi`Za}qli4dgC3T40uKrd z{}hx}Uvx(knCXn;IPaY#aep20LKOQ*;$R(dKNRarV!4hu2*vk0A*rt2*7jWm#rq`j zdL8jqB-K1gJWfZv4#fi`aWft9SQIyw#8x`uC=^>u;-|N?p;@B%O-Dq&S4aE=5)A~r zSQ5|F5${3qN)(fhw{TX+W8KPAOPy1`oh15pQxnHnPEpCqxtlnvS7ApiyHte(DD8mw zlC`>-&X53g!st*h27;MB}tYEM&%# zl@{00!#mix%SJ&aWs9U80{ii|mPOWW6N<=%zj2_w;MAZsP{+SwCU#_C-Cb2rBY<3l0C$$0B&Nwd%;}Gv(QYSZ%X@%z073@@pg?cUW z-wQigm0!`q$3Rq(>|MD+eGN%Xi(9RA?75;n3rk%`AK^O&Uj$Ej&=CGJoZd2iP$>1G zE(&5}Y4NM05}I2bj=|5NmpS+uFPQ_9;z=R+GW9nn_7*X^0)xvm(UjOLr-PuZFZ?2?y~86?22s?R!g#ZDhuqNh_A(bL6N7}E+*Hd3cGASk;F|8EJvn zEp_Ul+iBSa^yI@jC1R&)Qm0E7&{L@{JZGs>0fYDSC-=0W1;XnnbsDSNY3+IR)SPu1 zjGY2nP(MpzE1XA1db)jTN_{T3gg&o-a7Tw@&{5CxIOlUg#<*G$hpp$hBa2?Tg30Ij zoL3ED)^1kgbM7Ho+YR3-VGMfILdTjQS=*y4xN;V)Wr3i~7p+Z?Mr=lswGiD-ozEgR zV_ku_WbIQ7Set3iu{~&x)_R{sZ1X^-HPY>8&{~47Ao&bpYsakJHsjVBOV;+DL2FN< zb*#xGYx{Hs*G{9g91xWGqqXJD5Sv1>)=;-o*VBm2L|0H-vR0K@n~mr2?@?$i@ibz~ zXs&~8=P9(-M^`ZI6k;0&g0j1K4(}pa+YjFcWpnzhnT|ENWGzouaJ>Yrod!W!AX?kk z6tO8KYmIa}buU3|Rdoe*C2L;HS{k0t9VBagOAy?CMC(&9zT|vr8#5M~AW%uFt zyI^@wY=YSGPolNbraIOPBx?n_f}6!??Fk6Vg3;QINVH}uS!=A@sb?`_Gt(9LO4gb% zYbrdJ*ORREFGg$&o9JNMeFCiw&=t%)f!MM^Q1%cHP1v`(Uqst&DQ=p^s;TVLc@J(Ds9!uR$fs(an(#PA1yd#&a`7vvWcrLGl z)~rI0{{q`ka%3twYOHIo=P@bTx&q&02)hpm%2e=UZ@`2BjWIs`kNx7P35rOAV>qHg z;X3h|eH0xv)D?6+ijEe7plmO^a|MpF!_d)uc!$37BP1R&B~f2(5jzlcK@q8c6fq2F ztb<`@5n>3@6?85_3`;>!wi`cB?Q4V>(u;n&GYnC@P7-(55#Ip1K<`PCxVDaX6N)=X;vYw} zjZZ*v9Z7sfM;wFVDw24aj+p)LD&YG`LqtAQM_h_0=!23tR7aeL;)Rk}p(9R3@i0kz z`>-~0_98I&j*`S%b;MpMc96t>>4?AL3Heh4L>{XnE!;!quN9*P|#u|h`-x8oV|PxU!+tJ?=O zk&o>Gq8pNEr?$usM0-#~QV!5$7gF0|M}3{8c&-4K;#6J1ssg?gEj~yBNV9m-A5}oB zyAu1zPxa7Lg`jBxyJcB%cRO`Bzq|eJF^Av8T1VTefWo_u_Mwv```K#y&+|3ROgkFm z3GZX;U1jfMZvvHatLQwC^E=t}y*oUoyXQ)L$fSJukwfA~TISOTH&TZ<cnG*NrqV8vopg-#3P*IRWr!B7Q&KW#w&rp{oLjD{+IL8~}iUxxt9M z0^lp&sp8Q{4t()1KjBg(z*hU{VRw>G%=gnjJpj}FeRQh_U|6}2zGBy&`)FNHxW3v; zM|c9Jr%w=5D#v6~xaT*R-1pM#0OCS2_admcKpjxs;htJW@ZtAH;iGQ8;pIwD2X@o8 zHAo+FeGkp34bHRn&`Y(612wBjEJ?pTv_?%5ZuoJxv0mO(`1VBNJHejz@*%cHuO4ZE zJGtvO;A-tgaEo`-?=?w1ryf$D3|JPG_pUpcvU}GZOxe9_%c^P_`*Gs{Q<~&O+J)Zo z(;3uW?yVxyzYNMM7tjC|G6dRI1-6NXz$Ie}kyQHbey9yX9*o zvbGMQx!e9XqF3+@7hgbRxD64V+=__q`vRgxTj@W(fXHJjt>*{xeFFfB#|=6J zzOHLizVSCu`fNfd7dIi4oi%l!EZy|Kp?u#!%>n_6^(KUJZ6iXt6bMk(Zluox0ZPC| z>J}w_9TrO3l1u05p-|FJ2O>UC%Kk zTRI|~3^BcS)<_RVt@l+I=im$L9p1lXnM6=)zcv-mp*%n24iH5$Qmv%ib@LMp<8^yII3} zXkhPKke3T+IqT7N0giIj0vg)_>dFN;%JvH=g}O~q=5Iz>CzIZ50r_j|Ya@PxuHxHC zQ!=SZOW5>l71GFFfXgI9UrjJz05#W_Bii)CI;)svM!|9mrfVXC9pzN8HR3 z;7|$w+jhBCv-xoNN$N_?TLSOH6X4{K(}viQ_lKB88=2#kbm|-rCa<<43yf+ULRP+` z@I&oZw7eg&wydROi068I1&c8%_%Eid-j&fEtqCRF=W<`BNorr#>EJ7l=5`|O$k77` zd7TO&%i03udhpXE4038)fV{i_A-m0CW@?kWbLjRs7;IX;1Z`*1EJUnmd?mA%(NKe+7KC3&;9bDm85n7HaNC-$t{U?!yQu zcob3LJuX+nd+lk=y9FKHmH3#}flUS={BxI0)YNQh-iZt+Gp3@kh;Cpkd>R=0ij(ki zCkEnNGD5l44WRViiP7lY9ink!2jYpH!cCYxP!C2w8O-Qa@}>dV1;0vC5t8)D2+FJ{ zfSUI&ph>ste2as+fuNpELQwa50;tuK!I~d6YYEI~y$wP6PC`%fte6ZP?lRXK)wAFLG4H&K4$N}aZvgE95L0bBhBebhLM;* z5!IwbKqZ?9=CTph&P|9a6JBd!OU$|tpmN`YsFw8sRB0O#mFXYcgu+FQ>hvG9urJVV z{03$M)>N1AxTf0ngEh5vJ&OwaDn;d*+W8M_(_B;IF5{YdFcyvbsf{liN8k1b@>+1D6TvyGK&`k0WVq~B<3d}r6VEMy>t{FlalWnUcWAjGRpF_w1Qfn21;zWB5 zC)Mb}p^$aSTY7vb8AAq-Kug_+fhCWTU}=+NY05CLba91bY1eRO$&vmujI;^k{)JiX+v-vp-IHZCJNNx z{MPj(tQ|z3 zk0PYH(Fl%8w_$7E|DUBZuMmG&a_YPy=<6hrEwB{JF_-r6;HHKU@ z9+t%{z;~+k=$TQFxuOQp=3_~$Yv41q%x=@fsFq9l`=I10xXv?XJDk2B3;c=hj}9h} zgYl68e0-gsGAK&JqwHd3bd;_bN8rb!`*BV42-u_e0RQN349A6{{LkWr^CjR z0G}E~M$$W5{n#{hVE>ipoRGSJWZKc3kNk8kQ>zsBqi4qxTg8Jysn6Pe^er?bA5u3= z)iCnTjTCKplUz z&ZoX~F~Q6vp^mv) z=V~8%7| zRnI2S!xM?^U?<5PXyd|KtzqM<54Yj>g%9}SeRNl$I$lH7&zGylp=yb)YHR`x|BKi* zUZE>+(Xf$?HZsu0XkFERdecRJ5x*|SdRLCpFaQIBU7jA$3CLm%)xuy52&xw7st)f> z9VbCR=IaWYXxPZEhXH{zD=hDRx~euBsy9$I4pkfIs=n$)S2A~1bp=JeDn}QAqsu@W zj|+50m!+YagQ_{Gny0Hev=?=r4DQl(1rZuHN*ZE>&_*9!RVxiuy-==d_KgRkzOL$v zo-~`eGtm_s=~+3t2@SZ7IJEIFUuSd+HB=KqxM~ur?$cEr+>^Rafo!4D6*TTiTTOva zOC~+(6n4GUgXVx@*Q z*A~ntp9KL=IP*37W}|ttAAFpf)hfd@R3=2=I8kMmTBU`CN)D>Tp-Nx1N(~Ja7y8Fk zqEtkvWwP#c{#4k|THn%%+r@5`BkK~0gGaj`_DKWS+l}6ZzR4G$L7k)d$fj%beTPwb zhke)Ss&>{;Ek*cdKY0-TRJ&=Up^}8h3O`htb(1+(dbm`iKcjAR+BBH$?p&fFxYw0# z1A*ekUJ3lMuJi&l)Z43W$nIJ>;8JTGFuGX)Dr|i4{t3?9@UGNxIvAU;?hCIKM?k~i zVUnh^TGLs>Xi^Jq)a+kAyy}uFJOW0epWr26_33F7s`{bon?2~iLiJP^S_JMaj_C^E zo#R&w$6{T<7!BibEjf-1G(KEcHAX`<6;*RkwTZ5(V;4F+1>D)_3O;nM97}0m?(QAh zc(q$+EGIQoyY#~d{l_CzB&k*$pq6*0Zr^?qxB=YV{}Fc80aZ0mTXxTddqGM-0Z9QtF~CGo1VzP0#V#z& zSF!cAz*fZ8vAY`!EJSSV?(PP=`JO#{kqi30zklxDvpYLG`^@ZYo;_P7j~Cly?S6}V z#DFwKlAe>K2Xd3nZ%XMXuH3BL3B3(?SlLR1GF61SfmCg95lty*EDW-KR)l|3YLAGK z9$66<228>vlW56An?>@nNp`i;CCM~Nayvm^&T#{hBuTPWlB8yltTG^pq%ULPY$z*j zR1>lq2dRc-MYJ;@o@*zoh6Moh;|;S&DjATRlO%qUq(l~pg8_+Fw%l+@VwOenDI&XW z_Oxakq_WCNyBI+SgjBD$>T9{xfOvwp)UV{1mPHb8K$0R!&PkFrStQ*JNWMstbV)KM zi=?IjiJ!f`3y@Qg_E|KZ5!7ouRGU95!YG2KBckE=EqZb9G|sMD&biK)ERwwjB*$}1 zbuMR-%xx^EI@_`$1~gWuI$=|@A{wbo>SB5qd(aB=I^3jZ{%s?+3gXSJ;KbDLOa20l ze@fWs!(oTS?RQzEnRcrh(eMeZFRMJ7GA3ZHd21tboXF}r7mgJ(SM@`&S#JpWZq|wW zI(;_lD7Zhj%Nr~M#kL1XE&h z109>gKE+T#9CKzp!l_vttK$4=#2+QS-=LP1z9Dx>XAzT8PjRC3IFuAKf-EMpVeZRE z>hg^4kEWZVe8RAOS_^qYBQ$c#|Us{^N>JgvD z@|o4dYM7!;D(gIH%;J%lV%Sr2MFogH9~|B~$ttnJhsO!pVF8WcZ+g_KAhBs46U3Bizg z?@C>s0q%y8;xf{8B9?v~!a{WCLF9Xwm89k~u>`btaALoiEWZ)w19j9VnAfKpvsi%d zzXK%SbO@>EJ7{?p->gW%xAStUJe!r^?gMmKoaDANYBnp)1`VXd*=&00(EgImy=*qM zmSwTYiWF?hi&(Q`*gc#XJJW`y%waa{Wj|UmhlR0_0rYwfJI1f|)lth)okCkK#LTKbXY?G#iP|7?Y*lz*7 z$NPW0QHUvpuVA(gLamv0V}niQg%9Vz=AC5=jdlS=&1dDK99yO0L#-=Xg@;VdA%e+S%wAuEnbiX zP*$V>Xz~JzPJu}T_Y&6D%53{wy`c@nIZ0t?+mofCElj5HgD}fiy=c%{=ILDmD-F2} zt{|dv8k|wGG`RCU6JcnqXsLy&K0cVS#%%&aGQ zEM-I4n{KppDH>Ax9JL|6oVfsB(uW z9WIErp@}Qm0Mi>~P4h%qmeBN?M%qiWH_dSj5tS=G>Q;X{)NnmLiX!l}~DyIQxk39T!>~>S)wv z_`3?lXxU~~i!X1bqjQV6Jy~UF{OHOaNL6PGmUp}RQuG$AhF@$c!7b970PY?-u$4_` zlRHa*m*ZYA0dTX?WU>u5{>(?V8qel`=+}L0mgt79#&Rsp+{Q+*K|Zv8J1b7X+p!va z&s#kBvt_Y#dpk_j&zoBAV6C)QSL@&fyb9C)9oUPU8beoiz)AEfj2GIIahs0+PWYtY z7^<-oHlZ{T&9DZY+llRm?jy-_7ZCQ1ppLs>D6vgw(=PVT_jRK`5PpIFR61uvgs+XH zv_v$XS&ishA{w{JaJ?a=;eb&RDsHlZ;*x-B`f_1NMd)G@Tz7C|dXt0}J_?RmT9fdo zYM0U*QPP^!D5$o{a$!vc>7SOk8pErh=vN#PN9|@hBW4s%@q5^!5*->yaYYur&u=#@ zOWdqTVG#91EcI@MFIGhFWj0*b0E3BSV_TZP7go_OoX+lLLrYYxFWCvFtY=qqXcoJy zNWreGh@~k@HNO0LJ=I!lY0o}zs?s3w?LJ{&_Fz(mYxX zAfCdi`qr%dhgsA`|0wY`G>eLZq%(a}OFGl`=%&h0+b(*^2gODvF0>p*=|pRk_Uqm}V3WxsB3a#?pe@tZ3D}qO3ZGRS{*a zLTulnhOw~w34+uuS7g6fGP#32;9|un;Ryz6C0eKu__iQm%XPsLBl@7Slysl9bp6-oZ(1AHL=|I56REW( z=$nPsK6+2h9yF99 zCpVNLM|u7s@@)?(@?8`Hk#B`dk#P=575OKw+7u!?dPtE8B7dXt&se^^-|Ond9`{~~ z?e;mtQ0$#ZHx%2v1buzRhLm)9FWdiL8&Yxf>Z%X;0$!PR72n~YIWQ++WQ)UZ*!tsui0o;+=<%1 z!ID6XbK>SVtcVdiQd2F)WX(%mo}^%VYWNz1$i}!G(vsV1o75DdHm)f|bz}axC0>ZP zIURCuH8{{;@j0DK2h)FEkaGrn?vLlxHUr0jKibRshpWed*|}%5tO&PZrJvK;4Deg_ zoH8;nZR~6>OY4F$K%8CY>aw(I)n#c5-!Uu4FIDwg@An)mF)0@3anXdrJZbS4Oo|6S zC#Uyd85643hE;urYj1E8^i_T-L06EgOHY=ewEaC!Y(A<+H{RnIOxLGW^#g0oOrO%y z50GV-9bNmtx;b_X))#n3oX9|d;*1Ik-0M!QKC=Go;1fFk5%gnirR?KFgzRHOr0g9- zr0l~!u>hBAHc|%l2=Q;Hd;fX?wNP7B*w)4yM#q%QgB z*jILg@yaSx{PsN^g=l$MHqla6Wl3ux*&=MW38fEhEvgbq4K&=?>Q0VN5e&FPi@t;7 z(!2EHJ7x^w7LvhgINLb8l`SNLQ7t5clpjoEpC{+X3@Y8$gG&dEcz$~S1AR`HJLK^b z+{Dd=!Uj*P+)nDbt<+%0qe5xeI}^GNkm7XCgg75>((FudTV*P_nW1-xv(q(~+@4Bqe?w>b_1Uq!4%>HQ z_8H!YVHBs@(y&PgRzeanEkahHRGGWMDS}XGwQS*B1^J>(* zQZWT`D#rDytOu^M6tG%xUV_!eCnY(UeKVHKA~B7NvuoQ(3R0=j-voJbnTjb8#QG`? zQIPkbM&>OKKObjTwxP^x(@-i{s3eyln=VN~@<>5OX&}h8%d|}cW)pBrAoOdp8WS{F z3!VRjlbiQ`VMH{l!QX`Ge~}g&LzpF(=%q38H{ep378q5;*)?k^g(=%ITNwMCs03b+ z!ldV+@Jy8T!35GoT_jH}Z-mPoBQ3d|$x2n2+?uS_o;@sM{kIj@BM!bYtC63W(t-E&(R4} z-iY_f)KbDPW}`K!C+e!HC+qqU%e=xLLo}@R)CI+`Pt$0CpCXG5-aUZ6f zq$yUsDPN1LL7!T2cNTMk_FLm{ytg%|YNW~3cVD!$$eO#b)5k?>fXU1g5M@sRnr6@K z*`*VdKOgsFRZmc~9iAtgpbq)K+xP^zIp8_;1g#e7+m6r4&+TlY4%S?>>B` zdQK=X$OaE%j#HEkNZ%c!$)3m>aGbW;fYq*J_z)-ZemIsRukH&?$LUq7Y71d~k5M~Y zJwmw2r@`EPr zFr^40t0QzHKT4l+IEQ>OpMT4D>M*$!;65I;b5bM;3LMi!4JL>bIO{wT!$lti4gu`I zAv*1YBJg7VK}RV5TLFwXMjs;UB8WVAh>F;w+$x81lpBe@Kws% zb=7d>1)aPP<`5(4>+kCSgO76y_A?wI{mT@p;tc7F;Jc-ckbX@{j%+?~N_y!Zr;w%~ zq+gpNvo|XU>GG$@Dzr|Kh^#0Go;ME2df2DXg@UN(xC5&8P8}c@Cur~fTOoTjUGoDu zs`L-O?Crz@oTg%}-N3F41ize2cFw@5ak7+odNQ@Zvy)}c90oGiOQuE6kl8AkZa71d z5&NaMhxb!q7jUY&UrHLYpSrss{mnihDKE1hZP~-bhJswV1FQ8~V$B5bDf?t4F5?r$ zqG=3t1=gSU{(mA@-bb5UQPAGKQsl3DDFe?=gL39D5P9cbD(eQ32k)i6ZV>6o9w~A$ zHK!e2bOXZc_ei;)?IGq4qNaOPgz*AJeRm+7@PdZB^J?5@k6}G3zn~0v0Nif(|0nIL z-Bi60r1jV>rER~PCgR!YZc>gM2GTn3rqhKW?Y$&2^?)Gtlccn>lBlT%IOR){f`%v2 ze371bApNyV`l!%ETI~r|sk@|)%9EHQuiaCr zh;m*q$MUln{Zs4OT?4krgk(iUhz(;-DNgusXwWOU?sxag!yi-=K z=T7PMXBLJcUhI(d^VvzMf@sbTRT21lt%Wxfk@1Ksd-H~@CEiB%M)L9P(nneBpj+N3 zee(7k@)`K3wCzGZJ1XnLZ9Kwqk{LLvaUumkE|owocqqZx>H{$LZOg|05Eo& zbW{hnk#7+cUU^%N!VMhN&~4Pa2*iD!ASYE33F>5uFTJPl6qlQePI0NZ>=Z|s%TBR< zQC`s|s<@t5 ztl<6FBxdP_H`9*dydyiYi5yDso;s6TvItzsdQia^JYH*bw6O&LN89hFHqR%`FfF;4 zOow?{J&{mD!E8lrG5nuaji;{!UhVEya}L zp{|dx^)D0^1Cg+vcsxX1P55H3D(aykaMG_P#IGgu(g1IvVPp;QZVk89g*m7hny6_$ z%yKO)5VRKZ*)<907z|3$pLm=rGacj7hGN%Hkut~>qkk^ChN8uD1^MjuesvBe`s1Ni zj%vw;u$X1NSX0PLUA9>W(&sb8q2PG=HioXYZACZ$1@l;TGjf!u~h zmgUu*{k&jAV?2d^g!L>H%ESyEn~oX>FS=iryE%RK)aChne(b>kgL6`tX>NOxb2;wH zQamZB9QvSjp46focVpu{X=pj_#UeeaVpU$AGG}3nZRtfiyNElGNqOFj7r3ZZzq6~e zhKO-?mU(pK+=RRG*BIyTD$gsiikHZ`Dugr%;3aso3tF)eWuF)xz_GDa`aHF&z@se= zp3~+D9`k8H7ksezrX5ghXe3;?dJ|TqLevBy;`{iNhAFIdxvAWs_M-?u)oB%d4lE zUzz)P+*u^-G+PZ9K5ONIMfJr<5PlG|!C~&gqJ#NncEy3*LV)`L2MP=U?u#6#O$dfbqaA2a z2zRX#<)A88F0kQ(|19O^Ev;!FlR81~X8PBoF-n#cy0}_-&CH8C(B%+bkvXRcO?b28 z_ClGpnfAEUBQ}1E(DADL9(#0D=%XHet_Jc}N9kUDv2gZY$lv%qO>Y68VAX)z>54LX=`vRvsrKTV42Jzj~aXmv&o>0N{aNIiC1dy z{6=hPD!r+RdQO^=s14)cMkc{CVAsd<3A=Wp9xaXR*pC#NQHwWaD>3v7hHQS_@Fu5m z3dMHgjakEkbh|eEU)=+VDNQ&xqJUPgVjm3DTJajRu`aL7R_v#jbusz+l$;n)k2@Rj z^7~X#>(lD`7-MhVD{Hy-nW*LJXW6x6W1f*q18_LMkJ>bVCBE51OB?X{fsOVEi@`#8 z_O?W`ry_R~{O0iE(Z9*WVpzGS+L>qEd}(Pow`N24()MrwH+Q#oX1IF@1vKQ-`Jp5& zHiI-FiRO*Cv60n~L|IU@+OaKpL>`TKJ?50C+LhMjktoyY5lv~#J=v#+w4*UEmiN{} z$Pnro!9zWplT~9)eqs?kSl4tsyvh~yNtDu@7i9%@2{0Sb(Fh*Er|gtXSUc^3;5g-h z#K5l!47KM23Tgrj5_Zw>Ccxm#4gqwq5nDT&STkOOg^v-Q&X(FX<0h=xJ)vPA<}gOM zR(v`_KD%BRox}a9)*IX4aJ*Z(G!YmNHh_K*Rs zQNJsjD5*7{qU$hRG;n+cnx+*nab+%>D7p;~XFWGlY8xKOy5oY52D~aowdMDCjSW(T zliKmseDYQ8%mf#27Bp1U#*<mR`Q}O;yzye6y@ITgd)bQl0|3{ ziXtv|MiC=d$|7RViy}szmqoNWFN;{dN)~Zog<%nIyP${zXVoH1V*8 z?JO$&PP*6ew2`r3nc^zvIQn?_gB2C;MIcT$^YfQx8uYK+(hc0Wn6J>jDA_M+sT z7=JGBC7k&V)iqi+OEDP4;FZfJ(HOC|?L{M_c`a685v4_=Z(FlaOXr&?)hVVAbe_3@ zruKr{Y`lm{^yST1%LP<_Gi=~QU*3go_vX67L2I;mp4UbrLzk^NaRd_Ti!&%Ixw|Tj zdqfYhw_~N5@OQES-K9uoJ)}s7=Sh(w<{65#ajq08&wMG8$=u%}^<~b&~bx5o3xgDY^{ozHc9-_$pyt&h**^)9i z+ufZ{RVmM;3d;Q1hhaCRYRRaAt}rH}(NNED+-ef)Std@?^p;vC zma+cj&e|gF1^WHkQ*m?N0_fX!1)sCdOLwPsnxGAy}gN|jw zUKQ!F_~g(1d8RfN`d&wLC!IuGm{}p(J58~szQeeqSpcZjLFD(gM8kNX>z;VQag1k- ze!w6Vy$>B2b}D!8!FT0(AwGMLA){Kuc^LaXnPv{>m$=ttEp0BR)Tg8oysYyQ+|~HI zN0Y2p;)n!TElbkQbT4X0t|Ph5I0kY38_hmwY(pUtD83Xq2E+BLvAhF+HbG0_yD^y9d`K~;kFhAa!vtA0dep4F$1Xe7 zqC4%BMYkTsv1E;|?DZ8*B{pFqtsVt`zG^&;oP~Mq(w`cCDmj{eH{0A)mODQoyWD!( zmx#sDvSze%3>0#uDdio@yPCDe07iA|J)t#E}0aUWM(8rM{E+bXGZ>G;zF`?rDA5#re?mZN~N<2cHFu z6yo8iH#+4`8@1|L7>p|Oh_B6`$h5O-Km+1W!ia! zQ(_#CV3!+^`D7l&PS=+u4Z>2t=uCf?bbNSrNgvk#QPQe<^msBaY{3XF~W@pBJ1l> zz!cumIb*2QOY>~Kd|IpO<^5XO?&#+xZpJU*DR@7IRBxx?sAb=waPxVql`SF(gx2-G}4zc9c`ItkG;U0Fk?reZcU<6qUzt5el!{1G!AN$hXzyI!*S1|B zQTZ{RDXP~&#hn|5P{=%9Rj1RZB+=PQF!z*I7`|+ohlQ=QXgWNPueL1|Ewjo~KO(Db zu*m8}^XJ2roa!xRa?Ud2tNF+n-nL^(bF(b-4q`G#%$tmqi#8l$}r07hxKE zpr@EDO=6{c3T{}9cdSH%7xRBu&41|WV&0tv_DF2Jgcma61-k3!7e|-!KwVrneTCHN zrLJ!UVbYbiS0L|Yyc`=pi`p%N7G8Fx70cjvLvVC$8J}!r(^cexvsZh?*c6($9D~%T zZghM(CUABsFn-9?t_zi1!7uVjQCfO-hlM28SjjDntOGjhGl#_q0E?Fu06NmhRoqMW ztdmTOrm6F|+sxr^Hf&=jda#Pe=!)u-aG2dUND@J*1$5H+S9;q!)CPq$&Lwac4qx1;^k^`W5cXno<{ z^sjh+;w_%Njm04{=TUTiJC;x%6sBh)ZLpf=L-%&T$a@#2jyo{Lzff3Y&_|x|BHd1` z%9fk(@e6pzAxm~;@JK;e_iI`Hf z`+v!2dr0!gL^$MY9@HWkm{^XWyNPJ^{XD2Z5-)2N+(@D;Tls}W)H(?o*|dm;C&3pS zDn!SUcxk5@4fRyny%UW<%dwk1LQ7`76em6qe}rCWe;LJvy6uK8-r+mNyLmvtU*YXHA9ktlQn^~p08B&Mh zxY!{#Z)*;;iK8}4YNYfASvc-

oO~DdDkeWR|bx7f*`R7_%TJiRbNenZTrWPhKLYhhlb4ycXmXM}7E2+=< zqo!fb5}4SCobCv7=d{3OaH1X`Qc;io_Vo4;ceT{OgtP83>0Lla2_F=%Vjg;)vYGD0 zR7mh#i%`!qtF4;Po$y`K-jWNbn(qx%rHlF8DNO zsTd3ivBb;8Sz_(C)3fVS-H;vEv-^a(RBm<;r%AC!9^r1<=XSysmCjFVj$qo2dkJ+% zF__%6kop|u#f!bL64&Xz_}dBZaf~HT&SNYMY=q&8?Y_IOFv_@h6z4_$#nR<5 z%)rXpQWJzc-9|@7CWIfm2?Hgs2{M%j?(afI$R)J=r9^3i4yQa*Yw!bbTh-~>YR zd^B8y$E_(9As=mx*CI^dX-~i97E~b(3w%M=R5uMIU#)0*8ZW~=Kyok>;@lBW1Fi7Y z*K}Th@3Yd)_E(Bhi<3}@r4`+Kf(_v&NDawAr<;P<0&6X$ovJmCn=q|}5#X`0q|YZ| zkdM2O`zdrBMS|$gRoO4|M7pFU?j5k`N)`7I)1{&tJN{QzbMQ21~&+!S4Pca%$&*tSblVDE6un1@KENhVWdA>)t z1$$N)bAOmlFV6GgUVU``Q!7_YsL}<@hKzJH@dALsGVB=<;_J0G7ZKviw9Q5M+JqK~ zaIFbF5Mf6X^1g)7#e{l_@VYT2h;WuMeG*{C(A1b>5b`6~^9soeUA%n(U3|U_ zUBqa}^a?M-)@vyE3a`U|Dth#*f%fM|0Da*K;Gd(Y@UvSASzP6H3Y1j-0{nbV(N_U{ zr?wLKt)&F;bJ>VC67#G62ACHwEipgrCoqp7mm9paF3?Xj3-OuW`)9C?((eYkgoh=i z5-RxXmGJ8(4|UvzE?ZT??M!5WMNg1Qcue*3&@=I;E&de0i$4zd(^vetgfmfz;?Gq4`62$) z#-EUT_@lv}apF(PH!YpK2iN`re~j+)B78B9J{7-@0YsT^bRZp#>0hMuxsNKJM#}vs zynxQ;n?y5=jGkhtls({XE>T~lQgSr1^Iuear;MWD2XH+FRhs|AHwK$Ma)VrTJzE}N znzsSRt@KbYkH`h}aHI*SZTjm|enZ{h3&jdZYvj)2j!&i{A)kHj9PcUf9e1*Q(O8!8 zo6`|&Jso`r9})AJ4%omQxIThZfrt%%1PDKU($Z}4CjozMh(A5?$MrG(c;ine@#n!u zEv*xO7U9pE$1vh!A7w?uDLNesZ9_kR+Y_K}{ZU0-*G!)thHrWTo1aS==Xv2`Ul990jJwS{Dbrl(_b)s}H7C6P4gSam zxxjCUG!^`Y`jp?06?-qi_sE^)*E?zGAM57=`P@6z(2wPgU;a)@&z}J~2uCHJzBW@sr%{F#D3KgFL~_*3--G^J#ar9CvY-~~FT1L<_~1svSJ>16eim(i_Em(>hY zp=ZtCQs0+wNN?UsRbPZg2=QqE>ZjIqu4yRHcX)4$y^eMl=*1eHn=gFPr=4%P|g<845-t=04 zZL>0W{K(g2_6A5no^TON$s2fw|6Gi z7TnU&V>Ek7rPFyCqgXnSi6L#-7c@H^7@vHhV$7^x&i2ESrIG<`JH60jyZ!|QX8_xu z&;NpLS46^9@9ZbBoglH@rjq`rM=9`(zJn{T`usPx6)>*KfvqjlRBX-kDZjCO@=RiT zJ2Y38q-PS_E&7DtIVL?*v5n0g-})Jqcn_=~&plUQHQ_x>^TJa~5|Qyw>8A+8#4890 z`BNG%!sI7(N`yn6ko8A|Wu8#ej~M>6eF8^*1%siObgbdzdrV0mf$rMJ65ThC>BmP@ zIpne4UyR2e%d6<7r+k8|zVYZU_*O?G@J;PSr#@jkGF7GdPhdYhAeYZ5#r4r|VDks( zLMh{+9@uA9a+%MX*0_i4Qd7u+X5Pbi@9M{X&{n~$y-S+l11smwPdTi>T4-!Rq*yiZ%c zVWZ{kUAp-V;Euf~!99tO-+o86U2wQcIAhaJ_W&pSEY6bOz0dJ7(|2H;c=sdz{j-~NU;aGfUrz&m*R^RxGVyth>{;HH? zZSV%4kfIDayYDM2jRL<~|dvggKfT>6zOrCFpg zl~9zXOlvI1qHRsYShR$Z_YyV{-({DqFG{Q$x#4YY2 zNhw*tIbNhM#;8;EOIo^j4C_=aO_UNW&n22hMHbFOS=&Asn{cry^NZ==2cu-?Xwh|7qJ?| z_PGjl=I4dRmY<<#c~Rw4XUN4I(pEeps~mGiR&k^`qA($t}W2D$33^_)%MEK(kd+B~u+RFxK)aKd|iHOTlI%S6%Pd=g|qPuWJ=6!|_ zJt1%K5edvVe3MYLj3N1v_XEE4C+w!;5otF^MC97T5}r4QrQKBaRtko+KKvJqj^TX4 z|FD~lI9MR`7fw?=6ek-Sw_H%YIV7Qa?3xRzltYwO0MHCQBnHmdXg@>|BFspodG>(H zG*v2UU!8HhO8EQKlC#i9INd=NP`fCorjB z2zkpMlt2wVC@~r1h{)$D(u_*d+afTdb0Th0ii(r&VT!EcaVqJp6sg!yJYq2*$Gmgj zf&YorvSjL05Z0u1$_3Fwe3e18up0$)LA3LL7!=#li-Kra(Fe%U3HbOP5F_7e>`5}M zbOKJ9`z1~TlgZW@I4w=4+RlK)0>ue;8<9+N#B(a%Y!D4gn=G*~FN`V<+%Eyzw_jqR z0#tOr1SopHw2!GSpuDqBT9W5}I_d&;GxkX%y0(wb7Xq}xh$`0D_ZMgqaKzz%7}1

eH%bGvAdCm?ygQ$iBCi;8-|S|;t1)}Xek zFFPe5LAxXXs{7f9w;F`qyxS=OP*I88DSgoDof6UG(g)e@l(@9qDUD*4u(9JiBsdm3 z=~iKYGi-+hClzl-`06bu#Bax65L&QZME)m2G25g7Zqofcwaf)n>UIg#ZhgXUpk{5S zPu>8g!FCGtL1?;NjQy&yBirbR4}iLpAc1PKjmj2Lvc7TCFM&oDQ9M|UZ4x0BwjBu) zwr>dnAwSj?#~=k_n-i!~Q9L^(NE7OSuda!vqMFdTtrDvI33N#ijoB(uO4}+>@nZp7 zB}yZ?eo4bU*rBK2UE=xFE3MH&rOAkw-1RVblEsYW$uMs7bTuU2D zqlD{gNLNPbSkUc?35-R5nou5f^5SCC&4bhUGKw=-c=n!K6YGC3OY%r{Z*?t0+G(5< zsZdt2V8a>JDyxLDI;&`ES)~PYTTL&@Do(6$9@3UmN@%AT3BHq!aPnU9;T?=rz8*BW z90rE>FVd!R%9w(!F8(1-^E@J3(>zqSyyC2s#}h5<1)t^CSiwgy%46s}{u0%nqy+Ny z7fh(ZW?aixI6yhfZ~QW$*8xgo`Mt}9vEvMzx*0fpnHI;3aJ@&k*qJ@_1LfiH;#yd^ zf!@PFMCSw0rOmIPSZVLzG1JaxIc=+;G-p?qQQnG5Q`3P<1t%->wC@z&MDY}7rW$FJ z5t(V1zLZ{6RKnTnrBo>pq&1dE(&gVIX-XhSryw%ZE@cThRstzbn)IxsjAp05QJ;E> zk9H~!erDS3UQF(lm5wZ8F^#K?_T{yhc2-t`jLuVZJ*9{?Zjm6`xrn@il!mPPA{rc| z%w!2)R2ID7LQL?CP28NyoHW4(WzZLsJf$_k_>D6!hhH3LEC0o0=JahgItM(OX$pQZ zA^+uOg)Bzz^NbNqzJHNSJI95TQblR%JTUDK%?$mlG8z2YL_M=NbFZrzZ-xiKgN8NG zT37c}uNM*^ZU1N@SNNwa&}##GEURIBFAM#qnVLn@T~E^$G?T8-sbD37MK7R2Axbee z@RP*HZ@#E{%lQ-&qO@ej^XXOy(5wDYrn=4(skP?O^s1Nzp8g*XNQ^HKhrg zG?$uJQ@ZP(h!di)j{s_4Q|rW59i`{hl+G;ZD20cj?Ij9y3&w)9WkM| zr;Pn*$_S$g_!5@l$YU~0s7ejR$+}yHiR@^L%@!r~noT`xKsv|Sbi9Vro5iQAa}wX)0b~0rt&;i8lC`Z5Fe0gLO%x@1yjo~#ihJ0vd3v$kfXH5 z4Zm^b`DN|`2qvb5`lsqrLv;u7Cd~N(E#fp^-v}jte?wcs;7V#8qQ_xMS=RR;In`2@ zv4S(`KrN-V>Dg)0R0CiAwrVX^sjU>|&9Gs0zd1JEx0c}=tvPl%@iEouG_y8xeV;0G zb$OXHm#gX8R_e)wxK{?5S%qoTzK&vV+D@Mh%Z*u0NK0Glps=Se4e1w6rJsWS&=grr z$z1fVre9<+jSaJDjldp9UZ&ELx|o`NnnJJY!ba-7P_@7Hl)#MhlV=m9km-!EQhCPr zg|gAGb_gLx<*T?u@{)Z@*ZU@V2S21Kh78ko(&DCy3)9}Gs%e28LSF+ z-3lwK&uvWC-&TcRbK8UtKE^#04lR|ud^DcaV~}U(Yh0*xOT{_AFX+?-GK*L^4cwfS zBK#z|M`HYZGKLPc#HjnlEt8ywa2CbT>y}D6w{BZRMe(^=WXV3`(gWnMwXV1HMK^DS zqC@eNeFF2*W)t;w8460$n9LlvB|qs}E1tTkH`Sbz#j70{6eMh+;fUt0H&LlDGtBB+ zE5-QgO(x=u@pf9+MyYPKVWY%ap2RtSoif@e4Q*Hv31!$BDwK$%exJTgjgwJ~3rOJ=OA zsWNNN3z@y?c9i1A;`)m$4Oq|$A^0#l)kO(ov-%l`(6X--VP9Vt_)KsgkZ4r1ex}NPm4WaLR&9t3Q^r& zN)cWF{f^maoJYUcOEG3U|Di>_6c2t19ZGg=_kZYFFO2`Ady->s*w~65RK7QsdZT(! z&)%>>s~)tcH!OB_kHkm4mHkHiX*VtH9ilke{5q1WweIdl=lj6ZjGZfegLWh+;_QYW zQ7cCk`YL&qR*3pttB3+OC>s>jTs27VUvK7lHygUuSE)qx8CJj9Z+ta2>e zSx3HAikP6hkX z-YjMO9E#b%uDxV6Oqs)<#Ow9&_%?t2i%DfM?4QNQ((iJWq zD|6xoJ>jfl)KHXETBDUo`w(T8MKM`Vfmcu!GxH~K=Hks`%dz<6O0N87n&U|Auf>jL zU9l;XgS)o0W;0SJj-iMViXEd{)MEtP#eiEBKLT^8X18d=2-tDic=|d*3E_?6)E>c} z#$VN#Q_st2U+wm2OlZVN?LodQ;K+RcuB}$6z@6q$&Lv zqqNms7^f;Oa2uT;tJt!GO=-wjlx3-Zj&Dlt;}jc88LL>bRi`9TV}0Z|5$SzSMmngG zg;@Mq`Fs~Q5s93=#(}7#K5|tPnmrCUE9WIquO@U#Je%v&%QqoDUa6uL=Rh*;rbSTg z@t79Xh@gezm9D0z8p%#Qa`W$zqBAv^pp@0xHWu{t8`I5yhdvl`|?sjQq0_@rv`2GgNu9 zQovy&5=H(2Ee(>*G_%jpKa-Wp%y9#4oUFuZJJuI9Usa#V#w#6It@<=CUfIQJ)uV<} z(4v~J6T?US8E%Fns$xwjMaUCB>5ChJ*wp4d)Umm>N{P!<>eAgQN<){{LuCugIZkQ2 zRy3p5Yeh41V-@i-=Tv2`%b0&9ZH!?2`<10}YXt3>HB@+-;%VF#PyHk5e3Hh7I!;p@ zSgatECVsufQTj9`!nLl5`$P1#QuJ1>#!F}GKzj0px7IAj-R!Z)JRtoN=DQcz?VDo06%<$V+IUlBknMz}q3HpSb5RY0R zIK`}>LbDW4)^Y`f&BFM$;UO9_OKE4bV?ZuuSC`YvS&A>)y!^MO6$+fK;Q-j$` zBzs<+5@th=75%dXSAFIMto%~ zXvln2#%+;7WlqdTW$rJe+w;+kTHrOD1t`F#cdi1e?lUOBcAqG~hwdy;e00l$^rk~r zQ?O9!xlpMgUYgBreei0ACL9o`w!XptsIAXTrSxH^gXs1`#h$+p($c}%ici6w{}@Oh zm*)D+7Y2fll?N`u3SanqX=?F{(A=HoivoSLS1JpqkSB<4EQ0&oRhfz{R+>5KdJ0~l zo;X0B^;)6DJk<@F&7&oY;f7z#rIf|6w&#^7V=-2m_EaLbB?#jxQ3DaSu0$h5SiBN# z65+2vx+TK1fn>H6;nF~=D8fF0)J=p{18J@ZZ35}oQYFNC;T-+Ab0p3s;5()j$zhoi zWL-Tg+E0z{Tamgh!+LVrinM8&62*&G(2{W+_tjl3FEBQEwa%xjsF8e$&j+(naZU(c zE$bydO#qEut`xDW7$BgRuhxn0>t))-1Zc@E9%r43mZ$XPio5&JE|QaYwQeZpqPaLV zFHfabfK$AXK+nmoqTqC~yq?qWa=c=C6-xtrs1ogksak|@hYX9c~U1d5$G-qcYEWT$vfbEtCSA6R{0=Td-PeHOvJR4AXc@t*&El^6ZZC{FptOHwZDY~%^Z2cnzTQ?(rvRJE> zw`iBmb?!zJTGrSEWB$roV+*_y)y_YQe+&&<3;yls;9Br+M==|~e@#ijKcgf)-vIt| zOH%EP;D4|Uyx>%qS@`ELOK)|9>p{L2>?{9}t# zi_PHgQ=Il}2LFbwsLgutFGg=Rf`7|w{z+?c@gG?%i+?(`-UR+FY1t<5$HkRf!T(rM z!Qa}KPHYALq@q+b0sQYbr_&q3zbLic0{+dj`G>7C;BN_hEWtoncX*PI3!UDgR5NN$ z)>~0PGdi~o1vJC)f=s(*K2%{l3JCL|`P)%IpQcoK3kvX|GYKf5X?6kEmKzix6tKiQ ztAI$Vv<($#Lb2PxzX=8J1plXAg1>)ZTDKGYuX&N_F7P*spiK$j??pj7z`t=e|E^1O z@h=GmvI28y;|}m|Oc^`CzY%Rs1ph(U@kg8YAcrKS4eRbfgOjl2*smcK+^vi*_9k39 zr><((>r!~CQZTXC z0ma-%R|{hXEbr79L}OBv3i<91gv9-XE0(qWK9J6)C_PydN2+`f3vITwDDs^$iA@Nj zSMR{=POU^Rb2Q?GYO3ov>bv4q*}@vQ@)(!#w60-7F|+VK-?o}2S?|E!qbf1jcwP6<_Y&!wvF zboP``l?7EkEmT!muc}IVRaMSXRbUQPRn)7hVwS1`|E{X@LRA5D=DbjqR@Sv8`QQJo zstZC@`h*=F4MDpkRCQ6Ps*@pd^|e&@qEMBIURCH(R8^HTR8_2ARS5r3RjgiB#!{U3 z?Q@n2Rdp~dFy5HVFAG&^Bz;6KdZ_BMP?cdO?Hdq7RmQaKvQU+V?5_w_m7&pBgsMvG zRaHi>s;jE1(A$Vs)sDto6RK*POI2;@>@}e(MwPD%Rr%{x<)>Gbf0n9B=1^4$y{bxN zsjB4PRdrjasu-QQEmZYGth-p5cOd`Qzg2Zds7jx(ubCle_k^nM3RN{XK#te{VM6up zD#dxZ?ki!F|D>L(<(GP^ay!HC>(d+A> zsxNdNLSKz(%p;+%M!EFWh|WI3dVG^lCKU7-PQ}(suP{%&!o0E+=8;2Th4cz5l%+6_ zzbovyP?#H?d9Fm5?n;-{?G8UJ_HDyoD1%L{^pS__7=rdnDC?zAR$T++Sp9UW`%)>v z%VA$m>PlSXE_|Sip{}~=b%pQ`U3Jsz3VU+GczErc#X?uL4NHuFL*}nV!+I_0JJrfb z4^_Pusxr)^eFI{s>J4psEgIHqvVS8QmJ^MBBNSCouP7(IqTVXrMoym{^nG#mMBzsb zRd-)&P(nI}rPpfEg>=PVHxJRzt+0@Vkp$is_Rdh6>S{{T&>A!@1Ga33-bvlXIF*5g z=HAcA;~g4f345vAFk4ZLOt-S0T);;Tf%^hPz=uOK8}u@?T1~;MXe~6zjbP#9~?SI6BKPyaCxC z0Y2dugU3v}w0n}%xJrNFWMUdF>ru*(p;ilqnRZq0)7)=3xbos2efb6x@U^6l-(do) zETjp1x0EJO|A%O+-72d3j;ctVexj{rR>;{_3s$5pKjB*k+$QH=P-mIEQk`qfq&k1) z)vL3rsi8Xe>10zKrqiqQg6Ut>nU|s&Zne2*LYtW;LU-k=tnz%a&L`DrPvKnC+|*B> z@S&6e7E3f5SNh2{CVXyb15{bBtGE(hQ-U|WqF3f8ja24Xje#$s#}h)zN6 z8N@hviIB5RKA|qdOj-*thq_MCjC?{}$LUKxp{~!=(MB_duli(6rU#Uo{QGCTdI0y9 zSl?DvSRtvfLe$AlD9k;V!V1wAJE5>6R(O3uSz*6|n!%=i`bb3|sm=zLI16nR z6xu3i2wkrORLn_e3vXJ8u8@Cvou#eUhT00yYYX8Y+6vHXYrhnxnu8(w&KhXT!LZ0p z`{}i_(AGXlzY;znTU$cTHZDS2hMBY$U=D5Vrx`Aq(QMGm!~(9GB1Z17UK+#EMtC;I zqIg(!b?)f)F#Gdj?e|i9H%$b)`aChwP0-hUkxd^X>CYL^AKXnwg+O2aSz@z7f_~$( zZ2BZgAM+@izdKIAdVrpuB!2b~^m(6V)2B;%zsK41vAZb53-q5KC6bq*@A){J-lv8t z|2+fx`#b1!Re914=#940L0?TtmcE7F`D!||>bPZE>`@FZrYYlm z@3zQ`>pZiE9*<4c*75*7iTD~d-6^Kg@q>86wP8M#uec_N{U2dp0T<=+y$`dz^X`fu z3QGtG2r8%uh$t#3h=Gb=i;a!lT_Bh%wj5jR?f^{e?(PosUh8_j)|LM=@4KY;`}_O( zxXVtQdFGrmXU=rc18V9m4P_5>bjDkX&?amVf7=cuT(;F z7=KLnm8vrNnhL=C3U#U~ebz*wzlg3TMQT5#2n6L<(AH`|a5shU5?p^)>L<1I0-Lr2n344rJPmE$)j*<{!z+V38S5>aPj!1C|uJJDBMq2 z{19oVW~s37p;AL_7$)U0o4W`%8B5KW?;_e6ijpS&ou?$%)4!Ee>Tji_l7Htd$rQho zlCIW5Nn=h?u`rb6j80%$7)m-uqNIO`9)zK!?L-x8i#p-P;@mo&_^qThpVT^i%3D%A zeyMe;BkFXV=F|~&Lg!JauBg*|rB3syeO(D}qs^!Ex>6rak7G)2fe`On)|z`m&0Lsa-=UNweyZQl1@YA|!wI<#1|Xgyd~%-U>!o**H{k z2DZUM4Id@Zrbd#Z&96+Jf9KT>>;Z6VCfP}t+CQC1Um8iBSffmG(PB?p|43-&4cvn) zc$bT9-E{gZ60h}KZYdR}h$v|k`|^rTM@gP#x<5IvC`A1O>N=$|U;6ktJw-}Q+H*|4 zw3M94qJ>n5yqn>+vg*b>Qo%g)n3#36E8&$xNxf1?>dus9>Da2cU}!AG?ZjP4e>RhP zv$#p3HUl(=Cgp|SWD-?vAw@B>Jv6Nas$(%xAn(T3CaN`P-V!y4d}6FY(TSq6QS87j zQO`2;t)*0xr6j0H+q9Ajv+s!%+X{hI6KO*$=-cp}|E+I*qM>iMcBuL`afeag?(L*z zt)Xwj9u$;mcsw<21AV))jSjYfzJ+b0hHas5JGRn;w$Qg)TPdO)^ljIcy!ytsrFym( z`qtx)u?7{#QcMTv+xs!JxC8Xf5)opxDCfGZBV%ML+EvxJ+qdau2k2Y-+p4~G>HvKkGEzz8MIoIbAbBJW>;Zji)E)Y^Z=I@dDZ}Ytcj#N2 zE|6Bpbz`CCBdBIq=-Y+01@!I1HC5kEU(2g+&RwBz4TmdvZ1e^5tcG0?7kB-xaKk=w zp?Y~0?m<^5+^nIZIs-HphvvoKX()MhhYH!OrcvEdALk(gdN;Oruv&%MJy3-XmyK0$ z8zO2O#m=wHqj1{?sYx5eLg5Mxq3BpBT>ZhcFjk7u%w56N7ldtkN&}d71=%J_;if6n zF;S{!x{?a@l4dj03k5}ZZ2+C>CADKcms6?U(irx38NKTxHKo6MgC{f3kzF4^bUjxf zo8}WHtUL6^&eBtTq*5$psmRoabv{e&`a=5Q=$sVkoA(paZ`6;H`oa`{>r0t^fi!H1 z5oyjYBva28!w<5gMhWz3EDgeSic@OV%?8LS#(gb^k5LM zZiuC-gMsz0WFywygMl?RS;gv-tYS?h+BgJQI~^@hDD&@5J%$47nR#?#D6lr1r(&Hy z3|QZ1Q0g#Xjms#IjW@-5Y1#W3GWG>AZ4!B1T)6e06yZ*2dZtpGv?v^g( zJpyoBccG~x0C&}FBV4nQfNMWng?nk13b)c6`aTkHiykacE_)V3siOdQ9&n6s2f_BWAg? z^zA#)*s*}C>p*wL0&cVEM!3z#0q$vo3U|Cgh5LLuH5d=LM{x8lU%6~Y0@hjE3uNP+n}fAD=^O`5Xf+vdtrBS5WWc?;jsBPnxM|yr za7SZ)8l#40TlNt;DEiJPhJe3Y}9>KHJZ`4mMd1~l)~D3x^lI6=CSHY!R`ogGE>r^DB+6Gcm> zOC74s9{U^BaB(<)fJ=Y&zYdw zzoDSIqak_ClA5sY4Qb3QsiX6bkt)?Z`&Ra%bHQL_*IG^~%gjn>=HQPb%gjns&Dl~% z?Wg*JOr-|2cD7WTeW_1>Ak;Z@#BXHku2IN@tuc}*i9ZxF+vb4Ggm6LTcsSY41(`|V z)NU@wTp9KonVYK=GS^la$?U`*3K^GqAXBWKAk(}aO`0dwVfuP>VIBn2Y3OgP>b_F2 zsw+PK55d$~N!{i{-7eOFy2TpRZNU)wfkQ}+ts0C$xyc& zwaJ2{UaV9KjU*|6Jsd>a39R27CQ`i%!_~)7{sE?asqCAmC%%@ZJF9SXZdS% z+UKEyREb)2U?Hr-*-)}yBz18vIzZ)%^0I-t;mLBT!d~;GJm-iRd$WXU9)y2`QyD{w z?|?1GuD$ijgn4a`7|~M2-pr+ksr_QfxzaeqD_bdh3LQ5_n@c?qtn9=UmmmXib`-YZ zHa<*S7fZHoK`Q0dh&NC4NKukS2ny`*w(QOQd?{Llzm?b*Uz`T_R1@ zSYhxYUOSQ%#!Rb0R!b#Ews29Z_fpB)ltl-N02^J%Duq2Aaa2v%XN5gQg9UpU2Gh)C zQbWdr>HaclqP9wq2pt$iJ(ok?xR&|!a;cANbT7fQM32V`z-%+sloY;25wua9XX%*& zZ>Jp5@DvI6arJ~Zwp3$Jco4^%Ojk&uE)9CBRK#7xxyCLcS)o!tnL4dNRZAt)#1&F7 z>(z^nBa>!wET?u=ao)22O6f7{(u2yalE$$zRcO;HDU3awLmyU2!J3ZUIjxA&*ih}& z7{u-AL4Kbk>(uG1C2ne7YqpVTN!T8>MhdY0RY}b06;=5ycC}~Dq8DqVTCC1M@>(k` z(VhzsOt1)`3u|Fa_EjR!bzp|vg(k0)YG_V%=Hdis1lg>IOI*7%HCPWl$I@*4ddzzE z??Q*yOSjl}KU%Os3MhRz28~YownWy?yGW_FQ(B97DHAO+X@Y;*$!NhD(WtYlzb3`!YFKPfMqj13e6Y!n>lD z+0&@A$}7$O^;$)*cc90g)m1K|ZHu&7`uXLk&UTo>mgQ*rc945HDG#~zSn2+aoQbzW zE@PcSZp9=cxrRuarJq=qTI@gtL(0<19a1ajxzQ^B^33Qgn5gLR{E2yIKZf8JWU5ZpTOBuTX{^$Ez{o*N;ypg`x=0_qy@y{z z+_UsvrD?|=(G|q!=3!GxQL(+~qDSTlbaF2xw5&OfizH2paaUyWVZ9=g0415H_PvJ? z3Ep5kvR7)s&U%o2sxa!!)S3*)<)dw!!bj^k)u_{^RLPuu8n0S)@#FxT)08^?z|<_x zyES$G+2l7#n2uK{{2IsS;x!I)MMmPZ(SvbxAq^I)WHTy^cQsheCSr-?nxg&^(d8Up2^-jV-%`4$EZ}57(sQ<82Wo3sD5uuN8Vu%d%gWq1!jjgrp4@W z`hIBz>oq!;eJ(aGf>ZOoqu{*V;o;_sZV_!KuqR*%)< zD~`+ss=e4Zl@cA_)Kkgk>EvGx z5oBLrEPqsT<|pxMNK;zzfLYUDM`0|j>yzU#2-CM-YKLP|j48WVhcb>!{aA-OMwzjWZwv z@ty^9szrlNV|Kbqcv? zLUyrL>Eu>Dg&%qnbFkSQe=%i zek#R09Nz~&0u+TiG4-gp2jFaNT?VZF<)J+ zeHdawlkQ37N{;pwSexUh6dsZ&rYO6~o80xp57?J*?VjZ4aX%|JT@03taabu0jUqfL zU>uwlJS@^Xi>G?tmv);Ld)+#B5;nq6YU#f`_1y!hiYYrcjLJNcwlLSxbn_AH$cIs> zzaB~dFt)9E>OW7h+M(Hvg_Zsm>~TfW}ne zl@zEciGZH3Bp<8KB?Y4FH%$8VqxG*~!o&K}rB_mz<{=jD^{=Jo8uyYIBEDfxX5U`3 zk~ICbRL60@n_9~ewZ#A=G+p%1;Zwh5>DPY54Y-oMYsA!#uM?37-rWF1;=Riu`0|(H zB89~q7fBwO=)CJiQrk={lbgH2+iw9+dqF13Gs6nc`%EcXQ^(a<@SWElSXFof6^(bJ zEpMc7M{-dCL<<1n*#Pd~rJMHxfSXfWBtYTfJx&xZ-rn?=I-*lD=-Wq7qqlGneIjV| zTX6SniPTkZC4W=RzIO^=e2X~eWy{6}WdA4FGQI)T{8MVG`{87arP&p*d+hdM$(hbq z(3sPMKP7kF2kadXj%qALg=k!?yBZV6CX6sM8ETObJfSC5e}@H7J0}|c4kO#X&caHy zXIK9q*Y{Fs>$;9A^_&cU#8T_`QZ>!D;_%%LaU0tB9)po6r_@L9C0kPsIpmIrVsvqD zvP*xw2&I3vFF>rsaN6_{#Maq!YP5z`pbo1gEtU8r6=nZAh+eS0X=mzWic${V*IHZO zv@4KbJB-eJ0{W?TB>e^SkL**U{t__C*c!Xd4WBU$)>JQg%(^t;Z^>U%{UxWdN2OAM z+iZ-~jMqH88YHCg0-sY)_}$Q5P+nGkU1Z_|p-Ygey3PCq&Ru=MsQsFr+J3>DS#T#B z`$ckND?3q&_|^=fV_z`LnruzzW9w3*f3O^~vlyq2Z@4}Edd9q{#8;_`Zt=5h))YA* zx!4yemLE(MM(_rGqXBf}yHuR{4WJ!gVHj&z(}%AZFSaep=UTXi($H_xY|SJq)!H`r z4zm5RMx@F+w3C^O{j(zZ$(HLU$a?it$y)SN?QOB5s=f6oqT1Uwmbvzp#{Wc94SPh} zeoCe7Q!Vn-llusIQXiFGW^dKrzO+>Bt;Qm+y{%9<6@Fd?Q)U}Tv$7;-hxh1JlwSGn zJwX+_^Ux}?^j+`K$t-a4MJ#1zNh5GMgIc8+DtZ}J(iBo5#2Ce94k`w{uFCusyg2)_ zG7T)sE6xANYp~XLaknY=(agHbm3uw#9(8{3h0!w^^o1%Tr;V&i_Zc~PpN<>s0nw>TB9VpghBf1aO0fAoI_eP4V| zJ>_>xcS+ILuS2`$>S_~zYGTH#X;y%0)HJhdn&Xl(3=Spb&X2tkw`1-%>5|0Du*#gi zO1vK1af3oR4`&)PGH~9K6;XZ%kkeUi%{pnwTjnh_eXpx5wSK~~F@=~VJeJL+lqfnR z^Ny@~lvt}P%hp|^hFV^lIWiiosP2mArOCVN(~C}=H@&*LFQ#8fyU>G5#OpTDW~l!_&P_> z&q82$jR*}y$XZ-{V!2MVO~}< zK1-tQg?VGmhl@t!UH{D`n((-$xzxX`K<+5j)JP4>s^E_m=1!Dk!7ap{u1hSy8IKFN z)0O+OH|OcK1>dFl_(RIkCnwFD^F~$b@FSbJIyuaoqeEu(03h`7`Y1U6kRi$0u z@rSq~465{1bMLINjYWOSPdTczpxmgmXk%Knjp1+k9vRfVIFkRBL5-b|y!H`n!~~w+8FZ>R ziXmh8>kPGiMKZ|U5#iO1;nOqJ;xpf=^*eQljyZx}GVVMT^j;lS>t}hGJe-iPni}qC zIQ&2AxAPFiiG&XisRVous|8H|Q>|a$gQ9*mq7@QOSdcsGBoys;hb^ZzXlf ze@M!FqAz{0L#iPM$hHKqg&m}<68Jsupo(q(0UBNcMZP+qggXWv{2$ml9-wO?p+QZ^ z?jKOGReh^sD~{cn0$ZXBux0L7vGqDYX)e5@?#}+a*jVK^6zvKD*zBWWuH47r8PrqE z`o`eNP0yd`Bds8DEFA5pL#~iV+kJG)m6vsx{NKrL?^BTkWKwH4P_<51kr>>#qr=nm z|0L~i%ron?ihf|4n#a2&(1)a}=&^ycQ%N*ypL9A_lGkTr(#X;s7V>NwdAh^mCZ}OH z@hH=h<@K*r>(f}7H>y_=6Vm<(!PW3>GNi2NOYtBlnh}oMm8nQ=Jh%^Cb?44(VJdwT z^d8}DbuaE-zQaqEOteB~7DkeSOai`*WYk$kkQv}7$VAvb-79K0UAZR#y!&9nge57T zN{2jn9X9m^Nu~G(R&B4KZ#~yL$cm*uryHgC4#xJ-l+wJS)zxQt%QPuUXG`;v?6wuX zD~%R^ez%g7wp^C1ti$poRXRfEOYO?=@+@{Ywe#VnE$=^7RSx@iC7ip(6t=Co=mnK` z7`yDIM`gITX3Q>51Ivh3zl%IQxwB>!0t6RX$*0uElSi^;73hE`_tvd?0%%E6q8EMg z{-khWx>TMQ*L*O?q)8mk?p!LzOEHh~ z^hJE*?o#LSaD!eyqPpdIMKdd`XHyMtZp%7sQ>os-_4FzhmFf-np$7f6LMqkNLb+7! z$ijEVo8#`u+yjl_s~7sl1)pjJJ@`^myIdJO!O%RiRoy41@3EoUaR;UBb4=eV7(Ni=DAq(F9!~5Ji!1OOn9{yk4=HcKATyOK#3pC${h|{q4hh zu=1OzgD+a$x=n-$i6P8%6MghWDYZ7LrF;+?6n|vBmZ>)y;X0a))Y*>*vdSB1i65`a z)^4EZemsH?k;Duh4G88A-i`3Lm@+_Sb%5Zx;eU}Ewt>b}f3*<3hdEZ z+FX^}Yf7!-GvTj64H)eao*%7@8)$ zge<*bHH{D8{^e?{MQ1K*m8EzhF*z>42>caL8!j=ba1veopR4IX0Qz<_Qz~AW4`W|f z)11oOo1IxrN5uD{)$~Dp_g+mdRnYf;TSc9!a3{;O%ejS)UrB~4a0LTa(?P`Psw=K= z@avWIvs9T_Gfs=UtL;^7K~e>#tqNCD-HAu-SmNC8CAjIxJs z>*41b^lR~%&-Dyb(}-EYY5z*(R*f%Z7cWspHLR>{SWZu>L52FKkYjZqe!ZL;;9FBP zg;SquJcuIJYHV4?MUmRp9M5{HMr`pidS4ycIxQF3%24AV&UJT}spz7G=GoHFAa2Dp z#sphMf-yM>mWc${f_QoT((jVOikyc$Mj#P2sm^U}(Qx`3V^OZEy$zO8NHBM_4lS6? zX&Lns5!MBByjx035MlEI-gLf(kb&j<+$#1zPcAjUkOoUAqy}ncwN$B@)($0S>8CEC z4K=`?olDgEX4T-Fm0Ln`P4KEYcD>~i(wrkhO%Qsxh&I>cftuBe^Tswit8kKfyK)_? zut;#xnMQ_iN59XDg!JcmD9t=+nhBaY<`)M@Oc;>Az7zm|a#0?tx}j%`ia;N%@PbL> zg`#L@Y8A>IHMV(EvJ!tvL*zS{b zH;h*ZOgsrP#%4aNr>m>$`hoMXrJM&lCLa_0!~q;J0~wM%1Bn|e z;^$J)dYD^Co`*@z`M6dmW3-tylaJBldc3V><55X0_0*xV;XHuNo=cs=F(PJ1X?i%k zrdG%3Ryc3Mx*nmj_4$3vH?!5|G6L)KoiC7010JZaJ%^hp6R!K-6iI=`qi;*ct+Wkb zJBMQfU`~;3ULTfB5`q_+3ztaQ9w4T@l}^4vLhv{Qy9~<-MvTc@e_>g~@|?kBnnedB z087+Yo^v8X%%)k?Hm6?=;B#~WefS(NovBhoIJ-}0QA|S^z^^kYt0_FSy0d6=L+;^t z;E-UHk+5pQkGvI#yh9UriFpjlj~~GpI%*u(Z@c>e&cReA*0J)QEeslSy>E5qD=dGAXkW zdi=6Un_X>Xs_w`%I@6dtnx`Q`30O5v6za{cPook|Fwqm9PIa5`B#rYlPBS0q zO4-j&6F@MjUt}+wltg7Q7fStttef%>hbAuoCDG%uf)dXr7ugG6B?y6ZdvKjX_^^_*7_DJcClBT&9QS4E)g<_9>!oyV{ zznVmwn)67fxqEV1WbE9G;vG7X^euQ7hW7+Uwcxwh;6(Ci$vv(7CaNv(G2mwDk0nx% zmb?|KI8ihj8{BKl?OjrKDc+Me+IqpYQ5|xtYoncaQTbMUF#B^St!TxEvbza_0^Xf% z%dM>&?#zXy3?{BxQS)f{G>dl;wq=xI-L2?AG_R~#v_qn;m9a`&xiw!@)OCVrnyMJl zI+tRDOlux!Hypug>-4oUw$4AWYalrquIF6MwzO!;1FG3wndvq3`aZc4;= zzO$NR3>E|7)kL=Et+da^a_m|z%IL`#Gh7kbp4;f0#tBi15d*w@d$W(P=WnKO?O{7S z$5Gi1+{?1+W_7UE80OBxT-|S!F_tEDfL(bwhBkNLj`?g+z-YSBfp^xdzwV9Y@lw&ODm69zlLx z_#n1tIIZadPju^AdfbJ(*i0R!f@zH902R#pVPxHvx3z4X$Elt%l*V-B*BRD;ns(!U zOt*$6c0=yxLki|@FqG1|L3IPx(zkAWhLf~f)!OY!6%_kcSdnk=tHHFYJ0HkKtRmYU zKxPJ?xCbA{5^-W7mJcsvzEaIO%Y>FLhNWE@i&pgfBJGRiQ(60gRHrAZzI-4Vdh+g? ztbv@|KABom|3TcoMBfy}E_{c!6|@UCk#Ji)HbMB{d_*rkhV58R4|?&@ngs(C3VIsQ zn_JuHmKz(JSV9y=dKOeDZWPSMmfkSDtyAbmZ%k~sA(ZujRg79jR-<_>ithvKa03fJ zigiF{Scj$?seE4^Sgw5kZ0oQw+d8c1EBH6vL(G1Qp__x4mI&_Bk7oAe{>9EN5t;`p zBj_Y~ufqItW+LX(Bm2?kzG(P8`;uEfKHlYz#iB(!z{fN8_3J@S>Fb*&1phishx>7N zue+zwa$mz{iAbe+DH1mkJ;gMO1KF{g8P%M_%TeY2d?VYuh&~SGHmP6wb1WsrE=(;w zke4=PK|LvQ5U;9}7AR~!`MUcgE8&=eWV`?)x0)?+Kz>;T)IsOW6_gry+fPF@>*=!bowxs zPcEUKuF?w6N9z^ZFcwCy(fo0!%&}{fIu6VTOrkI2cqnUONUb_v0P>qg?c?|@)~=0O z8`r6#Hl5hPDRd>CC$PXNYE7n16*cjo(-ScLv|zHRj>eR}CBPRN(uztX@-SVwNs1Id zT%}%#yo}l5R_Hiq&*#>J9fOtG)`|2O!J4YAuvqpG4XnsOuGh4gDAA$n%#QliWSp8# z!EC!!Lm<|H1VyD#U)v-(G)8P_pjX!vAav&zj0O3$(YI*ews1YiErRdjg<{bhVk zy@a*7Q_4``+t3PVIU)ge!fm5JCxi3tCy?J1evB=Mr!P~$B!o-sb_en$FWpg+!^D zV}xQPSq#OjR5&_ESNMjWuBz>{h{9n90FA=Cl}VVmoIXZjlcq-mHVVw)Whrt7$3=0Y zg;KcDu^E_Rm^xZmCacb?7t*!F-D$6rYUF=yjMdrimWgm0AcVKC(9LPUh|Nb!BgBkqaSr zw=nvf(3N!`M4k(fJG558-0Nyl+XcJ|TQr#FEr4CyTZ^tQ;E}ps1C2(2;$yJeFJ%$e zQN4vcO7~@eu|%`;q?= zKC{r-zA7E9DP3vHZ0PY43_w;cBD1An$%sW%dMR{sd=R-W<0W;^gv*aBHWyOlGTxtQ zg6QxvFneruvRKaj-NLc$?ro85ww#Px00lA?U5?-qcIQ>6PRn_$rVMu5&Hmd|Pc>G- zD_+n`1)%KS5xt*X8p$c>abBiUr4(ML`0(ngiW(C@bxsQvmdc!=xrleP&l|4}`>rI5 zR(dI!{z`#yY|)c2n^jTk))S#p*y+^4D|j(e)+~@FuY|3vRh71{}+YW0mHAkooVp~ewj`5qrMyYcGja0xoqO6*#=+SGRhr`WEhlj zRsE?Sa3!pd!W=t~lRo(?tzTL}nSq7THCFt?G_~Tfo8dzh@}aoRSUwmxjV^D-`ofKA zl)0G)m+6dLLQy^l-WjH>4;Cz(uCNkY;IUMo)|a*~=MK7QA~6^pu9)>g-(H)v7YxG% z+w-=-*X8T!_!hLyd*xHJws2hgl2ML|Z^In%p7OZ;6Ku+uOp~_pO6+PIQE@$8*~VA1 zE@f%>b|i`}M~k-eK5SBJ((d4R2)s>dqaEDKloj`){;Awg|LygYRs~cPS6?66$pZ?X zNmNXk*fp-9$6L5P+3n(0O9bUfpSv}<1`-?eL5b9F7p%8MA}!s8hOYOd2fHAQ9$f_U z9jVrC*u76>C}lV14|V9i#3()I^z?z!ba6KiFEgn0|G~aBrSh|Hkw~1)K4+~}3&Few zDJt`9Q)u@dFz>BL>ia!{d6(U(P%5T1I>k^xD&`*DO9?jkTfb>qfC(0Hv?rBUD12vp z0VWj6eEu^l3(ja#M=F}e-7B~A5NI)*D1@CfZG@XTddC-L6PqEsGJ3DcbRc#Twe z^s6NGO5@R*3htb&_JJ{v(|AQTstGRfN5|rw&U3jn7X)L0ei6p!C zL7si0sKXm1#m+2mirvq%Sa4hFd4Ol=dtk?&I&!X1)Hrg!?@TogVqvo3C?l8(B~&n4 z1x%VV4LXQ^twssjeGu&`Dk8P!Aviah1`Q=~PRItAp)!A#5|KLNFduEoR<$JaBm8vz zgT=E;s+nC>*PRdkMfe5>q8_m-D$2b^}1nw zA;sn%o{+<9EyRJc&Bb(fMkv-~1>+CelXQ%`Ylh_Hc^jUaCq&IN#lo1Uh?1whJ#{mJk zqva3z2pW9?rh0B&T6_ZQHCnvxbAngW%(3AVdQx%?9$i~tQm3R;Sn8CcAr9MPfXsld zR&*{3;_RsMO4N{n6nRp(2m^5&ET%sL2Vz&xHK~H~6ptn&Ov0{cdwO+}N9YcUNoZ6o zgo@5Ib76jA)czF4<OxcxCF*D>q8FMw>q&WlhjY28(41jm*N1e`KzXV2H zW6q$k^8IMp892Gc`cc{$>>R09i$0&h@UMLc`JLs1f-`HX^_e0ngMY%Diiy{3PMe}< zM}6Y*MF}4JOK38r`F`F(aYh|XW&TiC8(8hsJ9DrG@S zFLF=KeyrAtr^O=IRaaG|F>t~zU5=Fi@F+gP#BhUjT=~6M{T%^q2{%}5$1ih>mH1T7 zE#kqkWgZ3D^wDh~}Tj5XTqgFyCXN+Yw!$Po>^a<>8oJyS^fJIG3C2LOPNQQo~m637F;aX|2jNoOHMX7 zFuX|S6mbJe@(nxRZ}4gsCnONwp;Tk18C@1Z<3!L-C8)O<+1*4?6%n*c3Gy(b4hXXT zV1}S=O3(uh91y*aM4N8%DE88fe%|EO9qJ=gG~7U#9bqNa^-ujuGirQ`m-Vm|`S&aN zf3Vz?BScF42f^l8(Y?h>dRzvf^aD!DJ$X`Y&rNA*M$bjcX(Hu8C1w9SDWl8n z&C?nxdz+WFt|U^XD=FQOGD|;LLp^Tu+BN|qVwe(f7R#~_$ziUEJyO`E6m{Jc!S^tBa>7$gW=UEa8 zt!zrG@A8O39~6?oQ?c#v`(0k5Xkxoug~P-}Clm6zhq=U>Ce-X6@1}`Gy8ZWfS*OLA zzA{L6+G29&F$8Zb3}dl}In&$K1e`@EcH9+LXp=cS#>DM@?gOB&-TlD2M314U8~ zBwdcAuKO`RnwACCH&}dbBbm4zQM#U~)2zHqOx&dxZRmwaz8x#p)(_B4HN*^;=sqH= zc&j!c2226nXyo;m+Tas5A=)5CD8D1s-%1Z+un5F2bBk*D%(e-oMTq3t=C^KSM|q_i z(dWy%Do4j3!*m9D38Upl2~T(_tLL6VFrVPF{d3t}df9@~o^T(#I>3?8)g#apA3>&> z$v}}1ys8ELdcxD#FfU4b3ixloN_6`v_ty;lN9C(Wr!s3r$v)| zYo8F@v^hmRgMIm2nif5Sjcevf_nu))kXcGKq-oE2HQg^P01NzqG+;V&;P66T+mxZ= zFEB3`-IVIS;H5RkF(KXm1$T2T47X9Zu!2wFNf90cLh)oZHg_rx*z0knY5NNvs=4&H zM4w+^>ZjXhBh)4y0&2%5D%589E(o<2e8HE1`rKWG8dge$dZ(lc_4F%1efQUIP;sBJ z0yR8Jg}NJyy9(5wk^dLee(sd<8c+{^lE^HRswY1UvB0Abo5kbo&`T(O17#f}Sct*VUju5M*wQu{ez| zbBlfWRFvG?Ang?)()vac4QPcYWrN>f{>s-?c)p!=z5u9*Y3>Sd7q;4kF8mEo&~?FAMT|8)WE37>UH!(d*IMj1G@MRRDrork*}B+ba77Y z|0m`JH9s7cWvV)K;2RHWJ={^Pni%wpe+Fr3xTxApe4=Wzl%N?(P@Ed1oD?_4SPVg! zxkYz;Dpl)jkUEPHsd+dx`3~UZB>4!sK1q$stgv3@XOp{ zIzAONcp7$!2$4qBp$h+EB)Q#z#(lyX@Eto^{4YPtEbN5NZDGIc$oDh6oB^+>>1SSE z!(JK%|5jg6@I}J}3=KYW1kaS9&$X1Ge1dt5LHXSXSU$Six6pGuqyZ!MJee!1b@6pj^G2x{s-m~jyzVS zA5cV<{%k8%`Wnl;(%)o}Px{xZ32Z0vsY?H}@_V29+bI3r_+@Uf7N6PDUnxSQdDT?u zpS4t_|5ssE`eh0W>CdDuzu?B(TaaxQRAAbD3d};Y>3N?^Ms24p7=T|PI4b)R6w*68D=UOg7Rlrpk`>SW~iQ> zp|r>_OUYo~CVvj&V0VC;qoNTT{5-X&7f78xSIK$xW*%(uN3EhDs1$@{Z~CitlP+sy zSL^fs1?o5X7HQ1D0Eb%?Y9?1^dQKzFWGB17ZU}Leao8^#br0`VM+8N zBb(469e5ax8SyNT030^c57t0eH9;lQ^X`O@br z_@-keDUWjhhVS!L6<>^sZ<22Te4*E=z6^ZVuBrGI$-sAsi8XA8;@Il6MdU9C(dwEC zaU>HE{UcR~#UfRReYCQx_fO|!guvI_u{E-!FHoS>y)0I#EJh1U zB`QfzMwmL;l~w9I-Doh5d~g(Mv*t35Erixx_6nsHlIyU9OO#be_N(3al3Keds&#o> zOIQ~y=H#(12QMNCbOhH-(VHuZ z$kP9XWFmRHOH`q-Y|l1cqVU49x07}L9FMV`z&Nbwbctpo1v`uVn}y|?-U42h{_O?H zBy%ZXh?*oPS!mXQ}}wFN+=UZ86hatIrGf%KMgOl zKOVv9kqYoX&QP)yARfTVeKy3j`hXaBFCYKPi+Ev4{sZENv&4#`>~?3VLQ%OIb3IGF zipoLWf&`vyN6xpkM5D+B5RS0)1`2>Zr$r+9EL|%qhZXCEU!sJ@;TTI}qutpvwAfm% z#Y%pqN7nLADq$^`(0ur6Mm4QvC)VvWwYQeN^xscr=ZZh#s;s;Pv^uS3-1bFeyv)Y_ zLpy9_XZA@P%R)oBb&|~Wa!pqG1V!lO%DO^-o1tLL0#f`DY?(~a%LCcy&$Oe2Y)|EE zWq)?@@6;Gu+0Inf9mC+&stCp3(>l>2J6X>je8Q0{c?+xXiDK;KhAjRs+F~!CcbAU{ zSo1uDozO3w9GINtVvy*RBMm$Sb8L>N^Zn`0ULb}R`X6b?vdWt9s?R%Q0 zaD&}@MF$*Z7rVWw|G^E1G|DU~mkAu8L>fhtG%XMvJ|q_9LrC%2|+?r7tQFI5iRdL=kyY3fiU(Nbw}&c*g1x3$Q94U40f>r#9z z*|t-6R@ms}g zN1Yr*oo=E|xQ0&D$<@-rSf@KX^4959sFFG6PfD#Nx3xTs$aF^~uV_DllWh8<{2Et* zGQwmtRwGocfkPRetPZ@nD* zFVep&W@X+MVOCylrO)N%Zfx>aYU_;_^K!G=+V|c6y|tISpQp8tdyu-lg3$N$jRjkK z68v0W==;MvYHRnqNB{al)l=6CEdRT;yR4_h4lr7o8`Rc*>yFymd)=Y5su|pvDlm1Os^k-Hs!HB|ttd37$)`bqu|~F*YE?p$ zw_8iSE6I+IH8e(jHeCn)_$d1Pat-Qr*-Dkdz8iU@fQ#h1uyQw4tsZwXb$fuqhgC?w zz>0F)ebO~Dts?kvHBY2R$vRh8903MP-KJlFE$k%m0HJXO>gd>S*z4pqyC(3xE>9E+*j=9VBMe~Q6NS~5gEgB^WrJ%; zdBH8gY$do=>Kg5+EswTtj>z#GS#djw{&Q;olINn zpqRju^ra58X#IRDSr@~K>hq{xUAY;XbAp!CMeC8~(}}tm-!7g@uSEE#;}ld6;oas@ zw|cUx!;d++#dAhYrqPFjGBelG0^2l-92 zapD5u>=>1=kMN;$s6~C*UE_gHU0s`9d{i(_U7LMeROzU+m5uAHVxWpk9p})V`m&df zDUgB}&!SiLWfzZMn1K;z6?p4bffSo+;6_OWF$T)=aXFK*YPl z6cQnOv5qsTcLY3%dNXO22=8#1E=M54=@}9|yNnE{W{`U$M2J(Pa*{65&;nXqO0&JV=it<N@*s$u=pu-q?zn(u?S?+!*r0Qe)1&x(M+!F*cLf*M=B=Dy+68y z;8&hlxE<&t*%oun6KgQ3F75NAA*aDdrPj6)^U zWAvc8+_qRaVlwMs=#d_&lpHvb8nlp0F}zYRu!WqUS+LtoJzF(=H`%p>>eo%ApqA*h zmrSIVE#(^Q>n=)eDZ8;d36$Pa_Aav$J7Cf~D70oKh~g%ELzFMOX!eT zSk5?v8p6#m6Q>>0JiVimJ2*itXl^2PYz6lG1hw=jN>t_qTG&dCv{|!LWsk^?&AX`Z zrbjAID<_guwA|f=D-aSr#Dw+9xP|ys_Ns}WI9ruKE28C=&Qs&_;oKgUBfm!z^2)E# zj(qZK`A{wE$^;tNTJ|pX24vEYDFAQ9i4L}Qa&y_wanttylwlS3|0Tmh?&Q%1GV}!z zRO#=c)Vd91I9#AqWhmTE$guT6RfY=?qss82Qu>tf^t}ya*l9euwS^2{Z#ByB)K)sx z7BWm8M}M@14F4Ff$}oJZDnqYv)UzFA_-Pz!@=&4mXly>-ycWDsWf(ACm0@cn{#}NT zl-x;U)qREY)uh8UDSwpbUT9QTaM)9G!0u8Mag){0|vk8B0w% z$kBnfMyoitL$YGbjadUDPH&MNIws~5vUMyfU5iyz+}@3I5*_45tm$a-?I_!8){lX_ z=i?+t2mC5(yGfO|Sk{0bTyCql7LB3x9cABQ+fihCVTI1pQII#b4ixDw`xPI!QLT}Z z&5+Y*|8>HNoL<u&OsdOO*opJPND%(jer%3>1t1fa$8r4b0>meiPP%MUSDV^lXHhb6SDxcay4%|>{ zQEwE5_LN&_%CA?I!_=J8ddl_Lk#%&TGurdY;k2WNY)iZgEFTVggm%Hy;PDaEp^Mx$ z=+rO)1baSJZd+lF!N_gr5ji!;=5~?97-&qokHV>>Vf1$wxras2aMasZ333=FN^;hj z)KlEIBG+hUSJ~6z3Cc+KQ&Jons$#j@Rkmciud4BrM0`ahzKbz_Y^*F-YOIopziMWZ z872%{fRe_0XgR_ypdp;wXmxD^FeYSKkB@_VLrF&(U`)Rz>a zy}6M>={@CeW=K(Wst;~L6FTMERhB8Nmt4`peISaCRf;w-MhYR)@?Ns6DRIF})UhK7UuymMyx_iEPbFH#2tB2)TIQQS?; z@vhxuMq_-mC7FHzjqM|sEbd$|+ij(gfHQq5y^ri=^Ym;1vh({%9L5Ipq2m2z$Iu=H6V%F2&II$(Ama(o)ORBmLzo2THx$VrG)QMKBbet+cr&R1T@u zBbC7-S^P5Squx?#d2J15*hc@9*Sd%|?(Ds_?iN?xXiWBf#ctXxec5dk?yZfo_$a9D zRJ7sYW*S*h>qv*awRms45E!ffrK9uS+H&lQj=p(ogIyb-M@rv?)S=3}NWJLX!ht0= znp#%SHqe=fehVH}Fm0%yb=QpuRzQVj(v1q*;jGdYiuBPs*z7A0p z_tQ3Gvv1SCe%et?w_b3i1e^GR7FN{yuSZFDuXnrmiK^0BxA2_F6M?>@OD&#kC$eTV0fySKIvb@;3sDf~jAx zp_QQLuC9wnYf#mL-?FmO(-qE4L{DP;bJS`fT0J>e)>dNy*Qs@7?QS-B6&0zXb#i;V9;BQ)z4T?y1$v)QYuQx&ayQSCJ$p4uKE%AvywCT3#uB#vTL(OP0J9Hmv! zHmI;KOOxNK4P7O4dx%Hl`iF9)m^21sZ-aB2Rpc9}?aG{1QgWa+Qdd|cg;(mEecE;6 z71CAJc4qn&)VHeEyXdFOc>&n}($J==+LD_0|7z%RRjnJFnL>Y6)%sg>R=O3uKai#W zCx!g0X=^d_6dGPlTT)l#S4HdtHjlF;kV#pz0r)`?v$PVa-X z;kxq|HNsMFvBk9=+A=IQg&x;HF(WV1$51pVmO>#xTJJKB%fYeY%F3K}nb1e|Mh3W! zubbw01|61*lHBQi4Q(;)u?wQOu?}i+Z5`C&S|Aj~Ro1J;eO#s%$1bSF8J1CMFdEKk znDF$HN^!H7(8pk{J$tp3%xh=^v=Qe8T^uV=s`1=TrTg%lLiez>N_W&!m9F8OO85T} zcHIG09M7M3N4a}X5a~!&5KyEj78Df}6%-Y)w!VlP;uGIlZcjuo+YY$&$a zTQv5ru}1lQcK3h>==YaD3~zV(&d!#bxwBhDf#FaiU?J#wbGpSB{78*H3k9c3CmE;d z4uVrp2f?WeTA@L-jo>6N6rAi%3Qmg_(259Xuwyy%pmkBf$$p;T&93d!;dl>o=XrVNc#MN(HIHerg?~bd~l^qa!g8Dq$LvqZJKppiGqpPbGar z#ib}=t%n?KIeH_}7(4)tT!heR5aAcz=QcH;2%b%H&DC!j6TwA#FohO2RFH1Ahw<&G zbm4gArZ7{ql`bUFrbfyG>FH!T7z@VwN1SmV4uscguttYR4>iW?lE8Qn@0&3>?Mpl zn2A)mF`9f?({H?~$V3{*njTF=Q$OC6If1sbrZudoKW~~gf!?9XsvBz>z?)(xm{K)Y zwFEV5qBvQ)u#SPeLzzHBnkZFmFHS&HFWz);yeR~-ok$r?lp3x>@hizJ{hn-+mlJ8y z5j!)pHTS4QQ|zSrPoPFkmGWu=NTB@u(>R*cRPj*X{mtX}-SM=!sS+=ZAD?F0O!1VY z|HhC{3m9R-So*mI&=+r`87&ky$uO2SwNT1S7GvoiYj@v9)-BPVG=_p&qJ6>``ne^8 z-%2xDDxR`3#*_}1Py;1=DC8dNaNkPSt-xX8Xe!?d)}An$es0AOw$O}LjKOHy)QT|} zP4`&4`xdfp%@~ZLpw>!hx%N$2ySClEnTam0ZBrF&Sg_B<7M7RPu)?iu`+!k2r?uiG z-@lQA)5Zu#dwF}bb-t_K%tZQ^;f&42`I4H)M@yLcn1dtu^h~5?ZGhvQi?hH8C)iBL zhL=cj*>L`aY^;il;T*Z1BU_je&PP$ba3u#v@Yyhu?ArpTQ!dW+O?k2%5I7T^G(Hxb zPs5QkfZ>?t;tVyyfr~M_g*t0EI0-|x=OgG6!&!bUM>ZEDoH&8=C}XcEIt@#aUp4!^#-I$H`N}5q7g2PTLvIkt;c}g&E=SBnTYS za&Uy*HVh;C_Q2_+;RHXrzCKSjR$m7`gG*?9gxwkr<7bUAM?w#GoJ?$M8kwT$avkgN~WWC%#)-kD=2=DA_@YERan7x3AN5cDHH@$IxkcDUGqT4 zIV)lNP6a9g-kzh4zbHGUV=Jg@XUHBlz*JNO9PKBpT59t$MlAyyaYk)7{$DW7er=Xa zarexl<=V?+!prSz$jg??bmN|RhE(NGCYLRB?W$B&8}&m#$5mjnmj^cCKQ0J3^Cu|1T)$ z=uDXs9zalUyatjBI%cURsPhsb=)~?2G_X%0L2IYb$*xKndEzOVqW(ci*Sp@Pq9&jk z_S!#|cH;Y;2>qW_WmlZFklr41=)iY_Fs}& zCbqO8X8Z9k6l7PUNoMtjnmmj_yZ3fF^{L2+YVZa}(#cb>mDltN-DsKa`buaNU z{L9HQdnLN447l8dbR!iXIR=fbzo2XbQqbZr=1*nkIdWcYK%;}R#oX;GBztm@Np=JO z!jlt{%x>}DS>m^r9extO43>NFFBb^40XtcX+1i6L1${-i%^h$U`39w@>TH=7e#Og^ zs?TEnht#Rr$%C;ON%`57n!H1SNcoPM6S(|Q&F|cM{Dqz=wZjDi# zf^C4S)e4Mk&dB_)T7j9fWXjN4gi2*v5}vO%l`b};9b<8+x3)ROkH_IlR11u2MqkHb zDU51HVdE4Rk6v2}Tnd!{C#_n*s+lRR&>@S(^AC-7dUHYh=ceR49<-}8W3*i)yQb7@ zJgENFgx2Ht$gkLUvQW$RnEZ^E+7whpy}+U-Mi$Af7l;ta{0o7|5hgGMQ7_OVKZ5pf zBS9c^&p~L;5JbH|SnK>4TD?G`!04#Smix2;)vG!60+KNz3OgEMv|e4#%l_=vm{KMv zp0@vC@0w4)v?g?60t`^E2^E{DRFdnAmT8Eog^QC{W21pfni$6m2F5o=Wi8U~)t95# zO1K8A-G0@gxI7Vm+)yn-@R}jTv%+sc)oKRX#~az~J91@U1I?C#t~6tc;%wUrTi$%) z*)^ttlOW#8cqX2x8Ib=>l*!E;GA1(Y9OufB@m`!JV}nE{qxoq~#(%J`avA4MhKy%{ zs?`nbiT&R)db55OiiM0ju#L?pW8XM(PJ)bboRE>#4anIeWIA908E-cFj*Jnpnv82k zFd2V7smWLie{dPAO;J1p%7U?0IN;2wM{vPTIs+*T;1cb(mEEr z*l38-f!18)+^iE5?m(CDIjU(&6{&SYnmA3VBX5l{O*U8r*G`NPV=z%fn_xV~Pfxs` zFWNk>FNWaw zLryc40N2R;DD1GNJvyElLmdDieXdV4W+>r-OulS;7l>7`cHkAS9dJgERy#1ho+$-b zT7=Y&tCvsD&?xA6Ab(Wd8!hzwLGi$d`qY0WqZG#RIN&O^K`_;#OGgvLYON=G4YZ4Qws^9LhxI;El?uADDnXhAQ3ujW&^zC2igq;|hXxedydSMN|;T-}=gF9ULqiP=$xb zk@-sqEPKqWr}l^A(*Z|stsEKYe<<_`&Tvx==*4Z&QLv*pwfEU5-Loh@AC zUu&4sE@!0bx9CNe7b)GPCp~H6Ud5Z*u27sMi|RCJ1zhw>HQKTm|6fy$?y~<6sYcdI zu*FcTQT!66iY#@PX|IcgyW`EO%p|#q#fi8T$hMCNqeDw@txZ+w=@P}oaUZtue1#nq zh7l391f8qG9p#iY>d+s6Ux0 zx-gUA$-g(gW;M*oB1qoc6-M!3MybSv`yWQB2%~snv++x1BvIy;6}0X`7nkEX)BDa8 zx8X%qaHcx)N?_Q&CvP&`Gn*gOKl7rd|a~U#a+p zrtr?(EMu*%prsdozMU~cGuPw_Fqfz+=naJ2x`IeLw^4DV83#@A-9cB28g$7ENxa)S z(zR9a35!nLXqHAL2DQq8P;QBV$zh5=rZ|)`nI?-Yf@w7dvS|VzONYE719=mUH68pd%FC1SM>AiG zI`Xk#Qz{yPG$K}KAX7UTpA4~xm+!TgX_%iynDdJEjB&wAgC;?E|A?POu)aTzCJ^sm zxQ4zoT*G=sStyrVYkLW+rwvoh ziQ+QwxCTkwqS!$0wO7-m9{x!7hbH67n$j(Q0V+MY-y~`f~h6*&4T0b z@ND~6CCO$d;zLwP3frl;xbzySIea-USVG=O*}?yYz%W$ z`_*id-|2%YMS*pL>J7aOz+gf~tg zm0N+3x>m%7oddM#)4e!^&5aGeujOw2rWyNRq1X^#oXQ zBV^Pn5ad6i@`6MIsrnk~a2TWFvX?_GELth}gh_DEgL+}SH zLvXgg=^&&^;StM=-JmAfuZJhJsmVe@xE*xLtwZ>V`%e}U%&_wEkg(IRObPx?7XuNd+&fD@F@W!nJl6rHqqEwy~*6uBQ}Vd7QN=bw<&FQ_(!SCTtrlYDD{6 z(}+`yZUh}W#po8rEjfw~bXMeenvpF^3r;h#mXvXtkqsx;Ow7}9R#YbwSIZT!REt`J zbv2lR`DzWE^4A);S<#A2rL<~e#YCyTt_WSuR6M+`rixRJR%X72!tp-En^++s#4ZDus)@lCO1O)LxxIT=h1$v3dNmAdyHyIiL4_^rDd$6T@}hY zkL$zpiYckFxFAVWDC7b<&V*9J1$5Lx$2`{ItI%QAQ6rRIUBKPmY6}c?oJF9t3TccN z(Xp>G^|=V4g3z%(4njFv&;`~JSULF;+LxH)VQW0vCz#XEm(aer63w^-7B=WO5f2u4 zd(T_eVO5Dd|3b%TGgE5S7#&^AXv|;e7*UZ@|3U)GOH;c3m(td&p3H_UcLDBGGuMHZ zn4*UT16q1bDP?0Bg4_ZuRczmYgf$1O{!5!!xJxr}>3&%Wwp;~5TG_#DiC$b*Dmiy8 z&m3p0_L{uh4CZ&ssrnVg&n#oPZ1NkGxT(0?tpm~YIy|BKxejcnnr)dy3$G}ijun`E zkb>oEC0P!c#e^_3gwus9N*kNT=zI(&IaLR>bQEz_DJ8k!)mK-QN%F#ASyUYi4JO-b z(7HCh=XDK9GxK%S@)~w`COVpY4ae?dL3I3@;w>-F#2ZPuh#a&vu9Tw)qWGo95k6D%{Ly0ZNpcd}Qo`~7@Fv6KEDOx8DXr(Fpd zPz`S?j`9>BcDbqaMq%j8f8gd=zS9G*Tg~(U)O7{=>b&Snsls z0Pmz4>+Od>ijMVTM(xB0d|&{%{v1&| z*PpXN&d8s8`sVZJflaYcwL)GjI5obf1X)=y?xGCi-Fum)-%~0&ANR@Qj+eFO)X_AZ zCmh;$UVeu@%GGH29!OKoY$j5*`*7&lOu$@+zBYk7biWYp(6(F9DI8jqVEp;7On2|Y zF^A$0pzGI%im#H`Uk`F86cnjQG(7Gi4Z<`zdG}3Q-J6m zz^gYtfLCX}V@g!q_ZCkKAHb_G$I;6N@amyxP#(goD|-no^Srt`c2R7y%f~?}>7g>D z!kD)L=DTkF4V?wux-qC%c?7q1Eh#XM!wvZe_Umcv*0p+4>La*y@@tu%KZ07%-pFL} zSm`Z0l+ZN)*Hbw5d{0K{hmJk+)ejx}J|CDyuQbao2d~&CaO`g$%wy+v7mnSpyKrp# z?%c7ZK}b7xR3y9vJz=_dU8=C8u9pFP+7x)=U{)g7tAbNF?Y7jUP)IbWaW zxqrFIeyKRgSDwjvbtyJ=UBnDvPu1X{vC&%SI{AzSzf^*4?mUH@qA(@%iHr@pR+zH1 zxR90O<;{YCsd8Rnifn>k?Gr3~oy!0INoE`a~wZWh(1VvXA%0 zW2OX@0#AHOpI_lo=+-HCW|UK!BA0oLOU|yiMdE>cT;z9;pux`; zt*d=_z!>D3J|(Y8r6JO{3Yb1$mCD`+bo8y##j?jk*g#aN)O*1Ax#?%%N)dT(;n-Bp z=w#t*S&V^*GL;wq2$9ylQ>^6swKRYokV30dnWX{nI+Z(u(B@i*9FZ()i#nB`{>dkF zS0=RB7;b%F>;xt3^Ep8ou;a`6iU+jlUnRKMZqU&RRaW1J6Wk%I&KBj153>_SFW>{p z1Y;k#KA!u4XHK<>83^Q6s~jFcN$(X;r#ej1Tn|XXSp*(X0h673z|a4X#|L=8G|n0_0ymhr6eVYit6v-wO# zMT>$bjdMJj*g0s|Kf#Jp5~or+Zc>vKA&$mG{E0w=m}KR52%!zk;kK z@?WO6k{V*w@d|?ziTsyks!&y_DH$%)AXzP``d46mZm%xWpR(FlzKMQ^Fr}JO)ttG- zyLdWhrn<`K<7IkPO^MQN)0rYuPjGt1oFi8QR0+=laV@?7?2l9#ou#pR_)P-4rS%27kuVfz_dA-QO6$5BJA1%$ zc0S)UcAL)9tRm3m_!+R%a{N((!A8Y!7K>RO=+fsaBVMMSAg;hJoVbK$5WiPjBfj{I zMtswM8u3uP7{m&?#AkxImgs-_N4m_;)YyIc!q{z%66|KeRGeKBn!&CI_7B{2zJTYt zgnrT39Xw5=imJ%=KLvJLw*Sd|>`aWZ{h1(`wj$f#?DeDM&k}tqsv32jHWC&&l`)fYBs0lGo(8Oo^m=k@J6)Ns^QEe}wc7Dsuiy80Y+p#&QGD$b|?!3L&(d|0DT?=#yu(en+_w z#pMTwWm?owiI!Kr<*Ym8r2QXe_CVVIA=>1qBJKYm9drV3JFKg1${`b>B*7RsUnzXe4eYBl5tzk`C+W$U!=b~1%Y|WZP+JDqO z3UgJfTG_HDk??P_Po|+wloGVuRdupGy$>BC?LU3544Do4>8z_-*R?x-AteQ8h%tBY z{U84JaO;lP{F}|gjj|ik?mO(G4sL3Y8V3@%O?V0SS)9u_|I_RdxO9S_& z*}1EplKgC!OujAfka*B;>gTEAJseLd#Zz^YzU-p?o@#mN!!CNu+O3|FX9?BQYVa<8 zJRNf+`fyQ?+Ek+@$|wizqJAY9?h{G@jPx;`4wZm~Kcv%J)^7ELJWHyc^5ArtLR#US zC4_g;X5AM zeX64HtwX4lmSD}c8mPV5Nh`cmFZrTv4o-{_PL>77d6a|05{>XJjx;j!22TH6oTm@- z_-H%ex%dW$QIh#jY4i)jvCG9tGQz=YkJt|HxP3m^zV4u-3}>5-kj>|jzY$JXfiu`4 zAD=}?a%0;l0X`imh|(2zBTK)uuwiV4Woxc%M!72q|??pg*&ybqtBAvRICtblZ1!3>%Kx*C&Nbjr! zr{G83jgSh~DF}Pt+@eiDRzEN+(ctZw*d4{^GIF=r8WhU5rwLPc_=i;uokS43y1u__!#0f@05EGh6Z8ix;EjF^)`CUdp0i(I6a~augqM#79l1KON z@R^H7#^+Tx!6$s9;M090?bi!FN$crypjxKkgmph;WWwkf+bxm{ zg#!!562piSR!!!*CJkhGXtsUX^(f_6D|wm>_>LUbXk>D9?XT&(PSbat(0A<*#Q+Ax zSj}E`EBqv6ozOS+dwdRPe4GYo`mWXVU5l3=z^-e4$Ojnt`9!Xz@L;&fpzo;TiAJW* z;DLfo?=^zWf;GadwEBm9fWr9X`F#^EQ+~5z!seK@+8SY2r}5vB!w-#2j>K`Ax~nyH zR||Dl{ZI^GK#ZO21;xUwk*kGSkAIKP6^&2ucun6`n!c;(VSwsiY2^?303$!2CQK$4 zxjF}uQ>a=}N?t|PLe((mPb(M^eEbT*j+IC3!U}_c)EF;;3{~x9AQMz=%YL{S5mzys+Y5=QFj*O=gIl~ zw35bEQ6o!cp*y{diKi~xe$nzA$;RMYH?TOwg0!@MkX$mf&qX0ioF+>j948@5PyBDv zq1xpRsV8fz2k_u2Yd~oxavXmo5Uax@q5iT@`ToA?WjT+p%NUQQ(0=M<{yNf8hvKjT z;oRU0N>59r2XU~!mfQD)$%j0i-Eb@41YcRaYlSP5-1@)m6{(7x)>> z52x7ZskoKl&^6P=%D55y#46QbK-u)FCc&`O-%BaDhH5`DjA;X!5sxpzT2SH^PNs!P zv^65(njXeU%vfq9EA0Jvw4l&~?T!lxQ9K`oYMer&rEQH!2xod40U;i^eviZz7$--M z=IPZri6X{Aj5=uK9v%NA&u}%M=qW%vt&(1YgYQV8w&ALacP5U=`BJi(GU=5$%GK;2HQ$35_U7~G11|RN>?Z1f$WreH7r3XvsS-2YJP;aqd z+LFC&T65+VOW4g>ao02Xr`A)T)@M@{eUHyzIMdxYfV7DE)Ku-IHj8OOO*PW{ z%p%~r^R5L8bEM2?{dbG#1t4v^Fi3k2Spks9BVI(cYpFFIo-Po0pPpqBcRlzo~!B5`o(w2*2wk^rVhjTy@1WQihc!Nqt zTndar?-dfgalE%k2K^SLesk}mwMH{et2rm;3Y-&Oh%hZ$^^uMrr5(}gHfR zO#u|P(j2hK96DPMfD?|SeW<6}>7-&u$ub5VQkYH|7640+b=(xoJ;1JtLH`b8QrgMt>Jecp7LPDkoXNtyd-b1XV4 zOs9=;s-xOwno!A)`tCIIkf!fVdl|NnYtgn9@&y|WrLSs=CK-zJoQPM4@x ztUiDy|Ey-o8Ixq%>;0X5g0yfe(~y0JQO%U(v2?o)1oRz4U)reWCEGD{ zwk>{F9!*c%!W`W<)62{1GrHrgFDjiMMb_=m`96`#wnJx`)a0!obUPM=W+qa6d(~0e zIgG2+R^) z1JzNsFF%}KbpY+o>xCOdc2qCOCx;=4&=O{TF;rL#l`HH+ktNqr+n+I50L8zlUR1`2=bJy3A_o z_7_N+KaE*t>`xE-Y5rvBC!jQc3jb61)3Vmn!EH6(>xa8vyu9m;##Oyy6zOz9|Ll^ z8^!cjFG&ZwQRYy1&%<9SYyd{zWgb}#Q{Phaff$Yz^XT`15Z~fgS};(p=$Fz3V&tC% zU%ML30{$(9>Sel$__UOTwiprt>P3tiE8!E@c@e5o7rK$Ey68F!@eP~R1NfWAr*6Lp z!57aK@yYBLA#~|ZOz7j}7OU4w_ML>})qWO|_xU3Yk|QX6{)4=SgLT`ERCl-=aDMt?n)`F=-y>1718Po7Re<1n!tMygq*KDQS}kIM^M zxkh)_`l6GEsxH)RytyzdlQv0ctFaaZAJsL2FeM?KsDJ*o%<_jA3n%Z3(`wkD>i(k)9ZA12B)i6KL z)?z%^(xJ zqdp%M`6-_ISUO^@_V}IJ&p{|2gNAsW-dS1|E&OUmv>1!DDC$n|tEYqV`PE=??;9uX z?YLhxjG|_9;VFOD(ZW=e7@_)gg)rI(jToSf(9Jrc{d*&%t0P9}37$sr5wfbSX=^k> zA0ovFxkPH(@)3&tO^ndiT6|j~U9L$ni!eJ4eW~9fj9l-Ud{-hRN6?hTXg}FIZQEit z^PBdelS?p4JHqMN5-cB$ksT3VS05lD-6FBBzPMZiGv0ELT1wYbi%NC%|G1-3oURJH zSx4kRypGaelJI}FCy{$2ec^p?eMa(nOyri;_>!#-3)3{?(x-N#Gs_^QU6@$2L#tA| zzp>Kw_*J6V-*R>!SycoMUpm-^GH2=d5XzSEr;_=v#6nZVpNZ@=si zD3)%iY5+ajte%&B0x)V0m*(k2MmB}YFi>viZv$$GS*D^P(#_3v!sM_35MAad_!sbR% zwX-h`+X>T7_My2uvF{t`BbCX%5u=IOglIy43On#>vlthMZ6<`5mwW0{q_Cha3v~kiGDs+D1MUsritd=0moE zv#}4^YCdG6`H&6u$ix86FQy4e=MG~fJrhBxnd()S7Fshu?XYzwNA?lcwET>ERaz23 zBhNy1oi!~zi{sm$RwC9sC@Nx&zNm;bFRXH7jSWCntG>GGMxkb{r50+=6cKW0hXtvc z2sNFHh)^@xU=(Wl8N@-2^ptcF4wR_p{x7dm@gg6+zLa`BRGg%O>0FeVE$zLeewKcz zLg{}&;0y&N1lX7+SQIk$UL~Kg+1=R_3ktmqPcu|zLI+UFWwoI+y%IZTeUaXq)3YnE zVR3UIdj#LvmAR^>O6KNt<0^c-j2V5riYbcMR`U%UN=hGHQ_p+&m`W%TYZ{l*OypG*%1nMN}eG^w6vvoA>CMNIk;Ith# z)uK8N7o8x(-M_#cM>y^n%LSH_`UR2UHnsqlShK{#LVG+x`d3W9AiF zqsHLADdnD8id7RLf(BBJF#SH-dLMUOHT~)4eLSUJ_E}2)M-7x~eUhkeq*_)UgFB`^ zH<3iN94*st0{M!crlS8R!RLD_#(tEzier3*iVr_%DjGiIsTl4fR9uF%i-^ZiDC1v= z+CB!4FWxlfF_dqQ2G8lR%$RqyPVg=?H)_45J5K>PuweMzo4&HdMp~x@#Q}K zakl%A`#qN^p#_wsXA(`hs9uy3pHVp{y(9b1@X)siNjqGq>pMv7^pqyQQ!B_@9%~Z6 zeWFS1_#{W-7-u7i7eCIG_|PLE@q0&OiCaGs5|3cd-Qgjc#9JJ4C3bj78(blA)d$qB ztlmfJWlz?huq!(M4_SXk?0tVwG&gpY{0eu32XcBK9XsR!L7|Nqnw z+2(JFX7PMR1y5}=y0O@fd8(<-O>5;_QE@?+d&5-jE^`$h^%rYk;)cJa zxRY_S*W2*{kWbu^C}2Fi)^bAEynYi`Z?9h<;EZc&^LKX=@u z9ymB7|Hzex00p9l6z&1jGv_;ZCkj56F`Lf_OwO93*^Ovz}=w_j(p z37hhquUXMxPj6ce*$CxzyM&AZwOt6X{ zraO&sM%{J>--E=kUC$K5CKvsxOY2iiZ>y8%ebv!;8@-40It%Q)|ZeT zzNgC07@B&=sFkz6a_Kuq3h7h*n2|o>2B+ImZD{(YU`PK>M^9_3Wr$tj$VKt1+#mSG zZ0?+%J6;B=5jfecFBqUt5n zgJM4UACPE6XN+C@muVgzdc@wxFR7v@)QH(nEj%$SclH)mW51Caxx>DqY@AhB6T2%Qo5^s<)-8W%P%n``hRn z8dbb|U5nTUTdSVBdvv-ACPyECeU^L5R?)?uafmC6+{kcbix|L<2&Jw-2(-e2|KTVxE3n{svrsX03X zv~b0*+|m?x_upisxQJG{VLf&uT?j@P4&6v=%R%3^8~9Qs&A34?%IUvJ@7B|c@=)1n zeU8fPeo1a{ojYd$C4@lf)OAKmi*+Mc>5FTH(#o}hO7~bRl%BOlD4lVou+q0GLh1Oc zlvxQ%Z(U6 z+0b4p)q15s=C@32XK!>bJYq$T+WeW#mWyntzex@&=yX+Fg;ZURHLo2eS+5IZ9fpqc z%gDMKI^O>&R%Yoo9x=1!%^VGUI#xYLn2v9$at*lgk#p3#1~l_oO2cYkc{{X3+g!*? zwatZZir8F~lFn)ogl&gBHZIW=W(&{(E_3dXo?k4{!KLa&_uY#H5Ldo{Vz(FZ^^S(` zG_#d#GpTz`NIz&1b+3iNUa(LgMvEs^XHU_lTKaEt$U?DMl3FaFQ;}HVlTOmxNc}gL zm-7WY-~TbE&)L2^%%>uC^iQQyC+Sli=s95?xzyE%$R+1$dj335({sXH=vjReOqpS< zrxluibgxvNG(BDD$9jh2)$Z8D3qAWC6?$HtBlP?-JD;9GM}(fUQ1~3&A3K?}Su6$} z=ex(0Sv(F&8Glfl22km*LloT*Duw<*-5TmE`DG!ouE2U7@P|<}6^laanKZPG-kI(; z)W=CN2gvg$y+5^Uq(9-F&hT?i=miGr=5(Qi+jLV~sn~w%8H?~g7@y-~gSBv4juPyl zlAN^{t6FpQ6)lO^>*dPRwEbjhs>?f|Y;&-P7 zG{JJ=eSJ5Zj-o6RH|PmSQ^lo2aB$wGL-i#3rKvv5FKbd^)sqUVKG|6HbYs;S{*P3j zXsr59uDU#UqC~F8FhC0@(D)WuBqmM}OZ)oqVrjp#U0d2ux726KQRBrfe=W#jr3q$k-88G)B>J_)tw|W>0zZS{$xXajENjdsZFg7Mlwq0gxmLZ2!O z>j(P$G(hylaeZbkH_}J_*kANT)68Y6t?b%goc5)-Wfa&Q1C`c~{Ci*lz5W|T^}r@N z<2Q*KO~Us7MPI7WQ-4e<`6vC?6XK8UOYXh&<^4SS2=R|2UgkV^>YGn|cBi|xkI}4I zu*7KAs0=@6)`&Ze+y@^4z3JcH5W=~)L|JWN=<2GYo^7BD?POvjQ@IQIt4GJ zM!!KP>cL~4y05#y7W3xK{In_k*t{9GAm6+hjHGl#h?J1L2yv?$9qF&H=GW&}p{ud$ zb<3wK8>-L0YOaSbbino8TQRJhp%UAQTn&eJr4Xw z1koQ#i4$?7>@-rJTGA<}jhQ782FY2G!`lnsVCp#u$Hv*aP;JwGjOszhcd3q)H3@%x z+l7CU67}v9zQD0A5&Bj>uJNx|vBA9ue*yX`>{j~h5QSGS1D1B+y zH&d8jh}T4GoQbu7o~SvuXBr+1(|qx7nDDxGxm^FdUg^C}`XJLPxGmC8zUm!IBFQaCgJb(l4yR{ueK_2QHb~$)u6Jw$xc4}i zGkPpHp2MAM3%I@pv~iMxEAs%W0b@>OG5CuBs1uqd2|U_0n$5*4LITn@6E-G;fQnn-(-z-%Te+ z)x|YyvSgo}uD5mF$@0Q6m+rt1wYju+DW6Nbma@6zABy@-)4Y_HwbluC7>QIKakou;3cGU=({o zzmkG3=oPB`RBx5$xl}(}wmec5u;V!F<|=6im+Lo3mU=u|NFUGJK2)NitMrk@c2!2x z1m3i&QZ7lx`K_Xw1Yu+m4hK_>E0Tv(|DTwG_^D|(Y_bj?;TtlUJU8PU)owEOIaj2T z)PA$xM(Q0%{Wj~PrGstL_HNdv>nx?RU^Iy{dRd0XY}H3vZt+J`5^q{khO&0*ouq&L zsn~9PX_wBeg)Kkk*|Pft#_iV$RBjuBQ2hzQlx_TJ;|{$mrKIc2ORHMZw;lT5sNQz{ z3F%B}K`OjuAyT!GYK}KBjuWK3#tBjtN;6W8q{J3!pmJ1ax!niyP2uwWSxU(F(MJ&d zwOJvey+$*lJw^+n4M#Jgfhy0O#tV&njKo~q)JV*8-hyJSCWRy{F~Q5)gSR7kPzeTIOE;Idhw9#nCp6w`DkEh_h6>`&#domU%?q z#MH8-1A3?P-a7Va0VniPvL%i#c=0xGdtHo8j9(0ve^{VxCT|;QPG~Dha1!|4Q$3Mo z5`47vRsAswtCrt%Ch30&dbno{ysIy(7IXg>jk9>8;hoN8=S1P(-(Ksh>(Y)r)vM;k z*5JY_eKrS4eg=>Oxgg1^<^6l;oWncM{Y^{1=$&Mb`?%J)qPI)S`l4^4vx@&45Xl^( z#$6qq*^B#{w_o+8ZQ9;N2l0-2xT@J1T7+qDM^AMIm14f>4btShq>~Je)cc$Ml{D%O zb;#D2mfl#UP07~dDecyqz@N+UQ*HqNP9DCUboFK$P#fuNOQS2j2ZwUFu0#57*BjJP zHvA%~H|T_Hs8YPwH8#9rfoEGtZ5__Q<;=|AbtRo^=vjYl?`Umq)u_xPM_6TwFK%$K zh~`Q9Zgili!JW?fo9k(zsligpxJvP=!H)Ku8Z4w^m8h?|p_DCKyRz+9fFZJ)XCS!! zCZN>8=s-yBtHB-MaB&RIox{0la7Y4YaIP90`MKF*Hw`X2aaZ?8G{9j_qx zd2%|d7?c->>Mx+wu7XZK0Y?!Q26M~C49uH@d1zoW1em)ys4mUu9yCdNG3pff_z_tkk^W|Wk8kmCh2;@98a*3SWx4!`A z$Kg(05^Pz4Cg4t%7i_Udgm`NhTxkwBP{5J33Y!ezP(Bo{8q6%4GN3XXs00Uckvugj zo}5bdB>?zyfYTQRx7C8%sd9pw35Q$D;L38iK?077>cMTG28G!KnVK=E01j1BqxMly zE1|(1;BY1kE|9}zUJzV8k>?E^PX`OGDI9LyMZg7dxWNLBtPJ2fNP|k`P|X=sFo*Ke zsPz%lN@{Qk98SmJ%5k_e=LOff0xmO%4jK&pmg_G7qCAKAT|kg!5%3!vB&71>bXqW| z5Dw+7(Rn23cnLU~Q3L}nF|Z08?Cd$g&KlcUD0wDOkYk&JZ2Jx80aua34bk921>El% z+yM^PlEGEtaHTXj_B}Gt^A>P4-4bkN23DDaWn~Gr69w4W0KqnqliPR>aG@M-s0NoR z;D%^$2^_8!gR8>fd;}aNSivTxIFt`fw}PLUGN7s)=-gRaYGrVdvdU^U<5V_f0U(S6 z3_B~N@>96*L(9_LV1u8{Pk?xg#6P?|h=Y|nOAU(}oaCWdI{pF#=QrQ5dqY&rDP{WX z&!gf8e;ySTQMz>1pAHr^RFOx2GZCMTsc3C*lxCl$M%D%|XF~xJ20=pcr^(iaure*O zP1uK-TI%pmTixTQkX$f~Fg2KMETc2&UsU@bF2y%BwWGGDC2RTDuO{^Jw0SU18=;#( znZ*p2PRXZ@;=8C2K{dmxOnOtyFxS>96Q(H6b-aFxEe`>iw8+NLNc!hAJ-0E0xW%D0 zI*HZQ=B*9u8A?A~Pt+5oYtu zrHt>&=9%sHE=vLup?Z zHf_t9Bw&A$d06O&r!tdG&wxFXUrj1fi{p#tNMz*udJ z(UD<=TM$hs|Al>fuZLZ7@TfqW|l`l7@?R+ zHS-YNbulpJ6}XAR4VtiKBHZ+4K}+|WPLT~MvUx~lRn^Mllbbp?wqnPbH96#PBgj++iJ%V1zYb6l4?xyqkhM6ZgAt_BBAkBXK6k-7{ribLA&rS>I2 zq{luQh%VdPz{DPf4Z!+6Y=V8;OQ%a18cSRD;+-Xi$_~zGWt#LsIQP@+Ghi?EENSpo zlb-Xb?^>VYybN~IkiC>z(%@jTmOsN0C<$0P^n2+_Nkc8E<5P0=GL)BY4dU=+j)1f% z4y|2u99yoiuT6v??=ZAEEE#^&Jv7Y=`0Jn0c`w6E>1JhtzX^PD@!vB1QXKy_Zt0Bi z!*?@$A3EV}*d6+8C+lp=a#AO=r_z|=Y)6U+_QGCV4CQ_n>ICRuFUv&Qv6J?cGB~xi zt|DNIsA%NHqO!9H6b=&j&3KnGT19syDx+c))uvD z#ki1Fig6*ScNv4Z>{3ie2N#?A(Bd)%Yw6HdN-bk>@;+iMh8S^H4Av5`!LjlHWSp{< z$#H8NJu72qD^1)+_5BT~0N=vo$gKe9UWE#9poz$nR{_42w)-0<$W6EC=yrA0Bjg@; zJinsApIWE{9K3Q$r^~{{cnSDsr0~>G?M8;7lMPA46pZ2RI2!ks zhcS!*j#1ADL)%RZ78uJ=e7e}ot1OQ?0SqIMV|?C_CzZCF(2l^~20p8OZ-FL4JX3O= z5wH`MUWBMDLH?3n08eMY!5p})5wN!F(2m37^?A~ljsV7ECM&i#jEBO}*ocif2;=3f zsU0@5l`_)uBD%4yVUSDL)46i+qt6@Y5xTs4vaX7}tLl2Dl_0`gNL%b@ZJ^llAY#rS zD{;sR>%^*9c7xb9oGy=*cnQ$4nPE(NtjnXs7w!l+CgQ|;wry~rkPv`}GVo9iZe|3| zHn`ey+-^OM4S|T~(2cDQBfNNR9$}+}`@=eV${@Qj$f_K&vJvFqJY{CAqsA2w=VS)y z%OSJYusMJV-PIKk=dP}$PZbPRoNJ(!#g`N|rSeu`T1zn%abDWJMn}~KsHL1=UJ=tF zC+2-HV;lK@&2X8mGq+WO`Ni8+Gdft&P)q*(iV1c2W>%9@mm3V!xRSwH8nA|XRx%V< z=dA`m1Q$Q*5T&?Q$hs`Z`e~u`iYX)e7yg}etlVGf88r)U;CMBl9Xkg3aUQ!&=}sj> zWy$O+IafARlmahPTxG*$=Xxu@tH__LC?eEQUCO>hBSRs_ofR}c)ZkZs*CjlCK(Rmk zpi_w19*MEtg?}fV0o!BhIeZKAbmy+LG`N`TpWCJ`fONV5byi2oQAT#_c{&l?h5n;^S)!tX+7&Zn$wrc`pWUX zIm_uL>zkd^H2x%; zsv*ex$Z~Xf^R6|^zH3Km1>LD?h>+Ui8mSuWSbZ5)4>Oc=vAO^YjpY#;UbGNi6k=v$ z!mMdc!5s{CDr+*B{hMtJVWFq=}4Y(dyvY8H}(bFrG0>zsqy^pMvK% zT<9>Kw^IbqRII!O^^9<3JZrcb^YqeqrZb*3YJg{DFv1jMJY7@1%X0vpdokOd#q|&4 znYu*q9CfxZ&t{H{XA?(bo}rFH&xCMnF%ZS;GDQ5(`QHuq;#(2X= zK^65bjGf|Q%m(H0R}+e@1rMyJjNSBw-(~k`kziNrv|#78P_TPDk!%3ZV z{xGet4`t+TF&6T{`J*IxT7n@%B$*`0led1lYRHNH0udHjXAq z_J2I!G)xEK7kM5tv!`~ynHEW_+l<+4PMR3KeiMW!wbKfdiNN6jLF2v<#p`|=L^-09 z>TMTe<~uG=ijUh0qrbb$(BIq@Qe4I_BPnjRVp4qFB1Z1|Xd%V=ErrRXVt1Qlzwx$^ zV%BYD5Z|=GwuVJIX>+O=ujz?-q9mjirqSspqtWrE5G4w~j6@mOj*Z%akz|oz2$jl> z5TmwxL%~r?9xjCJf*uTKzEKOqMP8D9m4Ahh?*AH(TKqaOYC%Kugfv-Kn8rmuPtNms z;%u6RUq(WTQ8Qa3M(y5UA+Xed_mxL$_E@`8dzEX@DUOq;Oqcn9M6aOJ49<;w)WwYCLKs{uHB@-8WB^tfhr% zEPu>I`SY<5WdeQ~iDKT9jT#E-sTW3VX>SpfDl8EOvgvIU3hpiDwDSd}eoq+fzE2o! zEhsgAq6MYD7Yb7y=pmxOOsvru@O=0s_%!w4(^TNgrjLw+Qu2IZr2gHEB&jpMFeT@| znIw*Q2%am+e|L?8Qp35zT>f2!IR8;$B3XQroZ*w?|B8F__@;_A{{JLxp^zL1OQ9@< z00qh(N(*H*Wi3!3AWM-g$X294fCBY`BrIy#By0{UU_kVu7d0wcP{g1jMG*rcf+7YL zDT@$P5E1C_GiN3}Gf}>;`^WeGzIhQoXWsM7GtVq%&XSXqhx69=ESzuVnN}H$ou-i7 z0-TE;n?$x1nDUKNHnQ+~O(KIAVD)}A7-y+lYoq455DOL7qg9Z0aS0#N@Tz@C+>c^C zx^;kjzsrhGd-*>ukPmt_hdWt7yR?8tyiXktd!O}JUXVG(0FwC?TQ{0d57QOB< ztu+|CtYU4fJ(`7ddF9`|UE4#w{jx_0>G&RkkeU`jH#oDYniEy@z_Y#&WX!e zJG+l$POB~dyVDEwG%f*O57Xv_*3M6Zyq#5_WbF(O7uxyp6MuJ7{1$cc3H}$a7KC>G z=PlmOJIh!*zZuFx`p>ezdvoJ}zB1vlBSJ_IA7Slmvx2sh{w~T&v~%qcHp`kWVR6O{ z(Pvqm(~C9F(kz=wPqPxHkVTK0Y8$gZ7bls?!vecFh)uHh-G48>_7E+;<`A1?`G@!< ztG34UAg2BxlIu044sAb(o({Lp;6M17Gy8%->?=g0182NxD?-hkUa5?oAh5e{qe{7Wfs9n?6 zxSqz<1oe6&n|fvUQuyac`0KFrMppe-$gK6Il%}UqFD?V9@k@d+y}OOO7CFo~_%bzS zH*1=gCaj=knrdZ62xa)q8V@V;#u0^7*&5f7-AU<8i==2p;)NpoZjGN6N#)h}--)Zx z^a{*yN=*aX+h1=5zgu&PmH2TdYsD67+*~t$L@?$zY7}(|{)ync5LGB+NP@cH0rnuy>)HqEuZXr}cPd)gppk@8E z8#u;WLYvb5fGzGI(KdaYrN>6^#`7CFNpLK6jf<>pYidgSo>i@SsgL%Wk1_bXntmkp zPG3?5A4wUr%IW==(~R0R)a-8dp%))nTA*b+kb&)TtJRd-rKMTR3+bMRUlY`RomKnk z$gHJTva*)bA8cMeG&uvGGbsrBKYhr2f)4^h-HD+`g}VJN7tlQn`MBxGXH79I@nCf( z9$})rJpc{W{AS_zu;DX@`gBmAS@?*F`uwXm9`>@57Mo1X>Ykd1hXlG-`4C0(OOerhAYTgH9=E@ZkzI9@$_1faW?I z^-Ny4LcR3?nfJ%5-1F%-O|_fOLACUD zHC>d^0)C(Kl-z>jJ&ETzQ~mhBRApd^ORIg@z1-GKK9>RSu)=j3kN(wpioT-iJ zbSeHK;<1$pU*V=ojm@T(4YzZ!mFn>ZpW^)?f=2&lV{jKAz#pln1D>3n&-#-N_$C_E z;tw-=Oa1v;53*yksa3r}S~PvTvu2fOwvnHoeW3@rw%IhGMtBw$RSaVRz3UzilkAm^ zPi2xJTTF|Lqi2!hTTCmBU%1G)t4Pqd@7dRDI}#5#x2 zO61U1*h%s8rj+=RT4>E5Ah(`3;ct1&AelZ>{lwyK_(TIonfmxIyFR-<$HJlc@7>A8 z+U-EQ`A_<9>ciWOx-iiP&}7HnhqMa&bz>E(Gf0DNsIa8# zKUL_=q76!ZXr^9aE*4_6CM!#-a9=m_^fpt&>Q8FUABM9%-l{N+!M!x%JP}u>nQg zC3T!m9chQx$ivdzaJq}*VCQEQa_$*?kN57YF(zZ}XNhgQ=>g;Wd1TghQ?x zl?SKMI?FzCvh*c)uT-etw2_9(K78?%)0%ZF(yaPgKQ6)FsD06tQoT@XRzrNE`H#)| zGxu-Jx`)$bH0!U8Vza*4$eM-k#-LeG8h@X{+LJVuwWs$Ku|4xA)AoE~e6Ry+NVO?a zLjt@ZKTf8&tRZ_?Lw5F+c7*QjiRBfOHGZ|$kV|Q7@L!!s#{b7uH)drTKHa-ytX45H z(OmuLxVvvmqOLJ%Fwp`gY~yUAANN~X>!1HhSu17A9w~ zGLa&GW-b4stY!{&_-rq8L{?9BNJ0^m)x1inyIbJcti)yr0bWkE{{#) z&CD4$_9O4p4NZw|Twq~Gdl(tCMfi4<=ad~q+4-WQ^0J*ckkUVjfOAEMX7P!~mWeWW z!kTu);nYaM)rIs7jdlgjLA=`bYW(_m<{s9=4%%n5)p}#`z*t){_K2}k`jZdS$dSoE zZ&$N3f!H(H zRI}n71t;@H?3(y__3fJ3vHO~Ri7RgHW19V_cQ0-_pm{IA8rKN`v_)B;cxZfD>rjn) zP;1@jLLZ=ZOvu3>>%fO&(iznq&Cw{WTJ5Kh3hhOl&eYnp7rFE}{x*Pi9ACo0BC7vz91r$qCvGjBbf&QrH@^Yfc#^EYwEsPY!1#$9 zi#>xa9XOz+(1YLr6k45NzKk8ZM&pvt#xZ|1D;|F!2mTUaA?(jx+mILEG>z-Ha}2da zpJlJq<`0HZX?r|#FV;{r9?v8$ZKWL+o%A?7u|EEIYwt@mC!aXqG1VbCZp-LIgEYS@3aUiVqLEE*X zSRXhsvBK4{z4*gZav#K?ZZ=j6X*pv`Hbv%7FMD}F7ZnWdA!K9!0l+NcZPomTWqZuq_)Q+%WVzKf;}aCs+!g} zn_wukq$NU*^bI{J73Rs_v)cOeX%kut{p&fa3+Z?F=tQDR*w*+TX`r5+)yR{3OdW=- z)9mPT(~A!{A7s(krD$?A3l`EWoHYEGL(D8^&GsGG$BI{m>MS`lV3$&ppl5)iZySkAC}x3 zu6y${Z~llkdLYBsiBPs|*~1@D`zk)EmQ~A{6798M7>%(pVideuYodn5A3BFg<4{5d zA2c6A5pRnGZwd+^wt(!d zgdF<>vF+5oT}Y0Kt#CvnHgr}bHW%~e!T?FJY2FfRAvPoHw`gw}Igx%7yoH!IYYz8@ zV-g)(GWZZ;E2Q4oC|qb16fK+8~W`qV#}(7*ve@=d7(j6Y&%CqV#D$iiOqrnh|N2S$3_p%*e95`J-W9k(cW@K zNBT|h=3(CKIE-m6W!@0mr-&`3E@ETkf;B3(_%V^#urNnr3o~!wh&MKZh>d#NM7^;& zGbP&F9?e@a_EW)|69v#x9}eA!O*_52T;K5Y!17J%#V6^J)sKoScPx#pDOM63gd&jz znJ)*e!n_lL|GG2ExEs1>bDI&s7$|uB>Xji8+S9GZrTq!7khyu)2=3dtA zC1m_jOo*Mjw+nd4Mmii6K1>6Hw|m)oU@qp(^{_mM%v+*W@2zNW851JCC3p)lZ`KKV zGcVU6gFZ%Y@i~vjVx*u-G&eLToQFqx%Yk~)#2|AMX<{xJ{{@0mSB=%wuET5F7Qj zNB1@*+FQ;P>W!Xv2;Mx*n|+Gptt62QIb>>9i?#9s9zK%diqEB<=s8E09#@!o3d^2y zQC2wTs5hv5t)xb1v4QnpwC6pVXS$vVo}DOw7W;Cw7}(CC|0F| z$l1X-h`^A4C+-k(rG-CbKKFdKs~(`2dA7{wp3AfTl0Dx$Zc5@OF@2)Fo{D%KD|l5} zY4HXkUfBp1o27WierBqb1VcVw4k1^Hx3C}*Zx=mY7xU~Y;PJ8}LYD0L>__n2y3BK* zXwMmsQqNeR#tNQ8%(L}T$#XF=e2k^`$qW`Biqk$ARqRE!ELYXS9ytCq8!^q_2Q zw4iJYe%d&_x@dP9$o6})+vH~V9nH?7A%izhR`ru%Aq zR51=urikABS6SR{!$+%uM&yC)!t{C(=cNFFOj*<;CZSU9twXf8jAhgt)~$im74NeUb8B5D_1PX$^1mkf~BlTYD`Q+Y?gDGRWEzwCofzBmETZ~>WJIN{3oaFt026>nhdm(qix)MWm z2@OiY;=xaKitr$h6iNJY7729{W=_HpC+rLdBSf8SqE6zpx!57v$sXn;??*Us(v=FU z({dgOJ3u3n%Wx8pRh18OQ7P=i37xxwMFJ-YLFUA-*E_K>)e zdcf{AkRCqxw6oKN94pgG-PL>e$rf>ieXQ8C7R7YB*BVeyQ@3) zGsk}BI1@kJK+n3m!T0ntre^hWa5#(1qG;!yRcz$WV-{KIVGqBJxQh1|TbTBsBH=1t zI{~8(*|-+bFvPyIt!8}(hc&#+Vd84N!JYBTH^EPF!QB}y*kQdxw2KgPk@quVQecOe zikXYdz3kL&&mAcy?Iepjv}z46Lar2(_bC<=b?9Oa3!ai1nISvW&aJxW5k@<-uVKA* z!BnYMh&fDI!yWPn|5C_<`%KA6)C&*muc)xX%*z$(#Q{6C^HjtO>-!9N`SJ^#wNNj7 zO^Wv7^Rie<(BT2*rO>N)_=2?4^+oO|cZQG9Q8bsjVEx`9+C}bq)?=429X!m1V?A#rpYw=SnDdK{ z$Ja_ijFFgVOT;;!xPiq4hc&{?p|U}U>6W71fZs%2@R*`q6f+ljmoXQdutT%_%thqn zW6vK7nGnQ`La%c#MSnyEQm~N)LcIi;7w1N)Wf}0I-Myz?_>7A7lJYd`pDS4Y?65;D zmZ$aRymynVxq@%z72PBsT`>(eK5&!N`Nh=U*z_iO@E6mA#y4+}oxhkm8p#dvBTYBF zK{Bo)UH%4{a~1Y$ekY$@h5h{BNz65*OMfGyt|5KlH?p3lXZ}VmU&B-V!+#@n!=^Sl z2d^UpOiDb1(JzG#w^4h_<Zad+rQ#bsA`H8OWw1BsS~CFX8P}l=?ZFET{E3| zog53B5^FpY$s0}cYG`?xEU#OSNM382S5wQYrd2^7W?8KL#>}skE$UwbXhbS1Q!WqP zo2c1c4r~71H;|`=c?t#b6J9jT_rtVBvX3pyhXp?RE-x-kcobD?Q!wKrUg}Ad+G{}m zyUa^vScqOq6XH*?%2hrrda)e0SZ{+87rm>aV8!w$D>nNm?w+t}!om zw8xIaENxGIw$`4Jc%DLw#eSW<`Kzg!abuW#_bYXCji|qw^1D5cviOY-+N5PybvN{Z zWa?&N|0p-8Rcn%iKG9$&GlQl?T(w5#A-P6={0+}2*SSUyuc^kG*T{t5k$&zfd7Y-o zRq`WE*SSjC+%Pq$@>5?t{}D1QUt%%gnWDJUeaZM6rWVG1zmTVHn3@_#T_Hs`@I-RY zUr42!NPl~obhwH1$5+TxG(GAvDY|LOF=k#NRsKNZ+Fv1E{=l?*@e-N-2cF7%y$>I_ zb(hh~PKph!oPUY7l07M;pp}?ni8zSinXAPS>xtC5vu52_vu49gf1Qu^NnhAusvu%E z%yCyRWHa`5(F!CA?#cW=OqKfAj<}7ZZo6t$moDBfh%3E$$X`d*j9*#2M8@1QwKD#E ziLAPXRt~#J-oJ(EKQ2R|> z@xL@|2QE#xbe{PBGNrU}f#JaqDy0eUo!1h%TA~K*kh4-t{E3{>gazlxAAgxLYR%PH zf3Uq1F;t}qel7dm^CatzsgbeDH)Q%9Q~gTe(~45EAP#O;s#i{vXYZIYQeL5~7ua5U zdXu$0ZW{#)6X^CSw81v&qpX#2u`iL^cT8Oy&ebk*=)<1*Nu@z#Or&77BOX8K6Q8v_ zVOSa&c^BW4j(L$RxN92G=+mQ$0^4%ffqLR78}|;gd%MZXDv^^3!6i6bB-%Yg;*tUC~i8oXai8!Y;2+hD^qEzR~VaKwt1^G zp%ZnpT5aQ_VGKZ`G~wSrVd(BJdVX%i%6Y245+$d(v$lX`E5Xi4?b7L59bC8OvwoGat% zYVOo6TgbT>b9LjCE#!|FbIS&Y(P@u=o1c)i{K}}Y<1$BQj>#N5hCY5qTYD((rK6;0 zthsT+Mrg#LxW`~jUziaM0kWEXoV6Nbjt-x8l&p+3Pd9$Nh1`xc=k;2FL2vtQ+nbLE zDnIo$0?1yOPQIrL;q)W;YQ!>ZUamD+o6$=@F=Q?MD6w<;2o$Njx=?%K^i)<%T>sC> zf8xx^#>StMV{zsd#=vHBFV4KpIQKcSt(-a2TJ?z5%Jt9EczRf}SN4cs>4-0B_ZOPG zT0Qe@k+Hn_xFON`Dea!Qjk6yACEtpS+-GP@dVfl;#G9L1Mt+9f)`ljvy@^Gp|EHu; z1+&X^8fE$}FDXq({+4X5VE(u9LUB=_ism#!!?nmMP5Anh)&~=j$U^6}%UaoLBKCRw zm5c9Aku4^3^9mQK#cH+NrzB`H*D{(uC6`U+_AM?S243#MXtvT^#Jx+wYV}KvC+*Ut zhXpI^DUxkA*DOs1O6bt^xK9QB_hv;8?V39E$6S zExNbw(RTTzA0tRF8r&DSG~xS`1;7~Gxk_V7Ff(qU6Yiq9cxK?87ZhQ|b7!BZOt|z(f=lUAgtz4htI*;pQuERCOZo2#< zMZqvG(>ge7d2!a0aq}pmi#_V8$L%X=>Mm`3?-=g^u4lO(<+`8iYh1T-eTwTMt~0of zwd1UaowtEq=lu8dt*(ZEgQ@8|5+pU!?Kp2 zA9L}YyT6sv{xG8;e|c(>iq*}NpRQr9;_iX7S-d{uI-n;@|IYQ%UMzi^>w?}at@L5K zgloAhmR`ZNQeT!{$JN}ArM+CM_h)JMCcaTMn}Mgf)*ispTe&6KTev@na;Vk_gSI-ERu9m}e71s(Q zS^5dC1rM=w-BC=R}2VT$xH)WhfZPX5~`?Q={}W#U5_wrG>z$MuD7{9mdALQ>%-Go`XJZb87!SLlWF`c zrfayy&SvRHxt2m{{mRTaEF+O#TH%$=wS;S*xs3a`zQFYjt_|n0{0&?~TsO^UJj6Az zfTeQ^nLbsRZPzONn1k5mETbb=&svs#iR(_TiR&1zvo3pWg9NwtxBi+N#|^GGx&Fa4 z$klO+rEOuR0j`egEFI$N`jw>>?l-3=8NQKsHzTKI0o+FaXE{GFNR@&cY` zS=#j+Q_p6mp@o?WeFfGqwXJ39THIaZo=r@>&+znVp8lSxKg2Y6jw&6)8$9DCQ%lDl znxpVMrolx_{f{&CKEc%DW$IYV)V_|VH}HJI)bxhSK_-MI7v7svKi# z>3|CX`pRJH>CDp)FtzkzYVXa|)rV_erlD-6j)6=AgPDd$Fb$6E$>wnAA#OODscRxP zn9o%4GWD%v8d}fPyNRjoWv2dJOvA4;b-u|oxSOfHh^e1`ks2@SzMk4XqmQ>C|?ZP@xT^=I?+Af6t~ z)b}t`?;NI~0;aY_Og&4P1`C;5pJM7-&(yz>sgE%AKEu@GevWN~H#2o^Wg2{*sbxD; z`wL8!9h|?&H1smlz$;9xZ!&eg!_@OGQ}26BefyYNK4j{)e#$nK3ry`j>{?g)xGFta z+QU`p#nW7s-aO4!>BG{lzDz^?nK}kC4LF$E9@NyW_=YiH$zkevh^copQ)L`e*LbF( z2}~W6nFey1+NLq}O=oIxG4;%58qR0xoX<4)C{@fq`$7i%i z1?Q_cU&GX~mZ^6=)4)ci)=f

)HC^*ud2DG?dPN`w0dDUvY!4nR>rr8g9mh#@m9a ztrgQ?Yo;z6Q%fpSe>lC}NMIVlTaU`gd_oy^i6t|6}WDUAEL zD!DA}fYE|>d4@HDAVxI?BL_Pz%+Ql%{J`xi}!eWE-|%SWoo_7)b=}5A6HK)&rf2Gz4e&- z984X9d4*iPLs;7WAkz?6kDEv49nLZ=BbfTQT60+1&($`Pr@7i6V(B1P$0(K#adnMm zX=MykFIW2+)&RF7#5R;4nEHQY>gC7jaFC^grT1Q)n$rFM zDK@D*&oK3GVH$jasdXPupJM9cv)D3?r9E83Tx~NL56og3%4ez+Fm-WtEJd2m|FDl` zIJa|y6HKjNF%5Eco#gy$&bhjHV4iOnSH5NH`nd+_SAl6y?&bVlo_>#~_c3+8&s5pZG_c>zHas8ji~vt_RSxhp zSLH*V=Bj+e(_EF0d77(okf*sSpYSwS#eIkau1Yaab5#!WG*{(Qp6052#?xGtBRtJj z`JAV@Do1&mt6TYk1FlMtrM+A&$9S5n|bG3fQ(_F1*d0JD&7(Di>Y$} zQ~yw|qd0dz%r-1jnc8OZjJcdI- zU6{H({n&=9Khy9)rhy!$jwwt-bD8@2is@R$(<_;V*YPyrdYh?ohpFo>)8H+pwm&s> zD}J`2*m!|(qyRsN_!I2fSovx&b=72QOJo}6>aWGp);gSX_19%-R}xcOJ)W;A=AR$; z(&@`(Wom1{G|biCkfmLXcz!a^=NfFx(t##S{Y{zrQg}L@%_(1drrr)rJsD6s|6Lt9 z=)~03nW?i2Pj}_%ZcHs*m}6^KrnYW8{Qy&oou@N-es|8(SosiF1+Q}SKfiWQwH^ty zFxx9SYp@$Ot{S9bOY`t|TAK?VCLS+7xWdn-;#Ta5dh~PL$jkE;v-Sj;`eTZgtTm?^ zY9GPxjj4Dq#CsLqoAKU-_daLQ(RJpAhEbk$RwClb8=dCkUzYz`lzmj%^Fmqv+A0a| zBPC@?&BO0eY4b%nKRGHLI4S3+d?Tl=QE7{ub}NZ8KoA2WP0`d6l}?OG+oICW=>CZc z(5{LBVqSS;MS#UFs(>phZRbN6i83cDe`r+N5tZ)KqemxeNE+YhV(OaY}=si@y^Az9l9BB z7q)%Sm+)SQ?a)1w5?g9gmSLM}9lTdzo2okl|7}2mYDc^`W1H$ZynWaXLy2Nmc46BG z-HZ2bYzLq>@ZN`Q#b8#3;r%hTozR7N2eIveuE6^Qw!P5xc%QZpDL* z1uD7E z_Ca^x-38lz=x)62*bYD&lyjSvo=DirnU!_r&5DB-fIeH%tW2ca(B5XW2-^;57uBpR z!?qoItCCsSfNiC+S(%RaW^B8l*YMtj?J#tW#jNbXwio(H6;w)XpikjlOt~8y+wnev zgdh4l-a%|DRn5v)yiZ`;2mKN65Vph67S+&O*tS9URfGHbGUlA?&2Ec8s?gE4dv+}xF_AfD~GF#>jtg?t|z$0hM9dAt_588 zaJ|Ac@jA2X$<@Qv&ovCC^(%?LGDAC8C)Yx*ySbj=8s=*Gjg_-N+9HOMvo1}mSzHIJ+29RIE2=^b46aSh(UB1K<6 zb8v^N`6h1}*Ho@OxsK&JgX;>e+qv%JdYtReT$MkV-|Adb-F%}H*MVF|b1mSyf~yuS z{(F|E-{czL8svJ0>m{zYxtg(R(3h2~yE)&;;M$#QHrE`kPOgt|&F8w1>l0j`;<|~e zkLxR3cXQp()U6bAaGdKIu0M0t!o`38n}rJV@4sBB{x=I1o&W#cO7*{4sI>j>zgnpf z=>K4$V*CGpvr^G{@PB`y;`{%9u~O0c|L-qUZoc4X-tpi4SE~QbLgoIiS1P`M{9j(E z+{%BuQvGihDts9AU#?XDr-iDBylx&_IRY;EE2#AMT}i<5@h7IvNQShC>X$%j7n^rijF>FZ(mRS49yXUtO7PZXt`aZQRTQ;yg$gk{YihAfHM~<| z9&I#Q@CTbNnIAPe5{gb=GWUt0py5?KW(n}{RrAN?4Q)u%o90HwU_w#$O>=hxHi-8R zbM3h17fs4U^7Zh`3bDR3XJqo>H72@CrcPDg`7>9#o zSVB!<RB9uUxzwh;XtS8wNMtp&=^)xX z1LEq4@z+P_MIid+P@TL1;^-!l_dx=7ksJoG^$^KdAiiEAIR|3FhpW2hUqBrFF#bCF z6Ud8wUMF$b4V6J6sRH5}ERrOU(1Rjr2I3ell5~(jjz}^=Y@^WsdSwHE=&um!WF&~i zDUwMbo`*#;3nV;IB#(hOr-)=ZNN}o1HiFpmK=3&sUv{iq$Y@esYn`i z268MDQ5z7?6C$BDoA(6}l@f;S32gLiS zNLEqug-8g9KPZyzaX`Ui09U>_K3BD+j-$0!I z7Rfzovr8luOfeV}Vk)P$byvqJgYB<4-c2*6q^4mZS8{Q-LQhzzouZ_|#?mxa=*q4j z3jRWuKC!bvJS{}>Ahl^DlCjiJx=5xr1G2XlQ9g*PgGk(zbR<8O!?>-$cBqS(yAj0E zO(Z^$K&D9k0}}2dl6OFYeMNErB-BqNM?f6eBKdk8kZY)jexQz~h~yU#d<-G<%`Ffg z&f)al!Uq{jXsJjlfp`naujSFmTG+NdBjzT9_&1BB4M_NTk#quaZWl=}kl+rHI6&6f)Yby9wRvAdrDN1&|CRo{HU285WT(Wts@eniqi&Ll87qd zggIDGB(*_YR*^ITu{0D(Du}<4NV~9hk<0^8 za4xO4Y%z$flSo#9_`8UNP@4xtvYpzvGez_&kZ*`c_JDYC3a(f75l9Gszep!XL2NTb zavH=lM$S-!74S2V!|sBo{%f?~3Gx8^~2GA_J}f z9Y;l?f_P4fq!x(swMZI+1Sg6I=AIy4Tzcr8Jc0V@Dw4&Oae-;?PBK)rUO6AKeS^tp zRbAo!0NV~+Cg_Df0|`pxYY^Lh(dI`GuSBkagae|@U(^pSH+0YCae-?;5+OJ=W~7oy zmDDQkYRGT|BN=G1QXfP)E|L}?{x3z+0mODfBt1aFxSr9g97M@Uk&FUyel3zIZlIuq z=788wiAL1M^NmPWf>_Rqgn(Fa@uXM(0*LJ=k-QG#lgN9Pj&OZVG&%$$*KLt}2@;xI zUU2;@h=sgbS*`D`f_nmfTz%>FCV^N7ilhsO2bV~?%_xvi1(8ev2|g&2CqTSoMe-tu zRTaq_&8Sf&5xozhSVVFd#Fb9+Ex0f!!L}oXykb%7xqrvD&n{XjxSip^1)mWfOvX}Hd$_JB%z@o-rk~-6U5R-BzYh{i4=fXvqYO^AbyE> zL2P|Ro6S{mWcEvFCyeaP}mNgd~zdZ5|YDdQuySINa1|sAx2r z8c8IV+6)tI@<9R;@qk!|i#Dr3JQCRi5|YS{Y8VLn2(g$SMm~w`15t8Bn_>{BL{5MN zBoYF#jucB>0r5!WRyCX=g(MV<+jI7Z#A5WX-uNU^7sN73v`GQ+NF)s;ED<}1ZLC-- z8^j$LFQOcv&_t0;1W|BFuaC3~#3hl1AP!vW>o$cT_C+Gu0OG$XlD9xC?!QEI9LTd= zBxkA7agp2waaa8%1Srw( ztSttz{c4b)M4kb021T0}LBbMw1H^Mow0WPBgbIS^!ywi~O3Lo6eFfyzP~=|Q zIS^MJvDhylVTt@nZR(0Pakx<)mPi#4SCVLxL~R&xEA;MwqBNsM^+cm|kf1~|L2UI! zn*ktRiHrmZOJov=!zz}V1>)z#&8mM4$kISGS`Olp$VQN$M7Dw08j7V}1@TG*KX0al zC2|nN(TJDA`is{wAb$jDt94iZQg$wm-oSCMQ3@%I$TtF`GTi~U7}-z3C|rAQ8fSa1(o zAL(Ntws9i)j+UAvl8dxdo=9%cQnN*3sDtw#OM!?~px|wh)B;f|nuO{bgSb?Yv;_%S zMA8++R!by(LA*&K8AeOF@!7N9vhhHUh9a2`Vr?vvM?sX9B6%Fd(@7+2L0p|hvIQjE zO(ZXa1hQ~TSg-zVAjb%i8~_PT63OQv{+S{<4dTMhX5G(uYO_Ej*FnNdL~@tfJZTco ze=6V!g3xNwC;`O#lt>zYgx83q6^Lb>NIHVp){CSUi1TTY3>D zi)|LkTo4~_i|eHpgLt=zWHpFqyGWj)rFMwqMG)IdAo}^w8$gbKi$?E*_$6`}B)C(w z`3fZbvPjO+Qm=^Q7ixn$_j=HOQkz|rl%4;?C84daibhpHl-EQ;|DIYvBF#WNuZuS6 zw3J^Ynbbxi1E|d#noZ>VXCyUZh@TNnqDF6uj%HCCi9AMa-V$w=QyYnFq&B-nn{CvF z6Mp{lDm8jrG{VoADjta(q&E1tL+{38)J7uTQJW&s<|4I`$PH?NRt8fe5h-Ip ztcL_6Wh#hIB6F!tv1qfH+DK$IwK*)>JVR|H;(n1DeJUEgL5(ExKDGHwv^h*|B=Qxt z!3TZ%aGawy68VMNd@kDj>83^!inGG#sAyCL#3zv?YV(C?(~R0kB%Rs>MVm}&Bas1C zH;j&nMkA?_L?%&3$3>f2AbyEF24eeCv{_DVB(jm(oDglcS?T$YgkGgaUx`M0sUwLT zq&6o-n`6{QBHvM)uSJ`S)J7sVK-{)dqLHBij3lCh*iMT!wWy6m8dIBZM4PtMMj~CQ z&9|aWUuxr)&@gIrMl>2vjU+Oi+I%P4JW6dO@;J3QE8483HWJxFZN8Vze_p0W-;2fG zrbZ!=8~_POFJ zAd*%fL5XyvHYK7>FKPoKoc|04vRxF7#(?-GGL_m~5^d&E8;L9iQLczKt3kpNc?QJw z3v4PW^ma?@$y4(tp;RxD)Ld;86S`_5<8GRh2EGWh*lQ72bEZz8n>RjxQk^n_BFgKq z$tWY!$4{L>X~#0sI)73@K1k>)d9AtHGVT;UdFV{OZ>}CT*hM0$Bkn_J0*i=FtEW8PusysBnDKX7$`C9!~f;U zwwvaJIIS)+_`10ox!OwIY$zZrTdR$Xp6kTlT5X8uY>6*btwDTU)HrgjwK~YKggnqj zH!wKyr`FM+SK6pqO*bImd!>pp#V{dv{OsxD3tCS=MfxipVR^2bNSm;^*3+Zacv?#r z8+yf6=A>wT5Vl2Hd##l0A?{(s73u6!K!cW?w3-^-|sp!HUaO`8YNydj7 zkzi}Jb|u<_^feoq0j(MJ25zJg{3`b4PD)_Kx{BKGr38f{KBYXTb&y*qu^iLIR; z&%Ac(ZbLC?kw!b`H?8*~p+23aW*L4Z-=`tebJY89S|21OhLQ09CWccA5}rhYVRLOV zG+j+;Tq9XgtiMN0B0~ued?;T)#BthC^4f~NNmp+f46)?P4v56|yA(;c4E2ki>^Oq%@}(#3S|3*C1B96V{K5ZwyD;{z&fqZjLtwZjcb%M25dfH_Qwt`L-Lz z!*^R6kKPYpX3QsR9zbmA@Z!78#$);*Bpi3d2qu9POA+LQD0sF}AG1Z2;3-d?6oT0A zM*Kv^4At=IlM|~bN#gV&1$IQcnzYJ9NY7J$rL4Q$A0XkwLx8&T&p|vA`4+@g8mSJ2 zm0KXV9Ve7BHNg~@Y+8VL75b%Sabloi9^@m~m77XIrgX>7PsrZxm|!l0nY__jZGi5^ zQZ%jUHZ+N?LbUz|;9Gx1I-snKmn|lzdSD#hB@KF_(GQ{o&8Kt3J)MSTh=fKRc|iOU zSwpKZiZ(kyd=fbT5|+qm5F4IA)6aRYfCMCRrx}of@6YQ-wVGl_EhBn+h`ASL9B+-CkpA`N39>%N86lC=7GCdRYOah&Fu^y#BEGV~$Ss0<@(`oN*1%wg(% z9oEm%9Fm?{Y7;}4OhYmTKNi3SHmX%M44ugzFhDhR`a;H&2dE4q6Z)$C4F}2VNQs5D z15~6-Y7kEgHI{VirzTfN1+1k?>xtv@$D;wh1QPDAHYA(+sae%G-8Z{Ne`M3&FVOnw zZ>2ZT?49??ex$npJ~<7EHyZ`_+&8<@0A$mjNBHMzSJCX^`({@hi0t5fvkPhVsrzP^ z8-(o8eY2O*?2>=V4h>QtsUH5P9IdB44ivm~-|TxdTfvV&{Bx5R4n}tTeY0=VY|DMK z3x*&&@xIwN|IgX^52Cd7pGpTGM3YngDMxFvYbXldKl>`proVgf&rQx7hU|>{W?!P& z_WNe%4o7y@eY1c5KW9%IfzpnDDjgVsCg=Q9j@D#nj+)ivG?oZ`VU9_m3x@c5j4o~E z$k80t)@7TvP5Xcz66h-c;?gRxD(qn0!u?ue4V*j@Cn6U}m50!Q{szK7I2oMx({uvYvnrxAH>lsdgSc+?>H6NqIToOc_Ijwrlu_CA{J zy>E7>G05I@-|Rg!+jrmW_G6K~^S;@;X}149*^gA;bDx}s#6J!N1NY5t?L>C*eY1Db z?BIQ~n~z8KsrzQ{q}ieSW;b~l*(LYQ-a)g&|B(Ik1kB1?|B%5((Ki9*6#S};yr)G5 zx!y!%$KN-5GtIW#H@o&EWGCJ?dlSvJ-Z#6(WMrq@H+uukw*6Cfcru!t@lQG0#Pv== zLHm8PE9K%m=uNs;)FCY&!l}c*a@FJ*NBO8dCz({73c~=~eg2l+h@6|MPB*+r20fzI zuUQ{EI{lg;-Hq9f+XBQx24|{u=v82p`|u-bGW`~3qOD?Aviqi~Hlw3FxjIeFp_-M)bUW8OT!&9*+|RY*43?hA zbwAg{nT*fodW35e7vmnT-*8Qv#rRWPOSpEQ4W3h;Z035Ct78u1uW*gcXX&wA_i(K` zm+?HVpK!I#WBgxSPjGELpYcMjKXC0*!1&W#!(974%J>eh_qgW#i}5$PnisJ2WUc|O zwHGp;&-EzRl*brf!u1T-_KO(za=pa0r_OU&!?&SKBhiS8)A_Yq!T4-^BG-uGvp8{u0xtpJd60xbEg!sgUtpt{-x(yPWZP zT!UPjuK;(JC(EejmM3Say2=-2tW>)h+y$89Ez4=wcl?m}D#!^ORLf(}Vh73NAl}!q zl~UY=VdOeUa=d6$zL}z=;W9ukRSo18KH=6$8xZ=UKA4|wZlIlf;b3S0h`EAT>>&_5 zz9cxB41$NZ1+o<+PYUR5kjMdxc|HabD>dv|vuXIke1(Xkad|T*04VX=+HU1B-a)Y) zQn9MdX)ExU3f|0h1CYREwh}R7*KF)UpJkKpSF4TO4ce*?kO^+G__ zmNXoDIX$3GAaE>%I2C&5o*+cH_~ zkt-k`e#B=3@+Sx$ToRm{T47-MB?q%f0P#qEnuFYtn${Ua4zj-+=qIUBOOE%i}qYektT6&nn43(W^a*G``_eS+&z ze1fdC-pV_B4s1S_LR=1Fmi+7jQ7Vel`jgi90BWLC>=KNeQ^eu;3nWhpv0fY8_mEoO z6C?l|{Nn+Q0l{~Igjuu%Bvu-Z=RnBuwQ5y2yCRM>=3Vf}i4*p=9z%wX8!!})a5CR) zxR1i-juh3OAe*FV6NekJ`43+wFq7D#NFBN!_uLA=sfUIVcs2WEUy7}7Cuv~!ZksMG`5A$e|| zPM0Y}#SXtHt7O0^S1L9YBu^?f4@5tcn(UfAbw>VVh20;ERJH*&%cQP;17w%fj2}SU z_(lq!Zx7~8n&d(VJEfN0fssoZSG7Hx{QBIk3vw!fwVVx5GmxQD^+Q2MOU)P$;$>}( zblOZHvlJr%`M4}Z+C%>VNrCD+$w3f{bozIamXiD=lEnnGJ-Gw+9;r1wK_b`ZEcU@5 zU8D-8f;go1Ee6pK+~dY+vunIXI&iOrO;GB~7u`Vq$>Ml_1abvU(OYvCgq~gCAEwfr zsZ(Z*&%>Zd^Y$Wa(xg%*9R97+F|Z*Bed)uLmVw!h=VXOh+8suB${fwfpFLy3beFP8 zs%$iD&PYQr6J(i0o&c#Uk>^0X(xAMOf!S`CTK_4GY?vnWCI{>MKS4H26Q^=VoTNw- zr!L6uvY=@j(?RM=r3QoGTZuxyt^sjZmtuSgh`#i-Z!bu;Wb-x1GO7BXKsHO&-vgN_ z*_b*p&$LmjVaXs?^uI73ZGjw9#M$5g!MFE>sOM5g@SulS2(m$H>voVk5;+LcMQX-* zkPQ0E#d=r&3Y4YIPb-g6>5R!Jby^xoi8O}?g7lQyIuazOY{|lD+?+}InC8;Huo^bI z=JJtBvlFF~^n11$ zK(SI~y~y6@)O7bmWaLYAJ^|v9#%T*k7paEVL6RkR?}MC?I`bEho)R&2#l9|$w`~AW zywt!4Kz2%m+SHYbJqEH+a=jU3PdW1LW?YKAifxzFMIV9Kq_ICvJxI+y3lb_D`*Gt6 zCX5@8@xlvcd=P(w%@wIs#ctHXA`EMz#y~kzv2>7qQn+)vk%e2-hFumT$0`N33M3#c zzAuBUk}7=<#8#esvjvU)9NQ_9hqEBr(%4)9$(P1Yc>o7zDWXJ>%~CC`K=w)9J_RJU zjG&A1#*z73@da=%GIG&m)XIBm3rM20_Pz{qs;qxus2l*op4J27AHUh*WosG-{Nt1eIwVgctyxP#c138wmUY&eUuKqZE*Q$*3@pX=kY5HuQX1zdSj-B4pfY5R&=!`Pv1 zQQue8V+PV>ms&#V?!u?0=2z83V#9xicBJd8Y6J4ftEy^fUsUj_IyJ`7ft>WKV~QSl zLw(g?NGIpsgh8dZ)Sb05%AB`rmnv+hleKT-XI8&?3(pbWc}wkQu#wKY)dvk3WX*1r z-M3o}scp;b(`@PF<+s&Lqpc$ey{-1C)uAk_ZF_w?t!+nQe@A_>a@#apnL%25#?wV; zQ+h{YE>iobdPHd*(uk90Wu%dUBDGVcjzX!9=_CMVOiv|uiqs*-_BP_!gUBA+gUH&I zc~5WOfjqKT?QUpGw(Uh=9m{gscGPQ1&uB{w@6y0J=#6ThY9j~URcoT?=kKb$jqTcz zg!k}xWm+2P_@3IScDf!-`*fjLdOBJCp4zr@{_OEH=8T_^KXvAeacD3peot-SMsLU* z6-ppIJ<1|IJtNAZeRPoy?V>C?q(uYnG&>Scdz(!*X>Ze;kZRMXLaI%li|yO%-Ir?X zKo$qogrchNt96Z)Q*A<4+qR_Z2WrEIQ-!IUs!xN|RKd2LP;EPX+)~@=qmwGkmJWh_ zhjyvt?GMzc?NZb9c-!NqNMzq>-@d)Dtq0J)gN=*{sI6i;V2D=-)S7MeiaVt0eBOb$+k(3GE>E#WE5SCV*oDHU?}XxD-VAgb_Gy9A|3L-)6Mdf?MJTJ$5=jkPh}=YFSwP@{6B(uK#TRbGfw6m>bRA+4H6G(&edXlaNXNzflpC!d5@I zP}GQ?iiop(WGm44;LPtV^s zJajS5508$W%8&J*JT?5u`Md}x=0E?Ldh?Sk&~zA+q+fSQ-!8`M#g)av?9|hR$@Znf zYJJs19iG|X&iM~T!tJ|L}U0doalol5z3JX(L zCrTad1re^a@7R)=ay3fUN@R3spsI{EC7UD=leG)L0u+i1SSe6l{$f?g zDsatCRR^pUpiwIBTUfj%QO1lvo*LJjbx1TlJ-$$^g4PSvicaX89-k>)o2}{4NQa3z zf|ny=L^rbwecnpA<$5|iFmNm#8y#Z!L=?V3!kdT=A3I%zZWOddV~2-Mj#S~B1aD_$ zg@wiGYsJgsRW6<4(zsBZo$8y!SQ-;o2e4T>EP~_W7~9)7F}GZ7W4Ek;<-q2dMVWa| zPhHh6kBM)VymcePtYPI32*;D<5BYG)$IUE%DHI3sm1d zS*mJ1fu3c66~&M!E*(KD7G4J%$@>E1uHGhbE%^%%kBtr7i$$pbnJBh$&uyIhUr3aLAy8Z zcLe6fF6DLFRw50h!ra_qRVxv+VlY2)=1lb%14AQbmTN?VjPlacH8~q(oNGYag|dEe zUVA$pW&o{|Q+@M=Qthh-Bd5oos>-%QBHN_=bRd8BME}UyQF_GO@MsAh#N$-}ym4lx z_JwSx1B@9hFL$)r6w)<1l#sx?3xi-l6nDap{H+;-Fa zrF_lMriIr-b38Y!-2dJ^80XLn<56hmUMh6nrb$J~3!SXAfi>=)r zplw2$o|iTc9viD(g!@BjGliKddca!Rnf#e$(KBf+^e%}-kJQ2r2*wHJlExQFf*9ah z;6aIWLs*OXkl^+b=)&W*aW@hdN|T;D1`h+|rKQH;J8;R(jJV`JiCmE%9!P5p(GkIL zQ?i_K*ij^ujmo40<7xn6w$m;+_Bu;unhBo(9G-pXKwD;7=+F-beI_7CJu~YF zo+P>5^b@8fGaCrMg|XcB6QrJ*jRa2t#IqA2YMEJ^OLEk)9F6GdIjNXRl9OJ_(w$C^ z-PWaYPquDS8d_-y+RzWsv5JLoQ><)`l`XMyQ>>(^WR4B%Q6&n)1;BLYzMsAPGK)hZ z+A_1A0aj^?l?`raaFHk<8d}`YyaRC5^VD6>zY`!IFiA}{GdHtm-$m>?Zt^IIDq4=| z@NQsDcre9-7IB&~(@Fe2#2M>wnliIBm)t~`hv8B&WoUC#<`Nw0E(~$3s4x#DDm~yAij-DnLRdP{sTxtdvr3P|QB$eeFAs4q1=oPA&xt&cK z1=heF2<#d>=tR77(PK znSBJ`50DQA#HeQG4q_i*ARi3~QqRnt1V0GSJ{%CGAv61leu%Wv3ulN?&CFfI9wRNE z4hT}u%mIQQCdlUlg48o}kl;s{Q+IbnX~+zQ+R)>p@bH^B`p_gkvV&Ehk2x0Ex6p$I z@pzl_cs#H;ADYDH0q65^_~&?X204(L)axVl%xok0 z69gALNIf$>xkNAOljOFiUF4?4U09|7S1e%K+yZ_Q4sxo*P%6^6ce}0 z(Z|L~F8Xg`rB>;VmGlR|h3kPu(+6SL=$|cgD&Q*TL8^3gJ$IRyh(Yp@S_NI+zCA!4^CihwhJ+U9Jh(iAu&^-hZhy zP;o-Glk#od6u3^+RYq6dxcHuDuGa3@>DX>xa(uL9SzJ%ZFTvz^Q6SD4)%LlUMX zGhKv#m2i)qQWB;mGrI`?8sPAJP6yg#waG)TUc6s|Q~3p+Ch_qX_?O`mAK#IR*38_> zTsN4@AJNf)w#?i{hhK+-JxCx*gJkiyn%`h0?!bjUG>Ok~SM6`o#~r)ShbHkk;e5UZ zA9s>Q7h2ri^0!FAJ1I^OuzqP0igS+Qx8Y;YLFqvQ)(iGxzrzIfq=qmpLhu$x@Vj)m zRS%cBYH3MVxK&G4HfLP5RC470I;(RBJtU_|$WIBm+k*Zc+#15>g}UqN-v^juN1}C9 zCEj=99}s6J0;ejt@4!C)#s1lQ+7y0Kbpnw zezvBOjs6q3maTAF+zS6wz!fW;Hn+n63=ZzTM@16&``(`e&GpM+9Iy0LSqdfb96-s~ z+$tn3P)RSTG%Ufl9N)*sSr3R>4upiDI?CmBqt6H$wXC{a0tO( z`YVe|P7E7W!R3firiIR)zlKXiPtoRj>TlpswZWm)ZE*e;F4f~kv!uhgv7EnyUvyce zE|=9@(!l%htH2Yz4^wt+LGjLj;@KK!c&O6AF2{Yn7N5L5Ef0Tdr9s|0Y4_kJ|811S zbd;nZz#MvoFiezm=qYxC!Fs+i5*=%jkmL18ZsUc(`rcMn<3}VIor->Y4K0wmrBH2% zGvMM-=7Ff#aBHma?w(85av;A8&a%}4;sY7KhOL-JnWrSj*;j@n>cX_g62Qq>f*K z6fdp?c>Nc=+_5r=Xb~6gdg1bYmn=L1(Ih_ST(bWTJ}wna;^Uuy{s%tcRU4Dh=58)o z`$7+hnHgvTPJ+N3nx0!CQkkydNXrzYkhf%F0_b?zjbegi@sx^ z19frmPm*15urCwnL4$YXl;Nv z)y%BqEa+vpeceHr7771h7rvb?_WcZ9Xb~4oC$iiE7yGV-9yEx@d&I*%Md2(O*Z(0z z;fCN0%oH0~d2Bc@A@(O}Nq0+Z4oewhZ>4W04o{j*`criR!mYu(sT8@jL~c#qO(k{X z%~cJ!xl+>mB1NCD)udl?37b8WN>M`jJj=VacsG@zgla%SN}7@ zCQ8ag(KD@#nD8l(cBx8H>8qmB+r67gQ9?B!Atg;n(I@PPm{xiwl_DlJfQeE)cNi2s z)9Q$6m1j~ZVp0Q`C}~X;J<}RxO8At5m33n+__Qx5rlBw7^}wYBApF(^KZ@3m6Gsh5 zOi7!d0h(F9*o&yv2P%p&l^Q@rN!y?SN|iI~%?7hk&f7z68v-H4m{1KMq@;uz;A-0( zQEd!V6k{qifQph*X@H~J5>agmR1{+>HGqneQfYvrx+$XS3{(_jDm8$Ll2U1aQZ<-P zbFQ4v_7=0lyvgj8!<9g}El^U7Db;`zJCzy}neoU#wJwNB5H**nGrQ$n zC8X&IX(+~N)POW9`7~6U$W2kxQ1ofuET=OeO>am;(WVjac5}PgWA>VT<_>eG*>CPL z2h2fp$lNW*-XVTRh^H8rsRlHkl6J5LXf^fbUUOKEI0N0zKu0mAQv>KIDV+u=U1E+z zRJ#Hd#h6MBprWKy8sMmoMpU~46~&lJ4WOc=J+1+cDosU-=xz^m6k|FyfR2(@rU6RV zq)&qS?4l<;dXA&V26{N4n{GWniW2V$i7Cd3)qup5j&c%dfF`cjCo0{|+2`6YaC-wa z#h6+Rpr)j&Rs)nevCoEqZeO6IXz9egK_3zIAyD_9`n+S$DFVm-z(Fx^NK>%Y)=jY8 z6o$CFLL9}oDQZAdC}~qPz&C|^^gwqY&{2%()Brk4I@%hbbalE5*C(WCBo78siZQ7g zKuSqRQUiRAd_o8{9tw06V>&h9VxyA3*ihAr4Mm;$6g7{0A+OJeA<^9-5yd!>8c;VS zz4L2;ubW%vKzBIMQM7d8E**#Muzj!y5q%+oVqAb4Pyi(@Km&XM*qKM;a3s)CjOo+> zI!ZcMG{DpGsU}o+G|*9u>C^x^N;+3GKq06!hhqt@IuVIdIou7_C+6+Mu&_N~lwraTW+2GgFI%{ct9ioTw^lrQ}{bs@ZdGW&>y>mArWLSY3)CJj?FoT=kaImfw26w#SV4Y?R-eiL@x(~6F*l3D40+DY6 zPvdn0CdDQEW_J#icPCVa(T*9UmGX z1C`jU8Ptpnl=O=+irQ73oS7GyfzcG}7bhl>KS|Q};y0Zx2PcY6!}+n1e(4wMIYLhq zJX>BcRC3df?-FE;)rk|B=5%c$d2kI=L6opX4S6Zb6kM|iJ;(eII>Ctk7P zJX#eQZedT)l@|_`PPF6wB?_m)%qsc9RrQ72pinpsQQ_9#6>j}|;*6ni$L0&Sh8-;Y zljVg&B@OMFJYZsqP>IbtMbv!EIka#c)3^d7Dw7Zk;u&UOG{uU`9c{RHjUt2*&xQz! z6_-2O5HZFG%$rY@w*o2?(w>>4Yz39rtgTQpT0u!KC=@~OmeNm`XMjp7+TDjGRymp; z5w@Q}L@GY&s%mdP{zl7I`)`TH0hku0bcsQ5?_yqDVGz|l;orsnMxe77a72& zP;8cW6!=6Qe`3fb@&Wv&>np*PV!ix{8bImm58^kSz7d=#=J4{wdVR=yeJglTlv`NQ zIz8r{UJXtZbBkqrHrg=IANCRYN|}wJSTQwdL&QgY#A_i3#fluXA>v~`;yWRNVhi>f z@`{mv_QTG2oW8h3=I{nXeuN-zRTf8kW;%Gyp%Qk47Snf7m<%*j>dOEC literal 15627 zcmcIrYj9Q9b>2t1dP^WcJPb0r2x1oImX3b%9%;5a!r0*u_yEEyWuJ2oWpS{n$ z2T%Q@jK{3CzHfbNzs@=P>~mTDXkTNSF_(?}iU0Z|eT{|hKK|sH$nf8w`GsYk{ZePb@4E1kYviN{JOM#o3SE{~lU z&7c9XG&*u3{>lqaUw+f*$ho*QIzDltG%hjW5d1>a-RJFUSEXGq^w``2eq-iV9PEJ+Ib2CdVmBnILWuX}F!MI6d zf>D`KH3WW_=Ay7lcAij%udc#=NEDbEhJ1W)(IoKjYF7Q7jXO4cyws!NIWq#`PUs+JcQm+N|oq~_t$*r`+Xa|{ffn7dIYnq-!*T+G#Ml6lU7wuofo@?{yXoWE0r zW0!)J1-+U>aI0iCN@ktpHUT(I_3hm*P^&E3;}e6UN9uY5w53w6maopw-4M)Ouhgx` zLpLs+FXg7TF1;FB7>Ngu=jI7AGMtOF$SmKuF<*#JoXu^_4(VjO48idDsT<;LG6=KF za|0Ky)Kx}$v!>@_kbY!vC|7zXa^-H4H%7YzYnz{)xgsQ zcS$CmS~f3)4nS14D$@=OD+0udi*u$HSi_J`xf7I4>$QUtZ?BYw$A?Bv$%SC}Ck9di zvYdwu0lAuo+#jmpd5k|)dkDyq&B-87hIKN?#js8Wxdh}&p4w-DJeP+&4dmH875<7!nvuOeYBgAn5j&hf%W9V!Oo!-#7Ido!q5}Povz7Q4S`$QC%q$w7@m%Q|nP!e(& zOU(MvSrIxL+(_cG&5k4^ZY19fI2b7UZlE3j$X7c`(@jht2jVTnuHpfUp3_CoF?$aJ zYsD)eUU7&sl$g!L-%6ah4reHlZbq9(c?T%|MU@(ixfy;Z2n}aP;vG_u4mq??=S^u? z2384u7-Kaza_wqsMxazUaeDkDwdhidmebNO1ZXu;i>6)%s&$51d_-eZ=q6?lyL1*< z6QAysr=OVH36276v2O!}8A;5ogwHXTyM2Q66LTBEhY2oSoVipXNIx-q37#j&{W?MV ziP=Z+T>!abCq_3hcMuz6BKPbB=_lq+g5v<~uAL|YiP=wdg0eCSr-;!_%w5DDp)9xU z1nDQ{0KrEIa^p^req!z>_-@wJofA<85);dTkRjteV5A!)au^cM{f_fqry_d-G8hoX z4>-nSUd3@363$y4=Y8Pl_L?H}g}{p#m%#f$zc!&g0;OZ!DBzG%@#(@*z-Ko|>u1Nyf(rGm@Btgr5N1Bu7EhdOM?^n0|sE zCb*P>^b@nS5VaBi5o+6WCTcU{ZY)0vxaI<8%w51g27;XDFqMvU?wzi4KMu69I9Vl3 z&oyk4P``!fNwe6dDb1SJEP9E#h0q1fvJkz*Y$r4gsNsmVgpRai#I_lC|u=-d%H zhg=#qZ<2N>ZW9eUac^YXYq2<%=CFATbW_J-cZSYkH!0ZniRey();SzHevmC1r-RIZ z9b^mM9j5LNon5XQ*iTBuZG2|aX)5$dgk%dI44u~%qV-&V&mq&D*pk7ByOMqmaLtv( zn7fj`2tx1-r|+KOKMycH>!CVB?!og5EN%~q2s7dyTF(OxPO->f&^?-dkp)~# z)9D4^dQeA@eqvt7uKyCN+e1Ubj3j0Y;V%*H*E2=Jj3j0k;a>*q-%Tuwrm0 z{uPk24+VyVlRgxF6`b%aj#7*!=Jk~MGHa#hZ6q+3nB63N1qAzIPm}?vl0Kfk%9gkT z5^@+44qm6I@@wR{V-j*05{?{=DCgI~amQk$FyfxDzd;E;eep(*E0ZCSNS`k+f@2Rg z$zTB23x@0^7O*EPgc%V5Io8oOTqEUHJqqTP%Shbpu3WmZq2pCcr$FuBWb53)48<7| z@gWg++t%L#t;uhA=)0l*ZGZ(1Bzi|z^3y^59pW5B;B*B~2k>`++w&W?fB|91fxC9^ zYha{jWaKa;oHsho?}3v!G$D^+;k|{uXa_pGEN z1=45b9|J86%HbLB_H@}4TEdjQF#mT=A>8q49p>cFEDA+QIskuSHAjZ2Ntc?mLQRFB zNlQ1`G%0DTV_E$vHF3yCCAy;YeJBk4&nzxAF>Q1OKOs17vY`AqC^aL+m>a3T0HJPE z#Hia8{Us>%^Tx20!@RN9Ux63gR_V)awGcJ&S$rM1eN51HeL>@00F7sFT;K<51DqT@ z+w=6^T+4p2HXw&>934F8UqOpljh3_rC|`vX!Yt9!nWtG4ijc^BZ6Z3=6rskeiR|W5 zv)tX8HXfB^j46igo9KbGn-^Iaz`Y^EKvo!dedzGvUWnFk9{&cKnTwARck%r#;F=}H zm|IeR2STt8=)1@3-vbQq`V2@9(!2gYP(MA?WiuHQ(tYRz*7ZMvlzm7sB%Jgi^-tiq z6HRw#XHZz_o$H^$;)$u8AhQ@FLgB6w#l8)SJ#Zz10b!)CK;HqwK3L_Th$O~@#1#mc z?}C(``I5tsaMJgre*wp}*}cgyC@fwIQ2JM}+$k@G7!eBhWT5<;E9PIC7!u9{ZV><7 zb6hEggpVYLXP%5_4&U(@ahFaP;F?Q^F?Z>#AVJr@>mFlLCtXJ?K?;{61Hwp`V>cMJtB65i zrK@NaSlRW+kZ{uVxEh?yP%dB7LnJXKB;LEpWN#}(a!-S&=0{Fdz(^=5vZRfPr4~+X^`h2`63jH@TMJ zV-_il2<074W+NyCIgJ}4PB$^S3N|s_ewiT5h@_v9boc&)gJRse*)rU^T>vCzxd4lX zj8S3OKT&(UOL7aidT?c1>w4tysKdmcZV(W(rj({uK$G7urL@+Rrk2w9T+{%bi?sBS zMl&tgW#TWnf^DgiT0y}T0j)iysTCB|01DF5f;7{DD}$zYq)KW9N*aI?EmfkKDs=}+ zovD&qLDN?SP47x+Y6S%~fP%ENAkDPk>Og5ls-#w+qyZ?=YUJjCW~#I%P+FNPsTC+` z07|s9O*B)b9#x9;0VvzMYippV%Zq00$HJ_Q&qn~k>+w9AmdAyo0TiaCUC;!r9Ld)P zFSXW7(F~@Yjcu0V3Lm!ug= zY5<31YPCeNOi_VugEu2@YAw@XZk1yU-|{|RhGtks11O_bT83^bwP|V@nrWHaoHb}vUW zl+ys@XlZvfLFL-?rOCuc;qzXnCn9?8p|9EcGOfE*J#Ptmv%{CC8J5=o%F{Z`RiFu4 zzM!uly8Y1?K@-bQcEbMc^aW^!1vG#HwDbbh1T7$=&sQox`n$XwO)Dq#CVjKgcPHJp z>1%?0yZ0Kmc@3IgqfvLLx_7jDDWA97=g|ziq5*V;mUcxG(ynl8>*e-%IhvuI1|UaE zXI>Lju0c1i`eG5x=j~pUW+Hwhmk-GoF}DJhh_} zHN-gTq8(C-+}ddvlR`@;g=Q}&rN{;=i!IF6(hq+%S~{8x>ilkIj~HlRCr)HL0hcXg zJTdws59_Rru?R}cAciKb;=0$q3F;*1kVN_(skk6o5SjuEDhK%#fzydm%Z53P= zWIQol91%M4y2#F%#WwJR7@cB4{@I3n6O)f(5Ivn0g-cP!@jH{9jwGkQ7-IqW2%H6LyS(h0rZ?MUj-TOI6>@-ch>dOz=PU2$+ zrubd>o5T6G>~O+m8sqqQjv=HIhIN^07(+-)mnqG)(3elan6S5JE5M}`Z%$4~ND=?d8PJyLhQCRA(vxVmj+Q3I24!343?}LW6Bv% z%xd0y==lAc#q`Sv76St{d$|9}3d5x^31UbT8nkHJ58ZirXQKZE{X DwB(yU diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@mini@m@i@p@s32_@s@y@s.sdb index fb0730679a56d78f315a683452fa6d7c52552d5d..133ca491dfedf716fb88212f30053c1e2db3a868 100644 GIT binary patch delta 566 zcmY+CJxc>Y5Qb;WUM`nJjdz!*BqV-CiJ&4;L{vNrK`>Yf78dC&EbIgu6LVq;J<%!D zPNLvfrPhLfLJ&K_DnG(?Z%zxh7X5V4iQ}f15nwzh=tP163?@g5Cmj=FI4gBY_XEi=2)j<09z?9@~SS247SsT$W8?_ z{r_fINw7F-Ah7Cpd>tzZHod4DI_N{BvnFFhKBiT!ZjWjVvX+n_OY6lrO=>;UF$PB% zY}9HMmqFGNTG<@suzEGHSgGB~)6#0)do7(wFgE^+1yQWjy7Z~}JAG^28Jn>Q#ymH` zSV=Ic7%ree>)}C+lB;Tr9#khzZ{d=9nm)Zi=Xx8h>GLY{LN93v=ulHly?_?kkrLWe jjtpax4kLL?(UYp3^d1?qx&Sa_L}M_sS}nIY{%83Cm+FC5 delta 446 zcmXw0Jxc>o5ZujuCKvN@XB3hniD;q`G+;oYjaL{@3HTQjEJQ`bB84JGVv$B6ZXtq& z+K3>6*w~rQ-ypU&2E?Cm?rrcD1M}w1?6B|NzOYmFPEH8}+yEc%>maA7$Bn~Is*Fmf zR(U?lBI+-X*h94FQpux9uZ&^(P_nM&IRIT3_5hUTdD|QPXFd#&qZTnr^J;-{O?5Fw zM{1TsuGRFMEyl6FvHc)MVLmt_6#_|X-nCjy5rzAZpkFnENwPE-<20d-aNdfR#|Uj} zZZ#^_X-RFLoQhEx*Ab~4Nb1(+YE3kuKE&uv%W&MMmag`T&B*j%cuO7%=jjqs3B=Iy zhA2X~G)?Jg?zNRKEUkR;zI op-m;Tjt(`h8Wp2dKXV{!nC22f=si#bqsBa0#* zmxD3`!vSTGCI1fv09m9krvLx| delta 268 zcmaFD)5j|+lq1i~$-uCH0SFwPRLL{5d@QY=D5}E9HqpvMnu&qo0H@;rC5svsHMO)e zFoIQq1(hcjKVejw9KmSB$ho5+w zV^(7nnEaL5fRSsm8jA)a_hf$-bD(THiy|M7gEG(-Wsnp8Gw@E{#$pPTcmtPEfk{ZS zf+PZ2VLDV{5)G^>j0TgJvMK|mPqG>Tt^31jr6=Gp7vx-r0HEQTAf=2fK<Uh9=;d8xB>Vw<_^6Ddll7H{Gf@c2Ve8||99^b+v8DN96Z>-iG3Cr>LCITnGHO}T74-XjcFn(lQWBkMTgF^X)_!|Azko@adaR delta 756 zcmYjPJ8Tm{5Z$pY`+UATJ0Z5Qv-ZVy;^ZO(ND<;6U4sw^lt4j2=Zb<9q##8o+|qyu z&*5Xfl7&PUf}w~(N>C)I08yr=K?sCI0o^e-B$Bt7nfKnl)$Yu`udmj#gL7^)snk=& z%m2FQMq|x|YfIUE6kR$owR&R@QM}uu@3CUa-=);Xc{QsP4f(a5I#5Zh#|va)D}Ia$ z$R$Q-7zYz&a&RHxlEwnpB`hc0W?7E79UhUWP8}*`^8<2_FoAMKMkpKT^wwTHe0)!I zp#n7`dQ0q;KD#i1s))S&-~PPME>xhZ)3V`?_-lQBVFFbX`L4(leX=lt8pXU(rZL>& zSj37^q7*(FTcxbno`ts9>vp#-Hx?ME95$1WD369&qTOhkH5x~UV;vvNi^ZZid!ci? zqf!T|fTOA5q9O8TpDcBt99&7|JVW`fB0RH6p2VqelOjqgzqP}i-sViKq&&Xs7Do$F zYm#j2wW>UxbC!o$%fWR^W0CVqOVa>8Gy92A+}8NZdB3e`2xsjxlttV2aNl%khr-%P}< Qp{S8G6@TeQ#1FsvKlik@ga7~l diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/data_ram.sdb index 1c655a710e72d5e6d1fd30c82fe7cd5b80b7ac7e..469d79f0ceb60f0f83d47f5723d3a114036be8f3 100644 GIT binary patch delta 272 zcmexkI?+s2C`X=|lYwCY0}wbosgh@Au?cIOD5}ZGGSS{xgo%OS0H@ObC5svsHMO)e zFoIM~EPTVrF*%O$IwRL)GbT$$?#TsA){H!p*D%R2@=iX!w2TrK{))3><%G7 zV;v{I=9Zc)!W}gE9yibABJP~Yl{`F?Uvc*Xom9m$2k0bm-r0X_sFf#IQe!|DZ aBPPJWz{tkM&BM#b&tM(an8@S+3I+fHaX~!* delta 229 zcmbPe_QzCIC`X=|lYwCY0}wbosgh@AsV}RZD5}ZGJkj2GV*6`G_Q}PJ*BLn{dox)w za!qb!vS#F-e2__ok!SKfCTT|A$-jVXzRlvy>)5?G9h4au4p=cLFfjaQ-~w~3AslWn z#|FaT0ds6299}TT4#MGMWOoPw8sRvJM{2SrcM#Cp8t(GR)!aOj|8w^PE$-);Gr5n4 tXRf%XlwuY)c^V1W$CvE%HCY!o6SXJ3>!Nrh$X85sdd z>?|*-(#-f_HZAy0X5`5`Z<%HQCpyeI#`FMYnRzwYzn-f7ggt}8B;Db=m>oj&Ju z_xbvC_wO#FTfVt#c2fu+hxl*!-{0CbJNL@h&V79lZoMfC|My4#_3y)944>XGx8=~` z6YrfkbMVch$A%{kpPpSicVTWg6T*)-?e2cCa(3nX!eSU8M11}6Rn|k(&0bhtrpKPE zJWj^eF8&*SB?kE6@|GBT^CwG}H}9TbUOKn3c4>L@^0}4eEqm^La@Vap7cMXC-0Z}q zl}qR5U)Z&6^S4(neKNnavbK6|X?5Y^xs|P(m!k0D<_EUl89v3x!qyN5!~eXxx5cwl z?QLI7<))>@v*(tVK3Z7axO`>z=H<(~hx=l;HW164Wjdzh(=hy>AN}i3Lf9CGb<~v4 zN29xwJYbMau_at5MTa-&D&%1%s1X1(eH@*xi?Opd2^&?XKcCd*s0;s z)3J}MqTLt8>5>YcGMcc=c2A3Z)2^xo__u60jpJ)Yx! z=w`k*x60SI-WOYE*FIhv>GJ;AFlU2B%lBfyv)cy9^aHVFJFUJqN->US3|E{`-lVoi%n%d0CRO^?Q=x%W;VeRrhgF>_j3x^iW0 zr0Mb4blr)g?;byXc%L-WHOw78cI@EzmNV9z z%BA@cmltfF3oDn#Hq4!R`}AlmbD29Fo5uURe_{*==w@*YZ3y=M!BeBO!R74ADARN~ zv7XD~Ixe#xFP$Gd;@PFkqYW?GBx9|PPTyJvRx^E$O+CwE8f}<4^~JFcbBB+-Il5fX z_uD7dYdL)6y>)%oX_+~6Vr>7jYv)H-k##?FWIczAqYf{b!#kr6&>tFQ7WyOW=-=t- z!<7FGb_^d$r+Ki84bJs2{K+8oGZ<_N!|%_;WSNNKN7v*DL=Q>;xIZ5U=TN4mj9 zvU>m{QF4)}Fn36d1Tjat(M7U*10zv#k*KgpkmfKZh&fWcJ?7cjErXFLxkyx4BuI<| zF-O|$BH5*cktn%HR9GZPj07=9+TtSF9fpx8F;X-g3~qL2cA23WCD$Pp)*&Qz2r+lK z)kU(a49d2`Gb_b#vC1-YvGqcMO%_upuFE}&1714~6GrQH9*&T^yl$_aZVfb%a zrFLPW86}!wl~S=OCWF$(LA12o0L~O~P{f?3+g%@a?_wX6Tpv_eACz(*fY&21MD_tO z_i=~o!!B^_gOZ!>ozBcIb2Ovm%mk z-Tr7s$(h~l%X1ko3-4JO;$(ikTW_DYo86}!wnNVTt0uqUW zn2EaAMY78!BT;f4?r~;z#iSV}XSSDSgZ;y=#!l^4nJgie?f8jsU${R!5FQK+%Ls&dvx^eHz3G-KZrpXLEnY@hE{6;!`m&u6rqhZ zb%Uf0ACkh4;6~Im>^6iOF&_@+HXF{hT;|$cX4_q6+Fb_iE+M)Y?+5yn8AQUM@522< z;|QQoHYv2_L*JZkLL(1S7j6JU02?A`beW4T#$qk(YC>%`+tp@0u^Gj%yKvLNNsB9WsR5rD+Dw~F|Dw_ruD;wjWHWJmz!9E8D z!2$GLcnBN-6b_~YE%T|HQYVbd91mpP8wWPCk-xECzkNqNOZh3gjJoq z*5}9|ID)G=3=DSlCIf^g@&+Vp%zw^(hydp zyxu3pAV`6}3y*>nfI`Zo&`uQk=7bU&2at540O&+%aM6iU3#${QA*`J!Mr|#uZe9&x zb)p>Zb6^l0K;MPOzyUzvU`o(3pSmf9!Pu^eg#on+gEpDRnu`jfW~0Jr2&=-Vh1K>{ zvr#1+>9b%EEI{9d$H4+XVPR5el|bK|K|)J9Bvk@{Dxtwel~4<-N@xhHN~nd^WvXVQ zrT@i#(hVZ%(0AbpBpsk6eM-<$ox0)DH&PfolS1e$SqV^ECD^7=v(eJ8*=Xt0<}-Dz zrC$rHGoc}@D&dVjDF#6b^j+8oQUD4mQ-YT2)J-9Uv2$h!oh3I!kf{wK%HTh@+Z~ zIHJvG>RKE%xTtVyBM~WY_DL}aQlRg`Qy>MPkTNA`sZQOH6gwao+cl8|sEq|%uC&S7 ztGO5{jV{K~wMHUR8b+eRX>buoU+QyY5FA0@g{Q$0K;dXoXvv~)&M%=+0!f!G0A02k zTy)v0g|*9;aZt0-J68=|>#?K3MR%{`eGUwQ1L(W(3^)KN983z01NvqT2#o_s;s8J# zG`NU^T3B&Vv(XK=W}_Q!%|=;1(a*9$WEuJ{Jc}#?lq^pPT5eM}WjA7M*TmfjYVAg} z$xPK;v>P?JXg8{b)n%$?qcfpqqy6S&p9OeNkPfw5f^S%6wB z&?d7`a}f&-E@Gh;R%b#(Sdmf-D^lL-lVT90K;MPuK?*=2Wm0Gx5Pfq(35^3t+JFGG z0X4X21FD7922``rA<)pZ_M=)@?MGkkC*2^D4t*D1K+*w9(x(J1)v23O35@NUSP4+8 z5@?f&tGTEW8eCKfwXoWcYBstKHFT{?_=P?x20;q+U3d|s02ERtg;ojl%?Twm4j`!# z08|MLE~aY;X~S4K89Z{tC;!2c|E483cpSci|N<2v8WD5;R^? zH-$mQ&I}SdOEL(x7;JD6gAFb!y4px|8r5uc5v$pVl&|$kF$hwi@4`Gt0Vt$Q30kUC zH-!|&&ZH1JOOgV$NNI2pDGe?vs|FWwM3?b{7e_T4ar8@ljtqh$=(}(L903%LrUY&9 zshh$PV`q*Coh3PfS{yaFh@%D<6;5p=BBf@d&8?wparDc5jtqh$=)3SLI07gfO$l1& zQ#XYp#?BlOI!kf{wK!^U5l0O!s-p%MQAU^XgBN8DVMW=m^eHn4%Ak*5Kpw`k3ba8{ zXqyz;;Y{C53!%*nl5XDsx_vje*zLQq7hT3{Bhh1N!$@>DZ*bAw{8#(57z8cQM_LYw z7AOiWlR`@veKReDMhhg-0w7u%TtrKQi)f+C_>qW~hOm0KpcYm<{92y}gWv)B$ipG= z07cKp%Oq zU(J9AC<+f#f;Itl^_q2MJTZ3WiO^Z{(1%)weuIl0`t~taEv!!YhOl?YH{083b+6N80RnX`l^?Lfe#}k)f_KZH%31BXpLe4QkO=vk`3#VMSYmi|*95 zk%*^;u;S_MK2HY06ZDa%H^dVZg{LV&%f7nGJTZ3WiO^Y+C#c0!%|<*mgcVN>E-J4E z7o*MghT7o8)7Sev83a$zN1oy@GFeYh6rQF8E&J*!^TgPhCqidQo}d;_H5>8N5LP@j zxTv0LBM~t*8xix3J~0MC4D^v0`?)PPIw%S;lR{fC^tDWV-T2hzHta9%?S) zp}|GZt@a0IEOmfg*kq+aFqn(sIs{LCz2L(g*Tr`G7yAfx&is#^Ipi*Ecjizz^FMLskh`$MnM38w|J0d7?!r!I4wWTlfL+-+EXAYG!|CTd{+=Y9c zIaH3-zjWr1yRgTZL*=6Xl{1HIUjr*k5-Ml@*UlVr7xp@HsA$f*`y1NVO5+Z!-Loz* zA; zKvn8tQfOVL=ucpz3GDM=zXwlxz_+4Z5WeSW<3I1l54oHcHh!qe_}7OPJoz*K2{7de z@S8yla{IQlM-Xl`=z?s+368eGT-;7N>?h-GH+x5(;1}GqAeU3krUg}*)|8+PKXt}x zOMnHI04pZ}7E1!Gj|8~z6L=9@?}D2X;&K7dH0NLE2Ds%f>L(5G{0hd|=tfmCG?h;@%CBSM*fTv9Y zEUg51j3iKamm>2nMdn?K%)1nMX-kopwiJ13OOYQZmyG^l-Wmz>)(F@IZjAtLYaDO8 z1zTfs%Z z9B;xYK*MYF!7NSC2eb5%n>FNe`L|g^Rc1|?M?{!so%}g#05|LLw&X22a@I7wMjxE@ z1buMUm(r~5{RqfdK9KAg^`O)n^8>3TgO>Ty|FZ{eU8hNutDF?L%6W@7>^a{nj$W<6 z2b10+Zxafdw+SioHX%jcCZx#QgcNz3kRqRemmN)zFGEXOH%d>~GMmx=`V zK%4+?7zyx!H~~HoC&1^e1o*s_03Ul3;6)?>KEfuz2c-nc-9w7JsYsDG6)EzjB1PU* zq{y3!6nRsTB5x{x=awC0EIUYAb^x=zWk<`r>^@)6mQG3lmrep)API0yBtYg9;6*b5 zGM@mqxdiw|L;~e}k|Li^Qh+fxw6c}R;&mNZQs4`^-eq{TMLgOAHr2m(%K$Q#0VFL0 zfSKMhpk-bLpD$>MO9|i}o&Yz11h@etz-5pCH-H5AB$5CZNCG?u6X0o=02fFCWr0YM z7l;(O#-%tUwx!4mL<%eryX}9^(GMB)LlXS}gC6~~%=G`vLt`|}i93G+-0TxzVJE;d zJ^`+R1W0cJtnvhS%}IclnFL600{jAr8xp_R;1zk3{=TCJGU$OMdXkAgQL+m^ckrQftDD8^_k2|H&W#H-T%pT0~y^wvd`UYrT~Ko_%WGvL(4qVu@Fz# zHi#5#n9s_jxD{_g(09ZbRP2}c@V0H~Su*?j$a&ZOXEz(jm<=S&CYkuz&@#`)LF*)W zm)k*7kVv)K5aPeVj!ie*c+=)BH*ei`%NK6F?e;tFyleZ8op;}}Yxli-_J)_^|6+D# KcuV|W&;B0(wfETo literal 30429 zcmeHQNt2w%ajl*ikc7wq0qz7qV&lF6+}9x+1OXAC08oqfjS^^>Am!aMZOIN}8EJ+B z0mzoTTpYof(P5|vNATd24+t%S;*LTcr4B?{?{|*1^n>%Lb{`VW-`syIuazhyY&yWA}--f>wKKb0-rUM6$ zy?gA`{x=RE86G=$a(4a9xod_qA^c?H&hCe+r&rG|E`~*%U`H|MBw0`JD?Z%V$>CFRaY3oLODjw0qa%J8s#& zcyV$2yc3sKFPvF;ZpW7SZ?9hXcwu>UeeKNh+T!^$tDEPSqwvA}eOvDcpI{DQa|nas ze_Y<%(&?%8wl^kya%t!M%Eg_-y|G&xh~?NgiOKsU4FCJb|MJri zHpXEcHs!O?=+3C$cyVEEWp!cw(wV3nhV$>omw#vT*4RArwYNs}+oFE%_2Z}B7-`ua zTdp~BVtDvu?BlX%cg401v8Qv3BTaW()7sdk9kJ=U*AAa}du+^|slTI#kB$W0l|9F` z?oO>obKDQy%=hG0`TEv-V(aYsN6RB!-Wwa{Y_Mqgehhee%K(|aFScB}eCda4>r1Cc z8t;#d8!lZK*YtojU07N?|M7^|gVwb6-No}OBTWxk)6(+F+UiKt!?9`Z-IIsk8EJXM zoK}}FU0NS$dNej&bL{XtM~@yHX?x59#yOJ!Kj*y`aOb(zkH0(Ow9lG$k8OI~nr!Uf z8S#1|HeG*iap9xo)upA=YoqgiG8$a_!Q#ro(&zt^qsJJhnCs)CxyINQ*O$h- zoOtW__+YcE7Zyfbp0jx_u3i}1Fn8julcTZBW$s{X8t?Pou`wK=o24t9O z7WzY1(7)Z&hbjLXY#Tn5PV-}jzn_MKjWiS#Y z7l{gs1c{L#=14cXNOmb>vu)1IE_O7dCEg_NHa>#Y?m{$8zRjpIkVl)%x;S`qeL?- z6Dn+7Kq65PGf{h7B)eQP5+&E+JoYj}+p^Qb?B*LW;P`E|U;=ddWpdtH2u&uj5DZuIdr9=7o{9&hnAJ`~5-H}U#K zynYF<_KZ9{8LKM{UyL()2{W=?%bp+shkK;&!q5gX+$Y6wYjpJOHz3GDKZrpXLEnY@ zhE{U`!`m#t6rqhZb;GefAcY^nJZc+u8^S#1!{OX&!?~KvT)WF`yUR?w%b?vQL>J@z z5ogVco^z!1QO2pV1HqKmOu3p?8$cBb8B(C!kV zi&64QpAv(h1o|#K07?J~B~yY%MCztg5o5b1RuR;yBHCnHYc8sy1{YOPgNv%D!Nsb` z7Ef*PDw|=SBZJ@w`Yt>OjsOZrlR~Qj`sVx+8YPfaHUKJ{1{al0Ev(9>A*{-#!NtnP zIH-+8b+W(DfkAKpeHR`A2LOeGDM8D8>Za5QW4k8S3Dl|++GHMUE~=9T7u89Fi`9uG zyfzXYFAZT;C$IK7G6;^K@50022%vB@C1^yXZVE???V89D)Z&OXnWLJEIBIYaM-49G zs5TO9UNsw$a-dI&L68D{7ajpA0ELt(LCbvVrjWweu8E{TEmCNcNvXLQDYm3*He#V6 ztXQapRi!k96)CUvNihggpzp$?AO)b1GAXnZg}ynVgvJ3RohSf0Q5sxyqSV6bL}>_X zCyG&93#*$~Ls*?C2m2ft1P9P};W2OkP&k+pw9Kb&N?|azYhqzQt-_#9=CS6Y!l>D( zFdD+DFlu47J=JVf35WVD7z7K@cVRDB04OX>3at|8n=?pgNr$9L08k|~xTq3pVO0qY zVO0sWu)0juY_#-0-%q+hBpv!L>_gH4O46qUE!C+TE`1|~u`?-z&XSb?wN-*`3N;%o z{hE!IK5af#*IN3uusRbO!m1Kp?~`H>q(I+=$3Y4}A!SO?Qk}Xfq%d~Q4570mDNu`) znvF=Q*@zU{e5$TRN-eDRqneFaINWE!AXtFD3r~OrfWpF*pyf7oQ&?c^oC-o`Nfw|M z3pE?DP_q#WwE0wB8w*y*4KAvb1{ZO3q|cE-a0Go9o&-k#g`+7!%Y5plaKzX-QH0Kt z96>FPYBu7iW+RSh^QpQPM-472oZ3i4${T%B41yHsyYLi90Vt$Q30kUCHzdUl2*!3z zWC3bp!Imp+a`tL2MoOcLadfqjh?ItrsBjuw#L*Y}92o>h(0Ac!a0E~|niN{H=$rFP zXp}(GWeY%;tp*oewrXMRvSl39Z1m1mL)UujXmHWp>u8??gWv%AE<6Jc015|_LgRqG znFB)O0FpQW5C;t|;-D5*9Mo)d!>!rqhFh~ymXGzbY!F$7z6;MH%K# zQ&?bZ*F+Ye77Mh=EYw`YLW7G~sD;&;&=6Ln)WV9CH~XX*1S!yW;dzh(P)L~++6F}5 zoKQmJ0FpK!0Bt}GF4}-V}nIq%d|Sh0s~DFrZdpG`OfR8eCKuwUOwEXb7u1X>d`Ue5FsBK~M&L7ZyMnK%s0( z(1=Lg6v`MoQ%2}4Ng33ltieT;HMkgMww4-P#9)Jq7;JD6gYkD;_B}9t;maTxguV+e zgF%48;FO^8lDa7jGInN=&{>i}sKsD|ix_NhQPI^#qSL5mql;M0Mx^|5pA>^21^O<$ z0#X19DN}-$>eNjkg|Ra!gwB$rKrK=lTtrHPi^{6OMI6y({NTk=%|;ykN}nTx;0XHo zP03*_D)0nF;b}_HCZMkJmogbU^F-(@$rIG#sli1&HMppFY9kRbH5+Yk4PA?;U+wc` z5IjL2dD<_YpeQ^|30n5mRpyDYGf#xhk~~2zo*G=lQ-h1@sli3G(PjMLMO#By(e`V7 z+6;m==p${fiZ&<;ZIePfr0JV!A+(u6(k&c7x9|oRyM;IQqDy&gBzin;7>Vxa4KBK) z|9YPmgP;ZaNXr4y0!5)^QfLXIZ>ELNXn`bJ07OfJi)d+Z5iN8XKN8W>5LWLR)WV8~ z-{|vT5IjI1d9a@f;Pio_@GvPf9_X8SAhg*-5)T04p}|EwG`JWKjRVsoEPEM0c$G)P zNJPtT_GvK)TA+`#*l#yL3lxQxNukZ2zL^$6n;9h00w7u%TtrKQi)d+Z5iN8XKX{c# z%|<-@R-Xrh-~sx`gZ+92JU~%+m=d%JsH=SFGj`^Q&{^`(hgyezgNq&d_HkA%tWNoc zuy)GZB5QEbq2J)5L;tt?v>60#&_~){7i~}!+NK1J40V-hW9&>Dp|d1yP#bN=LCr>& zvxcyut-(dKHMoej1{cxxJAK*=f;Q+QZT9;#R2vk9wkbg)LtSOs7(3HO=qyPa)S|6s zBib6minaz9-KlFM5l;1Z!Nuezm`dX$_FZ^jF zfbMg&$>h{rbf0T*(S5GLMNdBsF1mF$xahWbqECxK&;os=#eN43TA(PjObU$_`Wh{% z7t#VCT4cF@(BPtasD)MH8^S8_wXjP3$$sJuBJt42#J{P;Ls1exDYV4X*Akz4 zVd4Rlc-rK|*IbnN1{W1TgNyNSwZSX#wb3f^zt>N^K_njfn0Wh(7g+I7l*CU8ZS3^5 z#HU`EcmO4yHaYP%7fbxr!Yb1ZVYT8LTyz37xQK^$`aBo}570**>~D^M2Pg^;lR`@v zeT|3I3wZz#546cV)Lg_v%|<*lgcT1BE-HZ9NR;?f{lptY;-Qa;$Detzzq0~GN&KYH z5>H=CeCmaX2T(?#Q!}x`XFuX_5R(1T# zF)`=(1FWA5VFv%%w<>OkM!!#Xu<{`fH`^bYvD5)};d(n82nKU8T!-MvuNQoH*EO-- z{>47RVDs>)==cYz1B(c`3%3vdIrf1whpH6U%>U4tL+-*I&K#=iY#4DIX8%Xd9% zboNlqaM))4$Icvb7q&WcsN87&#F<0x!Zv3Pl{5cSXAZdw+nqU7&iv1uIpi+f<;>0{*{b!}hF1%zfAr6S&`l2RwMtgNHnL*n>wr zc+`W(JlN~OQyx6+!80B_>%ns#Jnz8^9=zzmOCBtE@UjQ5crYB=e(uu6@2+?SK40{T z*DrU$d-1kOpK)~oxopx_2vC)}m=xMrQuKWodIFDo@Pr3Xdce1&T@b$aY2!cZ#t*ri z8a95Y%J{DgEqL-L4+${!36yUMG0<&W(tbg>*`Nz_Gfs1~4d&w3(qV5IZ+oM6ya`*@ zIX5-P<%F}TK~<(UC1}G>ow4!~V6i2@DoTKblK^Wa0Tw|5FJexMZcdQPF>G^!s?6zU z18s__Z%#1*&ME=EiR(=Hwv|`lG2ScicOc8OmiYnT=MUPt zPLtplV7%h6?su}lXZYR%9~OHBKBV-DyiF)Z-X^5T+k_N(n~)-J6H?@DLW+C>UUW1; z22GGe6Tme+nrNA6`q@CsN*V}n_zCcap8z-A1bB~6fLF!@c#lt@+|;GOUEX%6OD-Ld zkq$^o2f&72I%t{GF)6eOr>^+4lmJhr1o*U+0FS8z_%%}(q-?z3bJK#1X+hGo0Ooqr zqGg`eFJL@SkqygnN**7Q6X4|{0X`%rz?((_d`M1!56KDe*((7)dnLd};RJXgNq~>F z3GksQfpQ0tB5y8Ij@>wMXSaZWG+legl`x#Q; zi@VQ0^9@=;3kj&mqG&E z1QOs=NdjCX3GhrzpqzYC;N){7DVG{qkVH{3(Wg#!ai?Xb=rawC-jok++X;}~1W0cJytE}idJ|xMC%`IB zfY;mvSkeiQ>je0HZZ{;pDeD#a)R#+M4N~NimLl)$QXJ4m{`YQqK*sWbWS?8wa~;4S ze~4+zgO+)DIA{Y+-uL=YWg|+hoTzOg|KNrI8Gev7gk+-6QEdpc#1O2{WL~Z}`D=9!L#c=EPEq+tC0q*L66Hz(*jVtl};*eCDdty?1O z`8vSg*4S+R$;}2bd?0By$wVJJ+H7c_%;x= diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/exemem_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/exemem_reg.sdb index 4b59023ae6cd04b85f21842fc8c2ce11d228a34a..2f6627accf1bb628c62ad4d4cf03e15a3de18cfa 100644 GIT binary patch delta 535 zcmY+CJ5Rz;7>3U$y;JV=MxzXnlIZ3I1qs2(VE+IyP7+*5aMZ!YFq@E&?+-8=H5w9T z5@+2^`~gnxGPu;QrwrKP?fZUx-g8cyPV9wcWCz8V%GiX_)%vNcIA zrf$LjFI111JGD%!J(C*vL2BYJsf9(YOslm?UF?%OIMOQAnrYr`fwfAE&3D+Gv4Evp z8x(fKKwltyztKrkXU7+|2KRlBgDH+4gZ zjR|#POV>_aS{6oTB!uXO%;hdadc(W#d-^>4&i)sj^Dx-$mL0~oM7Nb$??@WEK634Ahg@QR1O zhQONKUkpJt#Fdol$ST_u;Ifia0}oQ0=$ko(I5Bg?mWNwYP=qHlC62_(DaMYKqi=h- zu>=YHv9_s=Wjm)1KHKl*y9c%)7kBmodGMV#w1*WZmCTkiOD%kr8sSPR$8V`Io~0&8 z+*$dAHK_tS?wo9$x~bo0*^IpNTQ*>DG9tA8u`VBu$l`N{pWo6 zRo>$|>*;DuRhP!9YA#r;v5{+Fv&xSXo%MCKsjACkRW%o^qp^_-6}B>lskvZ6VJ3V$TQi2*^`lXau<-~n|uXG@=umyu>q=#W|3y(m|V_c1XRC@MUzo~ z@)Z_kpqd{nx$k70CfX)R;fZ6{U1W|bWAZZ3hc5WVCK7Ii~2B4P`nH)e~ F1pxR_IJy7; diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/idexe_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/idexe_reg.sdb index 8b9305c0d2cb77d892b14f0b704077f447eda50b..a49debb188eb3cc2bc056347c59dcf8295faa52d 100644 GIT binary patch delta 610 zcmY+Cy=xRv6vf|7Haj~rZ)U%DXLr5|!w8F7s6=979Fv&j{R3$>3X(SIMG!Vm7sQ3+6n<11v`;6f{-c@u$bI^Z;N$`ALraT=g#9X&*R@wyRnzf+Csb({N%@v z(%I7T_Q7F$K&8Wc>-`6x$olw&{?3q%ccqLRd@m_-kP298;weZwZShOU5S|N3CYGu?#RN18fBW4fB6-9kqu@!}QXe(T{ zC64TnV*KG*f@_YV6px){KF=p7qzZmGSNT-7JD_K{?qT7g|#2W)UYjqqS-VMG#8sAe2rOR|h>&k%Ax& zmTz#AQj3#|2!fL?otzwcVG3-9iQME3iabW))@cB=_|L*heq zObz^DwZm%3@TrMaBcT><8{3$e65ossYGcLBsDlS)hD}T2t2rhYEi0ogZZh_8XeH#~ zivzD6sNXv zz2Th+w0S|_q@%j4rLN++2z6|#=JATFL+xGERaaHlN>#;k5$V`ewQ$n#ZjH2eNmsk7 udbw0pJQuN!O;xX05B2J@G23j-wL9}}x99Z-eh?0$Q7ri3ZB^3ZLH+~eDV@Lo diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/ifid_reg.sdb index d31cb97f0665a7e28a71ad4b32dd30419bb634c1..cf5c8f933ec39876fcf4f7b9439ac3d7ecb6b4d2 100644 GIT binary patch delta 212 zcmXAkI|{-;6h+_5%%J(B5U`0U5+XK=wu(+^HV|ujH=tmVC4{#DHz39hh@iDguu)sJ zw$TZ><$-hHzRZj1HJ1U?0k)tfAM1eeahl~`7kNIN9S#k|8lCZrIvuqb4SH$`n$%|r zTC`#b^_WFhHbIAMo}f!}KK4~lMgS)dK4lgp0u&(M$K4I;RPdqd+<6y=WQ$Ol0}&}R r6|o{45xOqOQ0acD|G=ibXmy;5wJT@wz`*dI zfg8-RhH!Ym92*FScd{gR3DA^2?sA|hY&>%qc{VTRF<=B5bA|U0w+J5t10xF;HxDm^ Mby#B}lLN@H03G5gng9R* delta 163 zcmexq{KQyPC`X=|lYwCY0}wbosgh@AsV}RZD5}ZGJkj2GV|zFwBm3n0jEfjKCpR%! zGICAc!xYWPJz0X;o{?vB1oJv}4NeDT28IJx3=Rwo{~5Tz9BT-N8_cnRaCj!`ahCv1 vSMR27n5kXPNR&1eM za3hwwa3fljiul2{2(A>tjfh%lLBx$nbyX1Ry>CnzV*-cw&YXMhnfo5|s&cE6N}g#A z%Z$x2{8hhPYz<3?#_BVvb}r2v7<_Oy%6V*Ifq&p!hUxGSSKxiPldG_aYYm2^A+7^R z!`y&XX}f7MizQf++00=n=7Jm9#pBQ)Icmt&KE{@}F~(Vp$uJw)8>A$p)*?IjK2W1= z+=Ozpg#AuLI}q#9BDdg>PAMw$z;B2U7cU|tL6Co(p8anjV{q&XWe zatE#p=7nIsA(MiHOqw2}$U!8;$W2aQy4gXAi?k+k`1w z#Q+J10(3hR;G<2*9SYFIz(bn?_=1UsF7_rd4fp#Z*i+-(FnOaT}Mxa|(YMp}an zmxijOsp)QkZ_?Ddi2*rHt$f8mO9|?M{bEbS(8QS?@y|>HCDVlmdN1SQ%^1y)`453N zGbOwwK_-=L6!-h5qQPW#KNjD}QgPX%;*~66*rVbgbLl_km)Ecx@Mo<-Z;tHNb7ZII z$>>>*a4ko6L1sMP%)HGv>}vkxG{_g|)s_on_o+ZeR|VcLQ1KSiZd8xq6&Gb8Da7!e T^N6gdn$8Z6)h9z$`ttk%7`y&W delta 1213 zcmZ8hTS!z<6g_9&*ZZ28J9l1p9@O}loN$VWP;4A4Q%N)FqtEO?5J?c0L_$eO5n&`d zBIu)%B8UjA$d4k5eu|1P=%WZyi2BHn%>IOI?{gcSW(GF+EwlHwv!{X0Si) zlo-3t@K^kLBJFg|9UB@mtGQ>asl#$G_Dou_cyoMs{Pf6K#sy1&nTY|DbLqi3{)uxL z_B%Vd0{5J?T!jyqYmjzzavcu3y0{-6x$5))3o?U+n90H{!lE$iYT#91xwmO@u@&2_ zWGL@tG8}cUFNa(RO}ba{CYW(&cmUj<9QIr5slm9*ljT7e7RX(Jyhp@<1%cFgiPP=P z@(>JqscKvxFA*_eP9RAiaW?z1JPiFl;#?8PQ$!;0T_A=;oJJ|jqp(*Z&IN%ylHYVmRmy{Z~G?HJFapq%I! zJ)yv0g4~QHNN_Si<%dLWBl%<{aywZPY`15p!F-aomr7ZXOexToBEh~C37$z&d6UQy fsrDCl;FTAJ4i~;Qi;TNvMb&hMZ_^$}k>0Go$C?rG diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/memwb_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/memwb_reg.sdb index 227d662a4ea68b830680120d42f1cef5b35bcbbf..12ec9cf636dcc1d447512ea3a87ce34793f5e554 100644 GIT binary patch literal 2890 zcmb`JO>Y}j6o${#riq=5V>`|#p>|6tP`*^YS_Fn$l^{6~gM>h0fmBF@NW~tBRi<&} ziDIV<_yNqAg^h&N1uGU+7i^ID4PCK^e=wZ$p7C%u!EgIt=6X?a3e< z6x|u32c=f>L%bi~?)Aw-5dVH~VvUoz!oeug6&Fsdc%R*bKkgF__%W(vJNT3E*l)F? zFo?&)$d7_Js$4jKrP`G!?)jnaISvN@>uw$1q!jk|gD4#IMl;dfmLDCr+zV0&KFB{+lq2!E?veZR z{!b5yX2`3%tBh3{o4L~u+T*(cGmZ|w=3l=O%$j5zw?|Q&*+<5%i#_c3jwWq>QCMy5 zMYd<(?(FQk?auZow~@Nrx$Y9X#anwfw@$5FX6NkIPVTA|_R3#&cDj4{sjKYIMBG_< z$6XUMj1O|nbv9>jb~oSIbvJf#rf<0r`!x@BdQ_HjKTWu0PnjERK5`)4e9C%^trDN7 zKOW@S=h!~WkCV4$Gu<+GVN1->czBSPdR|gHJ6k7GxkK_l+$fjRMj^+2jFutyP}*ER zo>wCG9bZyl(lUyvGFaJQ(mIN%GT5BKq=ghyWibBs$TpQ;QcRV>e1l0-DW*y>_Z~l! zPF$a=rpu&0BtK=vBuNu$k}8v=6S5qth$P4?X~85(%W9G;lcW=p1oak@AhV>ZNs{K) zBvmF!CnO05+lr|&8|s8?2&EfBW*e@VB>5uHBvmF!CnO0 z=iX%OqGXYKB9r`v-P&~IJ{9xv=`f)Z4=+xK9BKbH%@T26@Q*~yGjs{r!F1pid0~R^bltzg-RJ28=mxXy94~w_-9Qqd6XNcar&kBiQwD-gh&xIkbpSnW zAn1g+Sp-rC&}9QbC&YaqkUD^#F%Wb@+yw%u1L#=;K_|p7SRi!(J!c^3g!obkqz<6x z4FsJKzhHr;j=ZibO8s|5Uo>&hsHoI89nE3amkb7tnhKVV7J$8MFlba&uyj-f_KLxv zQCGpzQ4QFu27^Xr1xrUhu&V}xMr{R4M-^b#3jiu$4)wOkco&Q@tck}HPIBZF2X9$*brS;>X;=RB!xTvKPDKt=p4j z-*ba@)3<#$@N-kUj+TlOwe{MBt)v$;-SU}I-hLJ|J7q6uw_LAPTXO@)_E4 z&`}IH0G9a@=e#aC+iv5(W~*S5tXE%leXmh#_4v;xZGU~z9Hx@tM*h^BwuJk72j<7e zx8DG=U^(VAVh&+{oSnvJHe04G1brj=0KK5YR zcE>Qd?M`*((t^3Lz-eFLS(oz`mtvfjV#{=}e>VlUV{q#Vwf3ga1ctJhvfgf_g?C`M z8+V+Ra%Yq>bzzBut#)%|gDYNi+6Qm@V07DHuo?N@!F;1$rH^Ixfq99Ki7~o&!9*zx zpLc@M{R<{aVYb4k4+ImXu$;oEAp{epumOcpX9y-rFmnaZBopUjRWgZ`L*gkNflYI>JhNkW!M!f|o3 zEOQpIDe?ldH<5TRW-K0=+Z5a#4@2m~;huPiminK0F96;q{*gsLLVMU7Og7erbCDf~ zLg+Ww;(?XHg&~_q>;5t~H=_ecf*!LI7w(M`kR$65u&3zs5@BdsL99c-J|IXU4DC}8 z>k#mY36cmy`xV4G1iU?hB*M@E1+fkR?~WjeFmzBstV6(SAxI(&9a0eM5b!Aw6i4VL z4h!|~VjWR-thrcJx@cr`)}snz&E+C28s!-~rZCoAFv6lyfwAKXW6dQaEE)|mc0ysS zxoCt%qXEWFDvULkjj(9sFm_5|thsQ6MWY<`lI8UE+qnTJUl=S74UdeDjRXC3++qs& Glkzv*jq{KI diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux2.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux2.sdb index 883336f07d4960603780bb74168c4ab3e8e39cd0..571996b159fe8728e659a2cd28f28e5becb644b4 100644 GIT binary patch delta 157 zcmdnbK9yZmC`X=|lYwCY0}wbosgh@Au?cIOD5}cHGSS*&;@T^Wwv(F}jTzY|Z)04* z$T>Nj$pc6(V3K3v;+}kfNsW4|Bgf>U%yxXd4vq{A2Q(NI z7#SEDcqa?8h#?Cx0EJ9h%tZJc<}!k8RtBoo1gZVcz%{v^#RNq{3nIZi`8b+{HbjDF sGC!-b5zMFnpiw#?2}V|+%|P%Uh#46<8Cii&=H+9s4r@$gasc@o0JIJ;@c;k- delta 277 zcmdnR^@K}QC`X=|lYwCY0}wbosgh@A`B+*#QB;+YZKAb@G!p~E0ZzsLOBOXOYHDd` zU<9iI3o1`6dCX`vIfBuck#lkn<4#8I$!<&@K(e1nj+uv-Z}J8vPe%U9znI*BVvfvm zi~^Grn5`JOCeLQJGvaq}1RAWtpuh+;lHWlC#&J-FvHk;v;c6Hd_$PC-n288D%mujs ztV$E4`9A~C|{G)u5j20jN3pe}- d0c0uAE})+oIT_hN-sa919OGAOGZ2OrDGalSP=_fP&G? za*TqLtC+1Axh8LAw&N3Ua0Hrc$)LapG*e(QBa0Za5Cc$1mBma%&|xmfMh9h}S}Ty+ z{|r2n^I1$#B&;D4ypy-1N!UOn_$L2Hldy$I@K1JURR+2$n^jJPn~@FVW&uG4pzjlz I96+H004LWxGynhq diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux5.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/mux5.sdb index 9a9f2f2db3a23614faf67ed08e3be3e82c1d5754..f5fc2e000fb52ac44de96d95dc07a18458278c3d 100644 GIT binary patch delta 305 zcmX@dvxG-fC`X=|lYwCY0}wbosgh@Au?cIOD5}cHGSS*&;@T^Wwv(F}jTzY|Z)1GT z$T@itlLwG|%_PUl#m&RZH<^#wlaYUN1hX4ZbUw2jqrl{S%vOvXlYcVX@$ox2GB6zQ zW>8>cU}E5(tjHpUEX0T@!~hiPWib;GaG1*oa*i@kyAMeFe+I6}*I7(ZBzz$f+>_;5 zQ6>B!5_L732J5iKL?#DNH~|3h C4Lvjf delta 358 zcmZ3&bB;$;C`X=|lYwCY0}wbosgh@A`B+*#QB;+YZKAb@G!p~E0ZzsLOBOXOYHDd` zU<9iI3o1`6dCX`vIfBuck#lkn<7-Cl$rVfB!+?O1QE2iMW-CUn$>*8v_yoc7-XNt+41$yGS;UZq7%_zyfI`VE zW+Flkb3x8?PzKuM1JeGVfoJks784W+Ux)-1$+oPhI{YCL{F7VJBmy83 g0+Y|ODg%A+kyTEVn~@#tb3q{nU?3(kIe-Ee0E*m5{Qv*} diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc_reg.sdb index 937d23610ffa0e3cc84ecd1b950d4789db0a6446..1516faacd05fd95fe5218714f6b61c228370616c 100644 GIT binary patch delta 226 zcmey&eUe*LC`X=|lYwCY0}wbosgh@Au?cIOD5}oLGSSv!<9c>RM)t|u8E-LiPM*Z1 z#>h2!CzB^5_he>f&&fNOcqT_M+cEM^p3bbs$TxW(vjb4oe`aY$j>*z2HhkO;jtmS3 zlo<>dfktyrPG!+#)STSUqRh|B;GhhYQ3c8TXW*TDkVOh8a|a=#0g>UE%*Uz$w9=dv vq%)CK8E8o>s~V8KhE-XGj{$7HK1eOd1_nkpPA+a9UOoovu*O6t2av}A=#ehm delta 269 zcmX@f{h3=-C`X=|lYwCY0}wbosgh@A`B+*#QB<9gZKAD*G!p~E0ZzsLOBOXOYHDd` zU<9iI3o36c6JuoLoZQQJYw`^yp2@{b(u_Ql`{ zWd1V%c_5wYV4d2NH?b)5LltO16!1-c!lD7RpO+P6fH|u&(9UR9H6Xi*Rar%V0c?#v ZNG;e4jBMOIynOru3_!moGC6?4003P`Ii&yq diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/register.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/register.sdb index 2939baebfb23c2f2651eacecdf55c50f3f47ac36..ce920944a42ef95f47999234b70f137d107d9338 100644 GIT binary patch delta 1175 zcmYk6O=uHA6vt<}$!5Q@UrGFGlTD3XH)(6qq$V~EHlhb(D+H08L{JZcR`9H+9K@59 zW)6Z#(W?kjy?F|VXAd4lK`M9=!K;_}-Xt%W!?N@L?fbpSGH)M+Ulua{cA)5ty4-auC4MSrxh29_$dlr7tix^b z6t0f=4v8zYc!zu93S52hJRb6t_z>UXY2m6(Fm0-{Tobp|D)^qMCB7v-s+CN-eU7o= z9BXsfOPaO2xDwn*iEJV}p;@GyXjamjiL5=Y%^{s(Y4Ie{m=pvUDO3>1f?rcz9%+nd zUeXnfbn{5#pu46uCLNArq(bykqYed(667eLW1y4b1VRm-U(`vlfRPT-eVr5`Mg}QD zxs6X#$4R6n6#Sh!B8)7EHVkqUF-nr7C>?tSy|)rln`lYW1A}(Ch|~d{ZIW&YBbN%6 zWWha?bjwIRqRW!LHA#00sSmoZQ(YOO6cvKa-6|v z4x$~K9BUY5$+0FKzis-bO{6)ZO-XAGby!ClfbP0efuY!OE{Kt1if_(5HwSJtmu?qe zCN}V?5uOP4|Jo8=w+>_V*u}AW??y0;2W|@nGw(&3GoGi8?0CydMG!t~^U9-Ynxtt=oHk99#`Ixr>D0O~SVcE31i>x@!73sOqKG1j z3pa)ff+#4(O|$Z`5W$7G7u;8hg18eA!KLw>o19=4;m-ff|J^$bXSPar%PDU?AJ!Oq z#^4uxyOs|tzph+wr^dM2UOJQsG4@_7bf0hB+PHn^9^>*Z85Un&&2p~2e#kqV8)7+J z=B79sZt=Ky6>f=j#S~E`!);MiTHF!m;olW+lrs0kHmnoEkFJN_14xc8qjIq*2hl zi_P{Ayc?q!L|mf-moU=EQId|+8ac{Hbtv4|$gu|_1ENm@hmVm-4qrNIIyuIW#-VUh zC&xHO7DP{VI!6T~n;aGC_^Z>CP9SxNPDr|9&<<6kF6b^8q?^RZqr#*td^AW`Lz*C3 zlhiXwS4Wxz-J(glDU4E7n39DjCg~bT(?lDRejVucA{_#q8K>uOVzdLI<8j(y8l#=$ zn3j$gaXQir(p^y48aQS#%0M(?kz)>{VRFn#$4!eI`;ca#(6Q(o^BCnI`ZS1IS%7)` zBiDJi3ds?7$mwYg_cZWk#bvh%#Z4EBpIskDHR3J6*dFk3ea@?{Bw66Y)+ewdH#6z|_*NO?hGE7n+i|@_GR5Envla^Q!}AX&4dbQ& diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/scu.sdb b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/scu.sdb index e4e661f7ae0efae8546a1beae4d23aa585857b06..d58878f02619763bc83b72c5c90a128aee19d5bf 100644 GIT binary patch delta 121 zcmZ3*(ZC@plq1i~$-uCH0SFwPRLL{5*n~As6jfnlnP}y)aSa+1rU{af$z{E3o7n2<$&*Yy>28^mU#Bo=9Y QPA+a92B5i#Ob#IX0KzUCb^rhX delta 169 zcmZqRSj8bKlq1i~$-uCH0SFwPRLL{5d@QY=D5}E9HqpvMnu&qo0H@;rC5svsHMO)e zFoIQq1(i1zi!w5DPVQt}%g8<1oJoz5XL1~n&#+3oGC4>E^6DA>Z5C2PQ4Ccv_WnC4ipb@=mt%_=#YjLx#7-mpvPdp+)Ms4tEtK+b1UIY^3@>mZWO2Uo zLPgUi7k(G64C9$$d>M`7SH^8;i8S?jXtJPBdU!KO(!&t`Khm~);?R!TznFM2V|pr; z^n3A~#%(hhh#2qBY1WVc-pZ^Y6TGEzJ{0G?3d{XC#Q1(qlkZ1(CylR9;;{;D8pnoI z!pSoELRHQJ5hMNMlB6HNb)&~a;{96_eW<0xyUb-uVNE=p?*m-G76bh|4_f3q#C9!4 z9EJD1df=keK2|KwBM%=9v|I5>sD!=tK!+D~P2ZjrV(dV?0NWA5r(Nr}U@@kQ%$RAX z`8$+yGd$jgf?m-|`RS>x+_PN2AE|C`jrRRB8sdp~6AI{l zns04JJC;GTjy+ej=w1wM2CFFP5|4N$BTc!6LKZ%dU3EzXOqaegEe@h>;3rBJ>H>e|@a7ZDsC02%egY>e6Qdx+FlRA?qwYMF&WpOb7~5nDigqh0*{!Bz zcT>#nm-MCR0~|_~qP8u6A16D=>PH|3ID=Y`Zv+$%bePK!l delta 11 ScmdmMHji%uKl@}oX?_40tOHR1 diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.dcp b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.dcp deleted file mode 100644 index 866d8bdb36b4b31015071e00604771a81a2feea3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 39220 zcmc$_WmH^Ex9>}Eg1ZF>PH=Y#1b26Lch}(7xVr^+cL`2#cXxLh&uyOfyl3xy?%3y! z{po(Gn)08s*6QxD#;>cZ<)t7XF~GpUV8OQcF{V#YyF{l2Su0`bDNIdQq$sgNp{4t zEsVB?V7M0nOqtSyq~{O4M5K%4L=+;6`1;ATa{hIne*X?B>cPeYBh|mV487XG0kb0j zhj=KK8UGAJRVOrm-CM?qncHT+4kjShmqC!woybQ2>t=q$U4ZezIQcDG$lZuFME(n= z*(;d@F;Z0Y(zlI$XAQ}1NYgZv!@{K6P0j#C=rr<{U-yTU7)(xaXAUDdRK+5+3@@)S zIn&fJCKM5YGX@2AYT2i$?%{e#zF1+w6*P18onYN%yLFiXSCiL{X!ty~SHcSh#+I84 zq?8&LcPFooB#6sH>IJ{o?U{oIYjo}aYgTl278{l3k+eIH{hwc_HbN@d2PI~-6{}}| z85RER6s`7XE{Vzs9k9l~H>28ZBa2%xvn!q&-I&(Y3?-o)5+-N!0nhqLYh_=G9!$GJ*iwqdKs zh=E=#2Z{Yd0jlT^juK;SDA*Z2)tHHYo9&sOp9@o$zhJzL4Djv(1DYl&O_4e$k-V6H zb>UUawrk~FZ1w)8S^I+Jb$ejr;^0(8_75D__UQIv@|z*g1u*#Sy=_Bt^m?$}b!}D8 z_oZVs1~}Qi>6oL}eEYVcD;V+SZQ%yo-KU_0i%&%l&Q$&r{*;M8e^W9s?)uh3z~GcK z_B6Vr>G#KnfB0Ggt$#-CcotjPwoRA*e4Zazdc*7Xz-9lJ$Y=GB#c7ImRcu1Pz)dev ze5RFK)R$i`L&ZwU3BWah4T`Oq0H6TF`P(C%nq8AJF--sFgj!6iT#TPLI{DM8E&ImG z=AYGL>#pYFm%~av@Mroil}pcpW4txXNwr(o+bS9<|IzC9b7!DUv+suN`MUTk`=&P! z>uvM;i>1JAm#)8t#59a>Q-FD}mpwSts#1>ZYnh`OjI0aw(#XCV>u zC!zI5>c4awjdOpoq$CAt@3t1jE&3SvTB~aHs|G!xC zCi^aJv~Yc06s*;u6V8P;6pHWHRa(CA>sG0g5Kp3Mx~BrrKBH+B7Y1n_dM+#L+05qr zwuGIY<(Ou5a~tQO5m#U5)%v=?`LhnUZ$8hmW)j4bZYpPECH=<3Gbb5b=6(Ay;uEncQF~FQhybv=1yOAt}iuHjyZ56S}rNkBa$V_kTrX?}lv z6y+{<8t20EO{Uyt4FD6;XbFGn=CrTuVxYtl_3YwS8lhytl5-alpgWuS^4^t~!SVJm zbk|u~7OHODVBV;e$KS43X~(vGqEmg`9HMcIAP}U;wiZ*f zE{`u`eCqKyCG7cNeCR=<#(x(2(1A%?UvU|#Gv^wztqe%!s<_`6u$7Ys+H^BK^uhS> z#nrrld1YkPWTg;Wce|-CBKTteq}%S&T2uBELT(_axU^x>NEe{UB?_tQ3IR68e0qEn z{p8})b7@nM*4-(vU{T}kg)82!C1qpV?o(+*r+l5GwRin(qc^bG0+K(XY4b6Xo%H>B6>|Pkat}u%4?h z1&-iZjy9jvaGWi{?^{qI%r_}@a|HP~T^YPfX>lXlOnca>0Vn50l+MC4@HC z(C(i4kN4@0BTDoxU+f|c1e)Y%Ldq5|1(dJ13tm?)-bLP?<`O0z4u#ebyPPwOibEvlkvR|gNj3Un$F`sEcShbGC;O84l-Y=*-e^J7@ zfRSr60#-Z-FLgEx{#_S1a&fZYOIs|1b$50C;lp-XpY|<1<0Xj#cho?wdjmEwY5ogv zW9+1ievQGj+STW|YBA&K#n;F7&;7pog6`^x;i?aHcY3^U0q~0;3^TxAZ|c|csPdfh zsSC^(yQZm>Mck`2RXiiQsn+tbLL*S4K#zjEHMbN(fL1>LMjwfh(!zS8wF zb+L%s9e+4Ut)0W$BD_AW*4Z?~TJ`zObLcF2tn_PD2%_M0)uQ9-mv^aZWFlK~71rmB zFN8BLbB=PTo18v1NrwsjeQX&5;2X(67L=}R1p zI_sVQgA9-X=%_ecY3u2p*pFP_(RVMg?{)3y@t)hkYDKKmI0k*x9v>rL-`hFV+17fy| z)S5Sj=GPb0yY`1Vm-FA0i5|XtX+>o`b(+Jej^hE7?cQu<)@8AtyiX1JWXwkYpk7}j^C+`U!i9$b3mGae!HQy{llvWHaLNGOb* zj@vArb)M!wSXNY=)^5Qr4B0PZ$=FOyZd#t4j=HyQB4VjF7Hm|6l(8R`jK}1afz@rR z`=z@0zp;TqcQ){6ipb5_Y4ucq&3yB$?6SgNanUO2w%n;$PyW)mPG?~wR&6D>qWEGT zB;j*wux^)4SI?ZuORKvwvsyWg&40IH&#rB=(J-%x3;!~&4<}RzqtD_}&f}ZP*T`>s zxBWxs2zYnU2_hI6&156o*3^R_tS}Y~uMgS7oU+U!3sa zJgv;~RUi+VOa$IRdpD2+w%to)$gf`3e8H|U?=~BYO2#Mq=_2!Hj>~tZGUq*S>^UyZ z+jGz7TpL+adDpEQ(!0`sK0v+AvTOop^lLW}bD+Pf2EB`|r^8HUdn;O#GI6kxD*4>#y82Qw44koFEw#I2?{VtG>99N~06RNI(*N$pylFL?)HO}G zD5*s+g|5)uijA=ZEWfDhI_bQ`RLUF)EIx3Z^7B580NXq{ucSx{X)3$BdwQ3+v`gF$ zq?Y?Mf&2o0?CW53SLIT#RBvltywNzmAqLzoLN*MLbTP2(o2M1%s-odztSP46T0Po- zk(;oRTI)zF&v?ykeoFN|x~bIG2uw9_`^(y%&3m6%WhpUeILkql`P;Y_7XeVjtUIr# zIWt~qFcy^prE~@?VOiYWY?3^s+yW%cR)Hl{S;Vgq|=OE zM_T{D4*d1=yUy8ZXLGw(Uh^fF=03yCsNH$-kdtq#RhgaPrgtJm01}8YS+oA&^keK= z$eiBiG#pk{j6C$&GwP@$w=q`Wl#d%8sXMxr4zKK(Rx%`ZHO}o(7w-I#i5~l0{XB4T=#rid ze%R1XUAMKXxrB>8*tveuo4ZW8!kMw#DPd^dIVgQIwk<+F7@9inJd&#Q+SId- z3eC{;V$#ka0@|f}v%u`@+U{qA=O>4j>PI~HdS*Y|FQANtYwgH5A=oco9P@O-`~lJy zw=GHR#&CyiCW^HCGGx>hWi)0G)Zi~`t57GLW^i4-w7qY4$dLVve`_;N|0)~H!KO2X zDFgYaG;8N+Xkt47%<%ndGp{thn#{YZUcMaV!RK>45~wAgvcV$rMrdw12fZ53^u^Lp zCA z51&=w$oOeb>k}j7{kZ#DiBjntxKnNw#WB*><{5*qOts2bm4X_gL8{%zfmr)?MbS^& zXXWaLWS8}mNmorhh^A`rziqxmz{W%WmWk$5lfqRRM9rBBXnrE+=`$dH{o;wC5z=>j zOCJ*(Z8h$NA>}W=YY3Gat3TRdm{d;HBeTru&FC15upk5z;jUYF&KBKd<~^Vt4(o#t zC@8&CoSXt})U1yW4FA?ogpX<7&MR}A$b(%=G~Uf%(%Mj)=XTSsV@ML5Lu?J#H9+E! zoknhJtC?vzX~4Kx9Mtgpv}0CQ)~4UHwmR}1asAjz%jQ{3dXR6@4eea_0`_?{UM}|b zSJ2>H+2_Up*HwI&P#S?%9L$`q^S) zxyBo)pwfbw#U7@o7qX+7es@Z?%_p-!EX*?l|{9w|gFKvJO}lGc-B}{urXn1xD3cGs zUKu*2RS2ggR~u?)ONFz!PZx`P_y~M?b1MSlEDLKXn^UAG3*L1EcAHKtR{Sg0hoeuv z?}nE>S-UV-SN?5$S(OVD&)0lFkNb|yrPcH|2=T^Hxv}Ylo3+EzbI#Tf)jqWsU{sb> zNqQMac}x7-VM^;;y4JFnS=Z{WT}fgQUZv@xy_CP>?fk~ZJC5A>+II2U;kak}B%5PS zstdp<~(TskV?Y_!T(P{#qY)+HP1PSDj?VMxdPZvZwRz5qwG$H@9eV z?lN2f@HpUy>U#Y~o!Y4mdu?YKl%)FHrdRqBT&1{pk)dfGt4)QEN5W*H)%;!JDy4)9 zC9A}IZY6zR6MYHqH4Gmj2vfygB&i?MpLj0lA%;PARlq_e>4(ZyKoPYHL{zmU8I<5` z0*19EhvhuyHgEz{fJt8#dvRV=g|47GC>Ty!{t^$&^v`2p1E)c!CB%GrNX@0TLx3mg z+osxRtK1f{OgE8=!f|;G+LgnKlW3U(!xYSO;PeV4KMPN87yM@oml7s4HZ~TKa9)3; z1+SuTBol5{#lF8CTS%gbN51i~7byDC0l&!+aK)-uuT72Y#9~i`@O5&@&0Ed&L=mPm z1ulnL<&JjcrW?Oslexc~!=J8H`Qy9**GOt`cU_uX&qi)9{vIrJ6KSsWmCVo0p{~(h z6Um<*-k<*2IN<-yvUW}Ty|6YipV7S$QM}JLd=OE+p&;e8 zIHQ{$kT=PH3&QzbnF%62AYKh=m|pBckjr8e_*$$pLAuGWr+7m}#@>>)_IZz3{0I!^;cpI1YJuNh0y5(Ol-_FTZeX|t=@ zVqV?$h<>gKN8bl;C%uADf%Etl^A2y0K8F*IQg99BI#SD^Crb3QP(JYjv!$$ajN%do2l7Uj!13cjj8xo+@PNW-}UPr>?PS*b= zHHLj@463>v;7McH7y(rsM7lA9sz7V~_bHuhhK>EFo&WKa)r))Zi7-J|`9Gd|827){-ztB4$D@yUJk z2EElhi}bvs&79o=z^Y`O_&CU2M7~Q%nb2)1YvZgZ(f&7uaB1ESR3r+t<~6TD^Dnj}H?CE!d3`I&<3(Z#pt7 z&EbQK`Sal$S4@TvLy@?jyr>}MH;)k;s*n;MNE6WutgC&z2aK~;(MluBpgmCPs@K3eUmKTIq64B6X#`kNWesV}xK$aE!(n2i#Yhf_ zO}Sxp2-BhkQpAdWOzy{xltf+)vvN9l7)SKe$4E0R?Zktk^<5Qa|ag1Coa^-|2T=<_GdHvB56L>*1x8uY|ZSX#J!{dI9dnXHqE0g#TVO z+vPjq7i~Bgx!&J#_E)4|)?i^6dVh!8UlD6ea!)-@WJAFq$KO{#TyHV<)MvYVCHxZN z{;^Ep(AP=##NFL%W>rX*?R<&B4M>)erJOA%==uxvezH@3LFu{=BZ2=q+DN+7)cG|* z&+JEe7CkoCA(IOi3CxcoUB4r;5o^myLnh7!c=r0|`gmS@JX6toGvX%$;l4n278l=G z!J=qn=HamyEzD5GXpp4@V zT41$d=s{S43Bltg5|Py&YBXH$JCEBNnDaVYElDU93?VuSv}R ziDP39e5k9K-eoKEaqcw46P5iFcP2*{R7b(0QVJ>~1qPFMK7&2R5v$(aI1oc`cv$R; z;FC86BR9$;;Di#9QwbS>iO~nM63;#c`z#M^Fv1#VcCl8kN6RpbFHY^xRWF*@@HD|IGwKW|E>JpBxw@lf z1ZDSv@Bh>vtK8jDGlRUx;QP;=fnrsQNyUqpfx2nXUg`|tGS%_LYT<&_(n6y(x+EF8 z`9w}((t=_S=?bA=7M?v~jA}{32BtXD)e%s0)e&@1hYTBKTNg&$bFfuqenAUVpaXhq zWPd57mMaxqr<9|!T^VHQgN3+|AokSAE?4=rb1MWAF2F(SsZ-8ujn03YZ5f>p$GfVL zWxVR;j)T^%l1&@bu9BV84|NF;#9jzzJ#uvU!@A+isDN)Vnqr)O#4z zyCxXayGc8XIjUYeYiSD+N)y!id-*~ugrrHwGaxBHTiPf~KlVdax8}Q(i+0b8S01!O zQ-SW_y-%HFF%_0%7)1*152-^IcaG#zfnoHO_&F{}99PmFHU@_jZW@_fxJ{j8mG4pg z+n~JAoe^9ded@65tbl3+Q!>h%zR<5oiaMWBFq#1z=`deb;+bId<*tRFNrnIlVS!AP zkzF`I=NIqiefOPFJAZI40XiiyJ9g1FO(KhZ*RPQa{TcwU(CLCsA>0^I5G+B<0}jfc zvbl#6wBsc`3gxf9;5~o$ldmfLVx2wh+WCdxHcRUTmp+(TmQ5LvRcpQyrqK4!0P63I zFma_Q)p{#;Mbh6*0)t9Chu;D;*?}G%>(QHiS(k3FM6ly=KWY!OyxMZA)mmjITTY)` zcH5BX>R`#p+2E1$C$-_Nx0*9ua z)zo=9I*uYY=61+qPNi~ec!}_J3C?Bs4QY8u9_M2unu+TfTmmih{*2G=;Zk)kzo z;7W2vwHy{S5^oQ26Lp3xEeg?gt0XZa44jU98da&h~G;03$ry0J&qSm znc9!g*(^OuDWlYNpV`#L=${(^nz|=XUz1aO=`iaf`PuS8jmknnjYvU_5@0}DGY62i zQ4XZ72nA{3@RCzZWYAfp5gg`VL(MSP7OB_a?7@*Q=6muP`y_vjGWa6{E%oD5eY2+b zQ+gu3*cc_GyCaTnq_&1S@lwf(^hlkb$glQv5v9>)tHIh{(H5` z5DEQvo6Uz8JmbD1GL1vn19(jR|(=|E{gg`kA+!FpAx13hiNIV?w}MDpyyE zTT5+4O1iGy@>A!mUU&aq_3FS3=ws5(nw%P)4DU4LeYPhhO=j(zMNX04byJyW93Jl2 z$qoD_eZ}_us?iI`CXAv}q$v`!Ms%%BP zQdR6qzH;sG|3GIv=P@)URNlo4TQYk-R;;UCPfOaZq5CEEo|x0t+MgeATtztq?yDUW z4fWcRO#uJsz{mR`^Q7Iv=1hu)7pOY?NF&F7q`$&HLhabnzn<_u5%lFyg*kR9k3|NM zVL;VVtHGN{#6}9S>4nUPLtRlaC6_!mfcHUcr3Y_>Weq#wWlt?U89yNSR7}WuT1vZp`vdWbn;d|Vuqe|ixpMv6v zhh&6wNn!IXXX|Kr9}9%c>NsMjLDY(0s5NV&pw%PF)UXOgM1S;L%8>xfrMsb zy9gecs4*;C*MmGv5pD{OD}LBZBG>eivM-n5?Nl2gBNl&k^iv=&W#8I+qW@2>{%?@` z|AVXlJLLYqa`k_Q+@rSx?Yw#&|KsWZ&ei`Na?@N5w1ew){LiPGfp*Z>6q^6(1dmhn z#n?5QT3-)CnUkx}U;fnG();ORmE&6#Gl_l zFv@o4*bWd#J*EL9T0%Ie;*Z_UW#*-y1m;<;n~K5XZEKXnDnS9RNL1RD;8U{|+9MgF zWWRq=;i*(buWh|h%rk9&KqT$taUd5 zm!RN9nb-`mEFMe#-naotCn|)yB`GUmKr9GOf}U2fDN5xOXV>Cczs{O&>PpEGzfS^> z&weA!PJ%?AGWqyv+0yZ1{x@S&rE@c|h)x6C(wHJB%0>YStliqCfP9N>W+UfBE>0fV zwXtm~B|F#57TkUX)3q^95tbFxL8|l!c2E^U-A9$LOt3=ZT4l18rP2?7Vb!F9T+;nN z$TG@S$yVb4x#dCLI==Fm{kUWCevmaX4YD3VxXpd1O_&B~gN>06WC5|b_}R@cMj(jH z^Q%v#+yR0}U1VCyL%f_VXh_*Xfu!48Vw^3gNV-9R=-XRA$dDic1L3!~1j&$gwRRYL z$dO1vWTd^rG|WP{k9kzi{QnDlZ~B*x>nv=1{!jS5rs94FXBh92^OZsa2r?vc zT2`r8N^lAO?kz4)Sr(77HR3l~&QZ(!n7>EZ>$!JhDJQboqbcatn9m+Cv^U4LHoZ3^ zZ*7kJ!135u#{3scF0DftT;r3+uYuB8gvPPqeYd#E&z4Q-l4B&Z_8%y|0zz>_5Q+oD zAdTa$Q-9Jj6Jo}Sr0KF#mZlunAKt z3MB3SmcZnQT{T;wYEc=h2$=qC+E>iSgQ*ag=31##H3EqwVxTJN2M7AMXpkZ3ognnml^&RRdxtHe%2-w?_1O5}tAA94S zs_4&3UEe9<+)1*G@fZf(D=ytpyL)2d-2HKu4f#(nZ~EP{ANCZ}lP5*Hnntdg2D)Ee8xwX%$#`?%vo4L;CZ4}|6r=%Agur6Y6d7rzt8RG{=Ef%Dvamd%58$@ z*2-OrD2Cx~kR=YmpjIqxL|=jKau%Z!z=W+D&U)ae@`rV;ms%n8O`ROa)*wl|k4~*n znv|{r&7~hCio*hlWW@kU#712Ria$;Oec14W3o?l25s04!gT%2#j9+}=K*%13@sdCyat8%dX-H1@eFG zHuBnq@J|sX(on->m^J7yhz)rkF8H$J*$n2ApLKR-`7I^?ER~0@3@P(Bt!TT?S61el zk<>R(4*zG*`l>-Fz|Id)>^h-@(dd0~>)uY#Ep{N9t@G;t1zq!~@&C=}O|WEazW;^M z-(gl(KN$VygV8^M82#wqj4svxFGd&N`Cp7~^)E&jHvV9A_xDqo$@PhVFfoe%vXv{QUKYggY5>OeBO`{teVoF@*#2*Ok?}ulY^wjT)rkJXPQm)$w#EV? z2-Ck0MK~cuf`eE)*QlQ>nesi=z%kb-F?HL4AB5>g^t;+SX7^VPZf8zIOJbJOlx0T{ zZ(O&rgs*0HhqKcd;y`>9YV#B^Ds2OMKV~$vLP9eA7-4Cpe2V$*?0trT(@wW-xXzh8#T^q zniweXR3YItmL6WIpEqDxsn1212a4L9h=EZ!AzFH6!BWce4oZTkc{ul%K_Qmvhkmb zzc0@OK-h2>RVp-D1R!>} zi!l|NLNppcnX&>S5{HC23pQ{?@BO*dM;YT-p9xVJ16M)e&OqT;{{ZVR(;$)QA&>}X zwnrom6=f9up&FC1sW5XO(oG!oj}zCNk$f8Fv?Q-K2h+c;LLwx#IH7QEk+rQE^RS+|O}_hQhe~YHu3y<(Wrj z*8{AL-WNC>zX7A0JNoY3tlpabk!vjabazTU30f@4UKOf6&|?fJ(VZ~4_}(BjX3wxe zzVN`%m30yCE4D|wlJ6qw)}t7XpXEC(A;ovy+gsG=6eX*E0*8&oUioI~+^Z=_UoEMsMdomDf3PD5+0$y0X}RG2MqU@*HPCPGPjFZ>xkW8s35+n|(EUVW+I zfp!{Biq8SmvUxU4R@)MxZ#J;o+;2)j(^S!f&k@Te%?+BsM7szhrP3)C2uVP$RD_-a zw9PHx9ZB3#guzwUUhw%Y_AU0?Kp_%KO-lvO&*&L^r3fhkbA1O5;QN{1 zSbYQ>zg=wPVWgrSDy}vE_{K;&Q`lk@s;DpeOUj`dsjvqip0Ytt!0mzSK;QYs<-Eh3 zukFd>bkuLs-*We`Hx)h_W`BG>n1`N%x;e8N`h$|5w5_liB2-pe)LP2!5;3ap<7}#_qhME7}OjWAkt-bA}YSH1Ke=0SDT&$XwRHb!7V!`1gE6EtE`5n8&8q z*zcB(gB-4*TsvTb^j{Cly8Xd_9+n29h@gk1`6%K)56im!0|z$$_A5fQ-M80)Y+53* zM{3S=#~l08YTwf8p~76<;-+JFul22{gh0$B@vd)_4A_bBXhQWpsKP?%ds>w8xP_3s zhPm8q0zH#`Cwut3xYdh-rltHOb1j~QjkKf`;>j|lDrqI1 zltrl^AI*T}ujaIGbRs1VU*tx7lWk;2GJcn*781;?f+eMsSQDggER-~0JdJY56c)j{ z*oRrw>_>3*pP73;Z6eol`ate#B@_EG+s=I#}GYOv|Q%qrg94V_sU?8+QB6 zR$fX5Q}mad!>Oia8Ka?3M8?WTgL9>|i{x}_fO*c2Xv;^7m%FCn!a_LGh2mxpdO8uD zIQ~u>7mIJ87RNR%Qc|d*iE?%{x|$J;hTfhTcQcAXsN1uvT`9>VfXD2O!L9}j17YA@ z(`#WNENN45GcF^Y2tBD+fK9+}9rxPRf*s%|5q94^*5IGmzM7Wm-9N^D8Lo`8k155dA1-af4#*U(?U=V2gh{o^g?q9(r0>8 zayn#f?OpCeQZB*CzDLX22V`!MO~}2rm3_K|aS0@H`12+tYv1JwE_6xZg!0W+f`@7( zcMyTj7vcQanPqe7Dmd^gEz5kkelRv@F`Ru2pk|C>O?TA%ee{6eBgH}XtU_8~QGbc6 zsdL+a0&A-;YT5Roqxn1h)fN<`H|bR9Wj|JI>}n8E^SU{LkSJj#ET_$RR_Ec2Nn9SH zd-u55?qVzG1cTn+Z@aaKMDFq7cTQ1fIOKV!H}gT8J73>Y)aLyera{LUH2OVzO|rY= z(%YXx&rjjH-@dgHJOdS-ZxA|is=qxy7hAP=mmL!(3SLGgl*RS~32@)bS)h$#<^9Q2ck6a}>EBNbn68atCA483Rr7O3 zO=VAE!8@ah$zL5;!v6|+wzYl1J=MUB$B9{VN3|Nabj~~*zGg>ZvfCZI&lDF>V;H2u zjYyqt?*62lrOJlG)W_gA8RmiiPspsl15s@3@zlcX<+Q|8{$O}HTZuUQl82Gku_?_1 zn9zx9-&EW6P$4X!#*w|i#7XmAZ%6x_q1u8GR!C1aW>imHPA%mKa=QXrLNt8zav0fo z{0cOjy0K`m#i+m9rl!|}0POrlep4qi9VT&X3K2)zC|mD(6s4l+lqJUC?dINE}g zHAHNO+lDW$z+Qh)Fz(uw**Bih&X8wq;+th&ZlJ%obqr}S)cV;VoMjaQStOxI8X`(v zKEh-Bj4K2N{;3+(ymOt9U*!2V=Wk&3Uu;*MSJkm#|Rn`Wo!i))O%zFAj&~T}R=gd_IJVc|WZB%33{;RIdkr$MyT5uY zX3`&>7lpM)x(Q@<;HQ`5(D>)v@t*GV0YxU=`o7l?*?E4X6V995~jJ;u+dk(sK7o>+0ExB2>UvywuSB+M?*7_^R>aEBVgMH4OoBC<5C zyph9m8ZtG*7Uc0}sA=4OaG*}Vu0fs5s6m}lzGjPh8Ev zIxDY=~)2!6GH3l%4qWPt$rClq{^rlKoFUg-}kT4zhR zr4OOzhfwQ7X#F8n{}A?ogobD?6tx;mOr1f;(uOSgh7lvv8vXBZXn8E*AdEEhl$cEG zH|-z9K+pULM1P}TF+`^~q7=wX5pz*%X@+f%$SJ^Z zs;;9QRvxYcQ>X9-tq|yfJSEySf=v7S@6epcjFz@#O@v2m+>Aik7>6?iR}iK zQtZnLLenkT%c=SDR;bmuJ(ta08x$%_Uw-LzXXRO1g8u0+s^VgdZ~@Lx9h8I=mq?Tz z*Sl@YN4;i~Bop!MrQ@PJJ$kNCIA6Mf7FVL#I-@97r)+^&;8OQBYlBO(sQQNUHCyqS2%Oq}<6k5b5NwFiUN7Bgv z2-fA#l_T*g=V`PW*FQ(%QGYs$A^H_H*wy)m{Nh;tX?=*ms9zU^A((&|o5|7ra|CVn zdjg+rD~k*a51Ju`uMXaWMamR$c0Spuw(zo-{yuIV*)Ck^MYWWxQ5SM?>>zY-&S7=_e zO}X#cQ(iwm9KGIXa&$XY`+6R8&%W64gYDJ-{h(VHQ-rDcY-j;F!Ck<1D4B0_jLxeu z=Wpuj!l`>ZID#vGv6~mR7S#2$S}j}V$R5hXqd)&t&Q+cowrtByq=|Rc{OWMIX#=j^ zkQBc6ZN^^S@(tyCN#m6FOH~2>{-zTO^0fDjB(20F&7k4(8}u7XCUKzMK79$)Bnr=9 zW7*$qc+as+oYgr&xWjTHE4`NHRu;WZpRB4BKX;M$pF?GD#J-r|0S7;4BP;oTq;s2EoNY z1tKlRH>ODTj*wgN+gdeE4SV-h9q<=0sdqt6V#z23szScfaDA%!CsC=+cG&XW*uSrs z;pRuFovRh)FFK8uodz13Baf4?p4IT9n<`K*$$9h1HqQnh;?ZwU1uJ-5>pEZuZzzy* zI4F)bvkUw@!cW*F%CP6uH&=f7+0p;@B0;!RR_e}^c=P=-%hSTj09)JPE&Mw1c^|$M z;SyXC$-W498{bWp@fs!4D>!)-Pin**jG-&)FNZH{v&rx+Kp8>eOAA6_*YK6_)DK_y zM@2Ah7-s23cr70UTznPQRdiex)^#+{u!#y9woyRCE;4A?M*ykul+R`_En>Bm z8nMvBgjwlt1X2uP4J?dGV~L!bqhclqGA*Mga4~71ConNBqa}P}(wI@e@+P6C_+eOA zP!I$(R+biZNW94cM2yfz$7d%f)Z#)1n&cYI;tVJW_YXqK1wX8fvPS zXHv)DUdRfL_?ER&F_#`&kj$55dw}m{iH@a*D*JR$PU+R=hKx~6>>#&F zM!LKbqvR#6kSy=%uE^&g(aY^tz?8~_p0d8e#TKXe{@lrThlHeq$1Rd9sgh$4> zD7=C-E{>vZT9_hzSg78$=<91yYBPlctbSPDy1KlmS+oPilpkc3}(4U`O1(G{6S-Rf8>n#d{E4?fYekv6r? z0SJ2vP47O@vlU?5m*T$tlo~&R)3zKm*w?gVwvsR|t#IHkk~J;~KVywcqo|t^7EK=( zMNcy)fj0rQ!j}@t9Ol>9^-clZMlGnN3ki!6D1KrbGH7WLzT=$&x`m}(Z}C56OkSDD zpgWL3IV9CoZI}IL%J^qm*!U;7@GgUPXk@5bsw^C&;~Kuqn=UnWFavUO4$$hk*iur* z%Uk=c347Tlg+=50d2tVEQaQt2D3~V5n$r4N6Vy%7`lJn0B*x+$(swjf!B@ycM>xeC z!V^-lb`b{1Wev3fx9BOHaUO9iDN=g?16%md0qedzxNxM@cW80X5vIjc(OAch@CbgD@zMWX2+r>#Wwgpe;*+G zbq4oM2~Hvs28Nn~_%=J%gPPdF;qW7|16L{!O4xlQ;Im_)J`#7Jgz+?boj5reoi0&H zYEZ{bP)9ymJS%;eA`_g(O%SaDoCJOWAt;04GlhCAAByN)!URLq{wWrdpcS;Q{*{L0 z`)~yE#n*Y%`a)l!-MCuw@L0@9iHHh%ft9YS;ne=1rHBEt0oAb>&x3Be z5i|mI#yFWrC9waC$sF&dkV+!36FXX=x> zq7E|J&sRLNFSyu3IcY)DPPih#dY%-=_EagJSAUm&%L&`FJi4{7CfZG5GRoE_gGLYoE_IG?3xv zjc>&9<;|tP5>;1<{&HU&o;W9i4C^zNtZ9_*XS2C?-y^G3aUORL`##+^JZPnB5~dhm z&OK2vygI@0|M?N z{sqsf6GvKHGmCHJi7<~rT^n}(yE@)J1&3gdd_0slVkj?E5I0a^GESzW>AUa|j&t8)s@g=?a8 zY}>YRV%ttmoV>AZ+qQFJ+qP}nwmIM2%|E-UYu|L$zFWJiR`=7>-eI9={Phs(*nBmI zpBXCL;%BvP(h|IS!{jol4~F@BA^B&ZKkpBlWqA!8gue9Bsua{ro|D~L0U4sOv{6{y5 z{V(AEU&@Jvt&t<__|){2Oq`n(om8~jBxB_3lnjIPwA?hU)Re>II3uGBJsS)(^3!QwT1 za^lZX84epJAz%W*e*JcPtO5~MJW}0qsCJzsgcY1Q8BViYPNy?2IH7+e7Y~be%h+sg zEu}r~iQ-y{PfxA6i(OxC?dHR#&=c)v$A3QAJ~X-UfVmzVeTkCjv?|kOVX2>9mozR6)|XLdW@z%k-_1`Yle2Tx2(zJ$t=?obI3jbt*uM4&MQQ!4LYff$sd+e+izg+m+8rdTjHl zsiO)jB1$vXc3%`))$>@j)nT1c zf3uM}IWuscS&1QGB}ytRpb0ZCSD=2n?qZx zRuKr-a>{tpCuQqCVDiU zP&%c%>eK7~dkhmzpbfAiuc`r;F8Y}s*cIvytz|FI<(k4r6@c-JW+_fa?X3D;F~P5# zo5WQE)|6L$uB&5G+BJX6h&WYxgP2IZM||aAp68q8t+G}Pjt{lM=4HUl4-1J2eQhT# zHB1dh>Pi1EyG{pJ`N0EU@>)Bs}_FWaL~I2 zs&}6TY#$uAGwKePzYl50U;u8=%nd2B1hI%%?B(CBqO zYbdHbyvz}Z5IvkOlk6GfjrV}e6Y!rYkk=P8AHqEyrnHm2K`3j@>YppmM5uq6SAj~G zX*Iwy{+rsvA5kq-xkI^(CW&3HRvn;3@x=q%+gy_Z`0rZh4b-IQQ< z@jbga^}K23BCh)9;>BW@dSSTbX);xB|dE42@TzqEe_j%bQt63e|rSI?i|@1 zx$s$mr-_?A3DQ8!9yp_3WJTs;DYF7>+`7c8D70RnH$v@O`1V|*zqtlLa`l@`jH!V4-Qi)Nc@W8BLi;D63%j3!T_QBbaFv&lIuqTh`f{ z4G-?ItYwVmqDE$@o()`FF@2W&*og#z+#`SgKW$uRWccv?Pm!_zLx}wkf6C3+bXZ9U z0YnI@^D{Gp8ZGShiYWq^ti}gsclEl;1Z_2I{&;T*koCWvnp-oFO^Yuq3U&C zdmsO5zt{>`kRa%J_|USNe(64W!w(Y9rYvZC{;Lz@o_NSp-$`>KD#w97DST`xskX}rU1K-7`2Il}3;tsk8aLSC2=>N|qov0|K<>>ME?}7ePmsf?h!3^N>zd>=b&yQsL zYhL=iaPQMah@BYhY#JXqgt5P40U@CbjZZlW#;4~@`KJ&koeM}xe`msJ)`S6muv8FH zhRJCt3L|pIZ$-RZV3Z6PjKMtGkaPwG*FCWm3A6EeW##C;J0B7$unppm2Vs!cdNP}} z1p0wu5*)Q1Ws5491zax0>B_N5j(tE?^30xwcS}@%+}fr2eXA*mv`HQ3?6E!;287=D zTw=8UXXGemu>}R1V9(M+2ggG>yzkJ!Yc9LJ#dg|%@L@L{#yS>(G-U^KKVG+tVDKkDqTC+1>0i0yNR|M$+o3OMi?$hL%wb z-wV|Kb`U=sZn5|^m}o*DBAzHHBfapj)aC%)bWp>YUJvs^_b6#WaLt^kv+?oQq_Vop ze-DUT35+R8tpVv>$up6Dk4}#X6zaMjns`{Fd!$^x@-_ln2wt{dW3;o3IG(wrj$)Vn zc4Ue_WoDy0qnR>YoM`IVzs^{&7{VM{C1db|0y#apGvV61YXb(dr*1*dqJ(r*DZxOiA96OA=|gRiVqC1{w7^f zyK*-Pe26Ro-|h|UUgt!(6hV?c0*4PzQXFmlCrLc6v=J3;;KwWT&xe~IOqLJj3k!rs zd|-6AgG=sx=Hym;Hzc5qb*g-WuACzd=`yu@dPQC_e^r#U26i)-&m%#=Ww4pS!1`vf zkpU7>!%^F33u!_qlmZj8M}ava2a$X*eTuwzcs-BJn-?H0j`MXOLS$}5&?y$Q4_{Rf z#EPO7hP890t&NkcfLr8dCzr4(BX;7VH{^AU1gCn_a|BN8dOQiVYF-y%l-q|LaN}nO z*1pb}qXz|rB?82o^2>jMxQN@P?C<{ws))>AieCjk6zLYB6*?V!3@Dj2{w7>%m7@!f zZHbmM8_Qh7pgsg}3TJ@9p6iC2UN`ub4Jw^Uon%*r2g&~j$eqZW;C z$@)9a8t98^()8h(E^E*d1occ)?$+NorjQf~OkL*))qR$#h~4q#%RkLwBvPO(0!w=4 z`hP@L<}K|Z?H3$#W<8n*^mfME_awRZo59+1Gj@d4o~5ML=24MPV{AiwmoPz248HxA zctY_e4l(iD#6~Z|q1+WyjK&Iw77QFnJ>TYm=$IQS{qi3lN{_U~rag4Xutv9~*>%m> zNeSE2?(Kfi6uhbQ_uD7fYSGPy(C`HQfl8qC&ux#|Z48w)w^7{?2?(U-071;QIE>6C z%|A_|xivFP7RG3i>a&P^vn=f&`^5m{p(T7sz11XjCuv}!(gGL(^~AbS9(wV zVJY%qI*Y3(iJ*Wba{7s~nY(f*W z|Ax4`JMaNaW@-%dasEt(%^&i>FcV=*-tmB>FXEeZS_PDE+}ot;w&k?e_hS`8;@Pfd2k1k(~R$V0D9+J!oJ#yPZD4e9b2F|fZ zR^NI&8)8^M-D?U_la}l_i#kctc%DUZnuE;Ve+Z`ZRj@8QPOvFNAovr)hr zL1&N^Gz%|^I+Vc(%M@M%OX!Lv59LpRU!x00vB}5#IwDCBD?oI#TAF#a01knj*!>f1 zDeP$kH_GJruPGj9v2Y`eP^pX|uty-iM+T#hB zqDE_AHdY*_h_r=0mc$bxAXGpaDj+$`J%mc|yzri&oF$SK{8aihjIN&2JYW>&+(kI( zSpgPu4q%NauB0VTrkVQxm~~1e_bjSX;MjgaB93n$B7OfWF1h19{?gUdD%Zw_;h?ql z9Bdmh1}=b%e5OPoLDDm#YCA|VLOj;=MB$}gzOCP+zP!#35BTV=ze!5T;y5s5iI47QIZhJc&kjwu01ODbO#zDHmw*1=y9mXZc znN&A!mVk}4Q;1k3kB_v;7Yjd2#rKBAv2~0T^JT<*b-4(!f${~~pD3N=e(}(XVO2&8 zT@~d~|KLOCXNTc>l~vGsKbolc4HH;)F6Ul>oYa)pSU{(-p=!VyEoSdudEA|qGNj*= zh6inp*NR^D-i^a>N!LO7J%kRt&&%JYOuiW#6Sm5l>i$+1 z)5bx0K`ej*xuRnoO^QCRfp!I)s{_rklsa9*A!Ptl0K&ADoAH&(9a=4plNpKK0? z3je25KYNMZ|NaF1CJw1Sp*~`Q0^n2RV3ad5OoPVdVV^O1lNJUQ)?2Uunu@wC$v^ap z{ONR$oDm%+Dci=EDNc=J*}VxYf4l|3g&~g@b^^)sg+ElyMEQD+(b}_kFj~s^h1;{; zc<9ZqpsA8EODyn;NA4;wiP*wDxY3Y>k);hnWs^gdbflOBKcl=2%9D%oGg~u=ACHLz zLEub1HE6vhk~bECqWBM00=&#B47a}~b<{0uQPCZA*pB4!dD5k?1jEHjcCWo$v_lf@ z>>Jh!KZ9R_GU(GCdU{<&*8ho9h-&GSNx$7oj=Nk8G7^KTbFcojkMB> z+b|Qh*e@}O-O;gq9d*PliW$N0QaShbUPsjWjks-MgCM%$=N3EKC5aG0U-b^El9#*t zX2s*ti9XC`CH_QO#|5sxTu0l~EA|Y}f{fL~^IRFvix=2)jkN}vaSrAaEYNo25NcZ_Qy@wWeauW(Yx1y;J&*!q2mHU zDM<|Bd|CmwTTv5DwZPeiZ+$6*W44i%Z3n|oF4?yw(7AjL@K;JQPy?ZWR#)t>vi3-I z7h&O6DB(1jYO7SYt)djuCm?6vS+4Ku;6kuzKMTe--uw4GEWiYfHIXtN$L&m~%^SN1 zj-lAh&w|CdiP>XvOx?!MrAjZQd#1{=UB>p{Qd}?JZR%ZRkB56$vifRgsy_o^rldtJ zUsVxfA2?l~P}bjWJ|A$BIos#hEC!o*(b{k*-PBTa^c6PQpdXw|GbuX;U5ke71G>n^ zX0|`mkaF3fU-bfEZhJ0ZcAXq_5sGwQmi7=I5Q1KBN>1XKj_5T&ouBbJ8F^k*{cn5C zxQM{71r`FDM?lFYxYSW>59V{%4D`Yr(ny|}WREZIwIL#LD-pmm4})cmK9 z)N=G-2=^i2v}Yr=%$^j%%`cNFFfInyo9(hc{zGttniF(e%6VZwV7Frh0o)*Z*Jx8LG%uhrdE{SdPyQlg+{H)M6VD0B` zZ~f{p1>76;0wq9*#>21w(sGRrC?{h;FS^bPY-l49o;f98nOiQL5wXqx;!G!xN5AM9 zi44-cO>lC#B1hoV+s)F$;UrnX+?01b*oc&GWNHwT3>_znHn>&Xr@cNSQ}$NXc7#xW z@jLU@eK=BCPC+9j5@Un1brsMF}0t^ePIMLt@dPv5v{|LH$_P7-CD=*O+VvCpA zVqYJ93{h&u!|+DtOF zeickC2|yAScrU3$t|n_TL)ZPa$QHMWRr@)7IqcC2B4orvn$5+9*JQav8Gm0Bfjrs6 z|6;jv7{tItHWgNwy8`kE!UAhK|0D5%n8AY&4Zps;xk|n3Bc`#j>j{TCDa_7K$R68AankGBhIk zm?1z1;ifjRcrgqQ?H66k*!TTU&*e-wzCd-ZYh^K}ac~%m z&+q}hJMql>c22`p#CBXcOA=#`KVa=0K1k-bB3yPTra05`&Z)On1$ual34#D_?jKHP zUDZI6=Et@HA4Yk{5}UGintlcDsV3Uc(O^~lJ)-u1j41CsTG#@3v{!g`N% z0jpY5d|g2;RZ7SyK{X>N3gvC7q>2TT`arEE72e0#Mg>Dap1^Au_NV7z@j5t zT#_$2C_P_IH(qB-GStuGPZO7kX{00A1`b?xUptvvg6>y|mE;mHJiQ2xKnnfW-Mn27 zgIo9;iXRVk+i;A~-v&?1J}qMbwZnwSLlTY^!vG@a*Ai9 zaZvFd>j<x#KSQ-5M{lPE5kP{N8naSVJIzjxkoXjEfmAu(5@wYh&@GM$@o&JtrhwHT*Qz*7Vi`6dGx&lpi>C@; zSX1%alVAo8pZu9(F$vE8vcTsJ@iU~(KkL&}4Bg(LZdngl)A*zn$*30tA$D@KY7;P1+urez9yTc<)7lx^{+evWeA-8hx!b5Mw|wwCl*fSlB8^d)=q(+mp?`&}w^HlNkEkK#efXW)u|dolx9r}a>?=|IXf&#ko6 z>IqkbKzs|}+NM4dR6&bbkxcAYxhr&+J^#Q+z=3|NH(g3r<*YttP3SRu%E6AXUw;1N zl*`p3QoyPItw^;$4n2{hcT3?+o|WPYZ;#$T6O~)FFk5^bYB3sR$ckF+!k3n$ums*s zHK>2+wtnQDZhst4=kx^8OeyN6jN#5RBqZ-f^}8fvMay%u&Q5%EJgpzwp`Xb{{zhqg zCxLTaG&S=-Y3)CwI9TT5j{Xo&+(vp_@ceS*#@({xwN zYTnzibu=qb3TO|IFp-SS9|*BBRMki)Kx5DHUxw^de&qmWc#EkBF&u6?>E!-s8i`H5 zzdI%(t7d$g^DmFbur!w;ruFq|x}ZSMAj&R_gRz=lzX0f=XRg`qp(5YO`8U{_7fhKc z%-JptIQMPesbk2~Uu%iBZ?jrIf(^^A+q{PO(=zTaGVf5s3p*C=>cn9^10YICs_l~> zpC@V^$$uEiZo}@~Z`n-uf=_a#*%Agx$rKusr7FeWbskGwJ0G%P$az&_Mx-mq#Us__ zs?8;=B75a0N)i3I5||=YEAzV@c^y$w(@r!qOQ{-1e3sypa?6+b6&4%U(fjW^K7=)Q zmp3^PX9IeKnzU|a0!t$gud1UG0T-U-mkKqq~(qyH%jk=`Y2AExv$l^ zcDWo3$xOZHz>2)7>1Qp}1nNCWN2L^>KMZlr4USVJ#z+oYA$RNn9P^0nqIzzeB2Rknf-IIpuk`W$sVok^xTg;lX_a1<6#UKd>aha%WuQU3SX8jsv zH0d)%*dRMU5h%_wxn@iF#LVmja-OTjsHAfT9H@&PQ7k39_@7V;x}JmY72UN^HMUSq z>t1{s>nO@4;N;Pm0__zyrY39F(-bb+^|{tVe>yT~dm*jzoC5B0qZ zMB60+V(j$=*YOJ%>>(jYD3YU|D*X@$cvkzBhJ)1w@tLaq{cbBEnTj)kgOlbbWh6|* z^muUet}pz)XlbKvYS}i3jen}&wUlYA@asuH(o_qBN9VJ>gpL! zknuBG9~M(}w(}G?C(1H$m{rH)8zQlE=zKR9$n2}rW7E|e3XQYCXN6m5=uX^KBv9rr zCKxy2wKWadgRYagyFA8N7thHqa%31t5=-xGcW@U)`{*juhSfQqCQkP^GL!B5R0>nX zEGd7yc$XVb>O09V4TaYbJs&vn1m+CGX+Jc!o%JbQ>T*Hsi=@H}n^h5~fT(Mbq3P0> zs#hvGnjh!E9S9^ujn^>;vzrKEKvM+=ngrk;bIydG$uY{Sb5Dmy-hp>=gh^$|B0tGf z)LWa@3Tf(*&_vphqD2J44UNars14Dt7&G8m!24jkD4}D&jg~bxh2%Q-@4$dZk5Q5~ z99!LB#2vxrUjF1qF%*B~TN1v$bGTS7_b4m^rJ)Ro>be)G#{NWk&VR(j49Pzsxf!hibyGOj=u*LdWq5X z?vSF8gHA~u!E#&RS%npk7!WsJ-4pK{Tx_Puc1}bk96qQm`>ZFxzo3;Eu%^Erl)U0U zU3wJR;9~GHrncQAV@T-X+7CXHqKZO1t6JZUK_@0rli$lBfebG>`_P-Hk7VoO9d#MKfy3;5GH(IjFb@m8?LnloWx*4|k@#{4M%1f|=Dz zI*wm0Zpfw1k^$R}R?{?Dg#(w4;0^F`169q;C|359AZ#(``I{Y^Li%H)Snvdy!sK+} z#^O&BK4qHvqC?!ur~85~sO{0ch+Fr~D{MShI!w(Ko?nLDzBz|qEs_61M!&buGdx2! zM%14fhR=0;hg-Owi;6MJ{E7?$RgX zWZ{a80=HYAXq@wl*<0M4cmhaUj(tcCnF|S4C6Me7!C{%K{cJ8iQA$}JtdbIBW5?d3 z*ql%l7RgYU`l0iyzZF$pM9)Kx6KG3`Jz29F7oANhT(LsJlg7m9Tf>10#Gf zYR~bplJowzZXtU$+M3@I%vYEu<1q#ZJZ*3F#!30QJFE@X5B(c+oEqjVhgOyue5(Z9 zX(u&d)XvJBccsp=iOLk(3l11O2?KlP{KU(_JWNGokItgHY``ztq9xU5#jQI1 zUSBLulcj$ZJ==M$6k_wh*ZI3@_1-0|eT2=DMBPK+(E@@lJ~u6|a+}WR%*~s=_YYvw zf6Q=y3tzaZnwtVzfg@lHNsT$O3X-y+iNf(86o_pmtZEVFS*4tzr5K4^gEDkmnue@+oOp9 z48`@|VtG#bWG*$WxDFZSdA1-DP$Y9fA09QGjLt=*DNz9%9`9xBf+k&FoU0{11O3nv zzx1EFJq<}EJdJO51w_`ab&TTMqC<2OCn1t%c|mqb(>VepL+2CCk0EW zXdCD~I#{Omy0#=xyhy0i%%QW3#^D#sHmg?nMw?@s?m2is{IM47bWaVu7-}7q@BTFu zfon%|qihfZKh3+rAoV0$ShD=K zH==6l5AZbq!E3<#$$*;yE(g|jF+x@>6p8=pYbOX0BXKkv<>3N1{DC{<%;;jiqBL&W zGF|aZDc1tJc`$SqKb}kBxr(U(NDt3_GgWAN8Q1|IIGCyl{eE^GU8jElEVzX!Z3PRX z^I$Tw zg~-W@{QI<*7I~Fxi5^mGzaA(azaViD17*-`u9Y7T-d_3K4t8|Ti`b&m_dhe$Ms7g9 zMTY&?F4A_R&dgFxF;BamHSS8!Q-g292P^spr7q@d6~FqW5U+b$PHPyCSU$s~Ofx@o zeO9)qu|DMGXBOLviuypM>iO_{IW$G_kW^r=TgUGSCfor^-HolaZ&AD+i$bnx^( z-&ynmN5~W`{%zi>)11f#PgQ6~25oABXw>dt3W0h*I&}}H<0o94d!4ZPxK_TKTsD&j zUmGyH%2NBh)Rm%(Y)#k8wK+s{8h1j(gB*ESECW<|wj&R;O+TLv)fnzX*z>`15ea~^niSA5}Z{)Y9dhEt)-s`n2Qh%LT?ny)4Gh631Fb#4Z7G7f)%fx zELoIISnpt?P!i!#z&V)zFuM{D+Ylp_xc+UsxpA!*k1K(KsMka3P32Ef2fjo%Wc zVV(PuHqeqVJ-aavW4I>Dx1XJ~w^dGm!D~&&-A6st%V{7*=XyhVw8%#qT#@~WyP#q9Knx^zn_VIcS(RDI5 zz~T=I(~es~j13#ZhHFHcgTl3a<#gNeTZJH#Y1Na9jDxg9?@r3Az5$EFv{L)-Mr6PF z@M^OAQRN@b_SY+W0nugv;w^&o3zM3IBy>2$Jg2I}7$f=tgtp7hCdv+U>Sf?RbxP9S zY2z{pL?~!N4RukQ=O|Eqc2h0f90g0Jh#nVQSWJrv}|_Cn&j~vuve<8|82*RB+>}Nm4?ED+2P#3poHMwe)Kie4rF+8 zn@1rj|MN`FL)ORjG;>*$b7!c`JB_EPS6?r1?xhwB zU3H@#5{$3Kykr9E!FFG;y51^tpdCh$Zo{1loT6wy@ft65`&@C{MZ2L}`0qPKuILNf zy_HfETBRnJq^!XK701Yew2x|-gV9zBqQR0VyYf8>3mXOtO(DKGGGwS)$a8@a0|0dU zAjx_|<+J?rt5%r2BO7Bd^KAieXxw-W+yO644NlFD$p=rO9cgzS9DK!shs6zKC7Ig; z#EQ+A)9gkZsTCcJZBmFv-RiULDo8A%tYfravnRO++Ho1?gPxY@-2?xKE8f?WN~WXU zk`sldwqc*m^Wq5nHJZ`QSi?xB7g*RDQuU`FuO~HO0Q<~0*~RYF$YA&F-w$+y`yE-0 zX<~f%pQmmHF+tDk{Y2t0SWB+bl#o@!-(lPKGa{r?O<&xR`Pi0pft($){CoTSeR}hr zQ)}5{dq`C48Lex;8T(tV$6t-|H8uZ_A?P@wA>Nw+isyy9`FmJXxnP(mLYXzPz~;41 z(8!JgLn}0ts@-J~OnE#V=jKNpbR*T!uBm+FuBl>aBVY=-*Lt={6rI3Q)`Ka?9!Tbo z;NvkR+{wF;p5CD0a{@VPOO4YG4LA5S1~{eW2K|ihOnCdnY5vv?qy&$FyStG^hJvZR zJ^zg$fq3I2Ou|3Gx1s2rWe#!&f>U~oq;7!sfVq?RhV3{tXuoiaAF^!O8{id9vTf^J z`v!a;r?}dfG_gQwEYZ7ev4~l#)-k5DD>EZ^G-F2StA?sG-&3igFnuJaOi`$j9!DgK zH&;X3OQ5uS*Ui2GRFatqi8wFhZP;J4cedZ!S7P)Oi;B}r)KF=?d=Ge9e`RW6@5+J6 zmQh+ltAAYn;5t=3%RL#<3JqdHg2OED{(9Iv7Xv?G1p*sq2#7MFaapgo_=Y-i_q z`L6tekrN7G;tE$iCOPfSduK*!4MAXhjMV^8YhjZMR0Y*z>#)%?-y&U*BTCeU^Zp5w zazcuOX_uBm?w`YOW%%UmU@(MKTjmi#=M2on=asvhD7?TRIyq#-!+1B;~znE<9G!FtZv zPhc@JZ<=qpo3An$tbQ2JFxFWi^B1I$$yqNiVjajO>7_Bos$yi@kv3>9JIjdV74}sH! zaJM1f40UlC5HktI{nag6;2|XlR;C}fG>g@GStMueCo0YMmKlLM4k9^>0e>>m$D3I; zx2%`LRCw(+J3I&Os$+)g2{}-T2?*skp1CWZ-^<4upPNq3IY2o1p8P;v9_K8%O{l_k zlX!KBw4+4VR%UBweGyM$;@UJSA!66>PN7&=u2crwzdVNLCv7Y)B>`udA(9 z?=?VI@1Nt-Q++@&KEMln9WBqlGb;bYc$HsCvhWMN#j+tv7mt>fbS&Y8K^&?<9^eb| zjetR8(*TBXY0R8z$_u;pSC}}j4I!T&87K31#4ft`o8hjgS z{S^L>UG-RWsAR{l{&d>sUxbBnm5c+Dq{u>ux%hMNO7a~($yte=lf|;Pyqd08q`rrc zN=}M+Y#}N|T$CaoA3xh7F@gwn8@M@C7OF0L_XStIdT&KS=Ldiyqet&iU-NW^GBrjZ?5Pr7(ad|yCp99 zj~po!g7fHfNQ#bQ#q8u_mnn-R?;rL>u8r(z7AblC|J+QzE2O}sWuCLi?53{S8GcE% z!YsZVC;BKXRGU%HP@N<`Qq}}vg3j&Cicfvy4u7Tz9j_>H0yw>6-CLU_g(x>^#%RYI z;U`EI!SOlaLq|a~IX|-uirN5#Em6}`>_|M6C!mM4m|NKAZYZMB$FsFHzK0AE0w;-txP7GT&(2Q((nZ(Tp+hu~GNIbR=KlQCpOrSCt8KVNDQ9>7Dz79! zMJ@hkPg|8prJED}cnHP!aDE*y2Dk3mU?0WjkjR0(MpjzpW#{QPP+w8`+?QM35#y?N zOORj=eBFXA1-xki>Gt*-n<$LEIFR-&R?v_qId7l!c~jqF;WrfwysZym$qY;(lgGRG zpQ$(sgY1oEcQq1B?+394`o6CsOnPL+b~PL&CCHs=ZstUWUHG>zFHO^1sDqocv2Aj( zSCQV5YJdT!CPthO`le6uo?=cxVX))ITLsmC&oj@ca;+e9QEZU)Xf|-hs_*bhO7s|- z8j^|Zf)usE;_;qG*1tx}KM%>ZG6IM4)KVtPK%rRq(ReQnAG-M3Our7pI3!z`Q$KgR z%F8tN_=)1Nx?G9=J^x|0r5$AaCs)YJqwg;7*gnbZL>gNh5wR6WW6Cw1L1+()z=qyl((pvqLIgR6^$ZVlknCtKENL`8Tu26AG{O zJ>(NxzSCRJSt|yTz5wRP--NeV_5M8Wb%XthsFuNhJ|98`=NZ+MHzT1DJ~$yLP_ep2 zf*#12f^XX>4d50Ifw*`$;b3twa_dKjP9jgo%n!>3|C^xvJ9u2y7LUHY|EnZ%Uu+5| z_;WdtC5%VbOw#j-GN;vXXbC_qgk-_;L4RA9Tv&+*b$z~o-3)wx&|4nA}a%L#v2&G=z^v$l1gEv8HGOwY=TLu0Y4j)V8xb%0(l5 zbi_3(I>r6$5juybh`bG`_8kgCqr?jA?aX)HdnEHZ13(Uu5LesE&c1dw7#hWX5yl$^ zdkC*Ao+e{ch(ZxmOt@%YsdkoqMxYHal~1)}my>QU|}I1s6b=2ez3!EDCe^ zNE>hdp&ZlKT7j?qcS-!5FStURx3aGJ%FK<044NiynLrvrZZuCT62!_PEsV>cmV4Mh zDibRFw1rXjPeEg%+Yo67ct7#um;yl1S=yoP6eWj6S~3i(SK%g+REQCRn;A!4kzd4c zsaPJ6lt7Vh+w?YJ1aDO9OCN(Wuz@R@G{Q9p9-Y!1OWCK9iZf8>4QU0V(-Xtz^?0^T zUxrDI>g4XnJWU^wI8kj_o5s~Dg0Z+>)$!Qaz~3Th#r=4csDr3UL;SRC-iE#{yxP0m zx8bC2hh59DWe+L0UD`g4OoOBeTww4-lv)OSuF<|kC4Ys!g#a(tLL3o6V%Z2jg|(q^=m z?W$VCBl>OT=m=~6hy{&wgT}xAPzUI+8=f8yG968(%h%0XB)Rw2=-F%$YKFGw!m)9VL&N-`cv+%KKpLPTrOn|VjRDluibYHDf5j2Vc=Fxf5A*$0ZR+92OZX1LbimW}&pb>r4Va8uOTH1t|?jdeHAc&moipczO%#Zla4BX;AvG z(RM7`@vV}B_Z)pdY!%5yi-tv_avPt^I6vk9ZRPX+!RDVz&8*uvHJ_(3kkoOT5SbKR z(R7Fqw6iV+^kDBRY7m*7TFtiqu(^~w#vMDrpn}zGBZ<2vpPi}DVrWCf()R~6q z$LB-F`xFOYw*Pnr%Il%M{-LscKfn1yfmjnvnYJf;oq)xhJ>fmmd{?ayRG7|Ms#`?x ztr0ue-8;On)5o|vvNEKqDN*CrTb%F6GyL1;TiHf3MJ4D+BpAk@E=WxLXe9-ayvxc; zRjsgN3_%(QedDw$!c`mIQI$1B!9m9ffSA$4hLX6)n$6!S_n~ND2$ZRAPqu(r0E4P) z-WN+wzx33K!v26ozYYz0f0Tj2Gd-I`dwKHw{l#c8HF5)xiY{H}#=nJK&l0BG2hyLT z=`d;8iszGpQ{=^&SL*rEra-i(hj${F+fN~&aoxTAm0*|1kNdjCyyw(?dl4QibTIr` z{YTCczXxWU`3QpOM?WBM$>O=KQ9VDzyf`6V5@F_R9P^GRAtjA@e@gOML5q4@9dC#V z9u`@ivF2||$8@Y|$(VWM@G*vL)cqB1!w`}LSb5Rh_@d#v5(HuZ@V~9A+_oN8nhJ%W z;Mq#sRBre_zRxubWwGBs5cmiIK@huiTU_dKZyWvwR}q-9s#>#+2&{p08bsa?K-(wM z`@p^-?@0}1=U*7oJG#(7B)itPDU8^xH}oQe;Mrcq^@?VkyqSOo>e0AgUuk;>dfCzu z1!yCD|NGZShmJ7RxC`6V>kQdiG-5)%+-Jd@*n~~NZM4!rVWuoB_{%xEaQ z9!TQWZq>cS&S zu2d>Gt~hlVE%(lpGLsyXLNXco^lyB+9{YNjTX~n>%HAd=P)bUak;Dtk8O>eJ>+mD- zvJSHHM&jD%ufUl}+bAS)%rL;z;{Bb0@o6swKi8&OurABT&}f6<>(e%8xA$sYc-EW= z$e(5APsCpr%b!D=K}7f-bj;Dr?%1@NKwX-)c)j{cHS+y{Ei?Mb}-A)fus?l*SW4;Gn4j^Kf0gBblW- z#l5IAq^s)2o%gm$*{`i#SB*(GHu=85q|FTbrOsxzzi?u2r4jAF!_qoUsb-9x2sGu) z$t%!t`u+lQh>m0k8A%A69~?ya^aMtUl?JTXp&i7wi)n4yQhAv?q0B0O$3Yum&COEZ z73K!S9id>>>0^$K>OsN`80jIm+n8?320g&K2lODkUwjD&8YivzJE(}QIdt;h(Ucjb zJ!sqgM$Mq4Nq=OsoeRNjS7%7k8XzCV?#@bse!nc;GiKHlctkS;L8I_+(#6WdAf<6o zg_)De`$=cf7WYNJm)m1>Puu(?D$|?QeEH4#J5i~QJ1DFvN>0Z{G_{}^k)3s~Ze;Ig z{H5KdiXt16M8ytOxLF&Ez$#acx-n(vL2DmLUgAStN-g*+;nWE$f2l;Xzfb5XIPB$r zrPB61Z)$bIyqpRmY2BHbi*=2)ONhvR8RQQT9dyGarnY8*{NcHr@=WR!m^EB8tW{^3q+E)McH7AV(JiJfTNW=_&MNEG=7X4P2Y!Uw3 zj~Y~@^=%2_BcP&I8L>tH%5K?qI40{7tjS?T7kp11LlGFy{-j%ropn@HP5;1`Zk7&7>F#c17kH$W5LiNLX=#>5q+4PM1!)!p z1w!!pBE~GJ91D%K!^->!X2dv_vo z)O+bRUSDnK=nMt%ngLSdCLRZ6*kqI%tvzh&Xkubj^)gRwppMwSu9m`Z$OBsOqETcU zWp)r{FQUY?d%1AQ@DrHkh z<@Wc!QBe^QtGj)pj8*~yyf1(sIe{`NQA>lV;#$$s zZvhGmGTssbd950DPi2*@0#H<<_hmh#0U(9c!id+2OFK;I5caaY7Oa(Xc{0Rwp|>qX zx1awD5=_AesL@q>j)bVF9|2~9Ky(xL?6`eRuM#4?T??mUsPiBzAz*4gJIvx3;~%o1 z^!(7k0FBP~+~?0?e;X6rs66%0a;O!gmn#jc&_sl(iZbjONQ>=MW>-fPHjqR2sgqrK ze>}B1%7koSnH-F^d%L<|uq9m&5aUr9pOeJ)i;xfMYWB;DOcXgLF^j}^n_IXk^=9ng{GGFkx|7*`uJRqHu>1(36-+@I;qJYZDJk1JVy zf%+N(jhm##az^ISPvhd7o}mH}7;9pI?|{(e{>H4T_+ zNa?0`1CRi(s+DYn!3$}tQ{^AYrycu7=Am-PEX_@fsbz414FiPA-N7%IX?=bJbHwfn z1^%?QSf}eE`*ZpA^m0L(Wylam;um+09>z_Th@+Lw6uUFazR3g7&2+7tp=t5LBmS)h zV#zB70=I9B_R~a8_+OYr;K=1YGnc$Vxm{2lb^?&kZA{hEzU~rfI5wTs4v~nZ) zhSX~DM|y&bg%F%MZJMm9P2`Y@R|y_#v`|A`V?;>g12$vxmAxscx&zEr-pVQe1{AR>6W-e+Df$!fD0NrrP5^(nzot@~ZDIq`Ho;0fRAF?aJWvl+pw>RUKIIHZ*1yUcHYYcCB`j$THuQ))p?`kgqnK&dC}Q zqziptA|f0=n20S!7!%z3VkhND#QXc^cQ|7Y?k5-cFSLxsvc48~%~R#Uxj9bLgT?M~ zq1KE*9H~!j%2Bq`ZrT!V>!2}aWR1e>$i84-(SQ)QZUt!>gAEj_01vz!MvrNe-_Wz4 zV!7Gi3h51tF`R10YQ(cGP98&&w(ThtizHd*bg305_B+-wS=D9H> z2+|v@dO7rlllJPNGNJG2uLro^p_P9N(qr0+J0Hu8qiF-J6tzhLm%E`4D)M52Xp;H0 z*iYVZKScd}G`$3xiP&zSS`OqpvD2^F-8ECFsLUIE+*H&2^(>E@SdX3-$Ml-}b6bO0 z-5TF%U3Q)wl37T64`GfgFd@`a!8cDAbj6P|u)841)*Ko-FHFcq6bxQ97w;?3&~5twk*+zJT*eA5KUSLdW9x#C@|Uv0 zT_4hMW9f)k1UHMq^vPK9XD_Bl3D`(rrh@A~6BQ?cTtoa??YCWN2hO)gj$t2)|j$&Ry7aIx1 z>fb!HlGyI)V@23)l0?57E%$}Galfj%V4H)pAH@2k6D#iuDzviK7={$%xw8-OuYFMc z=`r0a%<-f~?j-|B8kXS^kDC#d`Y}7-Dm<*cVis${i;{~lbaW@>f!Zu@l>1z;U$*eC zNLrW35xvC#Q%6Z7?~h#(#-wMT(V~9MCedUgw4Me9y?XWrMIN*D>9 zL@^lckL-JQK-}^0FqF}K=E96yWUh;}GSpZ_(z;gEBfp;s{9B zT@EMp#|zU`-?2PSibzpoDl2r1vq-jIt;lXx4j7BbfX7FEDmBf-9C8V>!#3NF7A!TC zJem|tPQZk-$t>I|9ocaiu0)_FpIxw$!5{VQ3A(T7m`P!};R8FhGfp`T%T#?G15W)P z5z+>D4aoT|GP|dbISOKImK~ZYs4c_b%je8em$haD@8ag1AOQLV^0_|J#D?%?68>e2 ze*(8OwzioTCG$>CXi1h{3@BE79q4ZrgtOqbMko7tqpBJa3)Y-`8anAckrg1Hl}P(^ zw0lX)zjC9Qe9+n&VNy}m=rOvu|3gUhYVHy^6^8weVvI9-gZ}p;h=yO|-WJs~^=ep) z3TUj^mkob{Q1rPmTmh~qZff-QF0Y}rujkvlkJ?F|(U4}|`sQ(YlitF=*=CrbUh7wE_) zWx7^4Rk*lXX5@Mkx2I50728Ip@br~pbaDD!3s7R-U`yd?z{?gdzXZv^+r>RXK(==J z%YhJP=z_sXmLqbvLAiN#V9`cjq0;IoLPUNDXkKmNIO0K}0$4k7k|b-{j92)~Eg|uV zBhGabj!!V4@TN^zonCr9Sbw(9f_nNTwq<~4-1nUBX{l|zx)rsTyF-`rSwz-uMugdh zg2Yv`1NK6%-Nu~KZr#wS*3G~8inc`yl3GsXlvKadkLY^kh7HH2e?ZDS-ve%jd3t!V zj$Ibbn?>VUtfE~GR(=Wsr#Uzg1=VNEv!B^AfTE^HlvAAbH*m*_OGUbridG3T_aFJs zSp)rI2B^5#+UhsVFC=}=By_o!&KYTFe;o+~Tky*m5?eC3<9i+p7-?Zclf)`mVMJuh zly5txI)38W%>K6J-rO--z_4tCkrg=?et8cXe0ia`Kp&ZR+^T{4?6u;<8WOXBDuEP@ zg%LTb+hzIQyEB1XM*O+<1w7vYK%65WL~SLd{gigxiW=s?`fb4eiO!tlX|?mYBuq(v z1G|;ftyrUX7wm$nr_&=qpPC4*gxJpa^;F?et0Pkx`$}ScTFJs;Lie& z2eKyvfiK!-nya;%;@KYT54kPD0Rk^_mOvT$$!?6#vII50Zh7=fbW?n!?NadwE;T)d z#i{vYkL{X?_(mNaisbs5GqDRs!g1a`VBocEQ!MlaIK`?FLA!T;&|%M zd5nz8$4FA+V(N*l^lNVcMcJqGT_Df)N6Cu8w1qzmpx+U^5tmM4LlpGpLuE;%rQN>O$S6{u7}_6_xFL?}5~gU;g8p zYD%(tafjgD3os`zpKiNk4n{pQGeSAz?*0+XS@z!w8tJdk9qv>)pKdGVUkVyCduKB% z7iUjvA5T6Xm=(7sZ!|wg55FoeSBc&LFV7EkUTxRoeedI!Kv#l;Bk$;w6YrNmH+*+* z0&M}I0bv0FNR%eldN)58r~bf??+N^zk8hV`acPFHYxZ?(#w{;%byuab3AsGNvs@TyVn*?q(mKmTMx0RXCQEAa0jdw9Yv z`MjPaDmtPH5{2(xaMNe&ZIwGB?U0c}2=OGQaGmyg>KSt}X!85fpjjAsVLHy?L5nYf z3`6djKYYUwE9HCV_u*$oeEZ01p>&+FqMrS7(c130O6&feUZMVv`K`8PGWGUf$m3J9 zY%WV71vPvM?#OO!$k<%&!V_?oEigzl&xWDRyiAk4hjt?P!?<47hdN5y_g`*pH*xVc z2XScu=AeUFb89BeiAvo``gx~Su9nVD92RS>BU#_Xf07@wtbda1kFYWUS<}VVM|RR+ z`UjE_h%bCoenV-=w}Qx$LR6~;A^I_?5r>~K zY@;SG4uV$;&iINehI6vjEYbacH^e!}+oV<|)qUH#ou_E%WVeCC2K0KE>K+$|1dHE> zQVkUVAo<^L+QFW|leHb&1<1l@54ri|GzKiQq9)nhtg%DBL~m^;i;O>ri!Lmwx_NGo zHAHxllKJK^%b!$;w!P;mckuxt(nl_n@N0n&q1j_@4~EuB7%@*xgsBsUxe7)DO?Q+% zE3G&A`(Y6cLnuTSoO2;Q{cLueJ8p5E#mHF<#|qYTK+d7-<9Uo)Y7Sr+^Ysaapuo<1 zEr{{=<`Bw^hnH{E)~~sIR1Ge+`CwhliN{kuc+6`zs6%NjnC%B~KJmsN!1<4n13~u* z_w=lk;@Yc&5&k@n-s-Zs zd}>t8GaL3s$%5*k{7M~J*?9$3dD}ZE_rq!PruaBaZZVwi6{2klpIw5Qb|hX6?>BpN zS92InPijdHmxVk;4dphZe*)dbbik2)8|ax^D;3slpzq4^{`i z(0|wj0st&lQU6b6b@%DN(3jhry|ag>nY)Vppqkg>^^XmD=FVze%6lqkjH7toJk6 z9rSOP&WJx<-pgnA8@ZoT{xtG1<*!Em%`o@j`!nbd?3(&N@a=qps9|8p*QQ!U| z<7of@9{?=krY59p2ys^O))7`yRd*40^nj@gz-_=@TK2-u)?QwU&s3CrCH|3ghKb1j E2cg)|`v3p{ diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci index de07a1e..d793748 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci +++ b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xci @@ -172,7 +172,7 @@ false 9 NONE - ../../../../../../TEMU/mips_sc/build/add_inst.coe + c:/Users/13428/Desktop/add_inst.coe ALL inst_rom false diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xml b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xml index 24a63d2..f2c8b22 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xml +++ b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom.xml @@ -1457,11 +1457,11 @@ GENtimestamp - Fri Oct 29 06:29:05 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e022be61 @@ -1477,11 +1477,11 @@ GENtimestamp - Fri Oct 29 06:29:05 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e022be61 @@ -1492,7 +1492,7 @@ outputProductCRC - 9:a14cffd6 + 9:e022be61 @@ -1508,104 +1508,100 @@ GENtimestamp - Fri Oct 29 06:29:05 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e022be61 - xilinx_project_archive - Miscellaneous - :vivado.xilinx.com:misc.files + xilinx_anylanguagebehavioralsimulation + Simulation + :vivado.xilinx.com:simulation + blk_mem_gen_v8_4_4 - xilinx_project_archive_view_fileset + xilinx_anylanguagebehavioralsimulation_view_fileset GENtimestamp - Fri Oct 29 06:29:05 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e0c6f757 - xilinx_versioninformation - Version Information - :vivado.xilinx.com:docs.versioninfo + xilinx_verilogsimulationwrapper + Verilog Simulation Wrapper + verilogSource:vivado.xilinx.com:simulation.wrapper + verilog + inst_rom - xilinx_versioninformation_view_fileset + xilinx_verilogsimulationwrapper_view_fileset GENtimestamp - Fri Oct 29 06:29:05 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e0c6f757 - xilinx_externalfiles - External Files - :vivado.xilinx.com:external.files + xilinx_project_archive + Miscellaneous + :vivado.xilinx.com:misc.files - xilinx_externalfiles_view_fileset + xilinx_project_archive_view_fileset GENtimestamp - Fri Oct 29 06:30:24 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:a14cffd6 + 9:e022be61 - xilinx_anylanguagebehavioralsimulation - Simulation - :vivado.xilinx.com:simulation - blk_mem_gen_v8_4_4 + xilinx_versioninformation + Version Information + :vivado.xilinx.com:docs.versioninfo - xilinx_anylanguagebehavioralsimulation_view_fileset + xilinx_versioninformation_view_fileset GENtimestamp - Sat Oct 30 13:48:40 UTC 2021 + Tue Nov 02 15:18:57 UTC 2021 outputProductCRC - 9:bf7da351 + 9:e022be61 - xilinx_verilogsimulationwrapper - Verilog Simulation Wrapper - verilogSource:vivado.xilinx.com:simulation.wrapper - verilog - inst_rom + xilinx_externalfiles + External Files + :vivado.xilinx.com:external.files - xilinx_verilogsimulationwrapper_view_fileset + xilinx_externalfiles_view_fileset - - GENtimestamp - Sat Oct 30 13:48:40 UTC 2021 - outputProductCRC - 9:bf7da351 + 9:e022be61 @@ -3580,6 +3576,28 @@ xil_defaultlib + + xilinx_anylanguagebehavioralsimulation_view_fileset + + inst_rom.mif + mif + + + simulation/blk_mem_gen_v8_4.v + verilogSource + USED_IN_ipstatic + blk_mem_gen_v8_4_4 + blk_mem_gen_v8_4_4 + + + + xilinx_verilogsimulationwrapper_view_fileset + + sim/inst_rom.v + verilogSource + xil_defaultlib + + xilinx_project_archive_view_fileset @@ -3622,29 +3640,15 @@ inst_rom_sim_netlist.v verilogSource - USED_IN_synth_blackbox_stub + USED_IN_simulation + USED_IN_single_language xil_defaultlib - - - xilinx_anylanguagebehavioralsimulation_view_fileset - - inst_rom.mif - mif - - simulation/blk_mem_gen_v8_4.v - verilogSource - USED_IN_ipstatic - blk_mem_gen_v8_4_4 - blk_mem_gen_v8_4_4 - - - - xilinx_verilogsimulationwrapper_view_fileset - - sim/inst_rom.v - verilogSource + inst_rom_sim_netlist.vhdl + vhdlSource + USED_IN_simulation + USED_IN_single_language xil_defaultlib @@ -4137,7 +4141,7 @@ Coe_File - ../../../../../../TEMU/mips_sc/build/add_inst.coe + c:/Users/13428/Desktop/add_inst.coe diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v deleted file mode 100644 index 89671fe..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v +++ /dev/null @@ -1,1148 +0,0 @@ -// Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -<<<<<<< HEAD -// Date : Fri Oct 29 14:30:24 2021 -// Host : LAPTOP-M01GHSS7 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -// G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v -======= -// Date : Fri Oct 29 12:20:53 2021 -// Host : DESKTOP-B2469GJ running 64-bit major release (build 9200) -// Command : write_verilog -force -mode funcsim -// F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.v ->>>>>>> 0267f8d2796d4dcfb8ccf16deb9b3341e76dfafa -// Design : inst_rom -// Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified -// or synthesized. This netlist cannot be used for SDF annotated simulation. -// Device : xc7k325tffg900-2 -// -------------------------------------------------------------------------------- -`timescale 1 ps / 1 ps - -(* CHECK_LICENSE_TYPE = "inst_rom,blk_mem_gen_v8_4_4,{}" *) (* downgradeipidentifiedwarnings = "yes" *) (* x_core_info = "blk_mem_gen_v8_4_4,Vivado 2019.2" *) -(* NotValidForBitStream *) -module inst_rom - (clka, - ena, - addra, - douta); - (* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK" *) (* x_interface_parameter = "XIL_INTERFACENAME BRAM_PORTA, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1" *) input clka; - (* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA EN" *) input ena; - (* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR" *) input [10:0]addra; - (* x_interface_info = "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT" *) output [31:0]douta; - - wire [10:0]addra; - wire clka; - wire [31:0]douta; - wire ena; - wire NLW_U0_dbiterr_UNCONNECTED; - wire NLW_U0_rsta_busy_UNCONNECTED; - wire NLW_U0_rstb_busy_UNCONNECTED; - wire NLW_U0_s_axi_arready_UNCONNECTED; - wire NLW_U0_s_axi_awready_UNCONNECTED; - wire NLW_U0_s_axi_bvalid_UNCONNECTED; - wire NLW_U0_s_axi_dbiterr_UNCONNECTED; - wire NLW_U0_s_axi_rlast_UNCONNECTED; - wire NLW_U0_s_axi_rvalid_UNCONNECTED; - wire NLW_U0_s_axi_sbiterr_UNCONNECTED; - wire NLW_U0_s_axi_wready_UNCONNECTED; - wire NLW_U0_sbiterr_UNCONNECTED; - wire [31:0]NLW_U0_doutb_UNCONNECTED; - wire [10:0]NLW_U0_rdaddrecc_UNCONNECTED; - wire [3:0]NLW_U0_s_axi_bid_UNCONNECTED; - wire [1:0]NLW_U0_s_axi_bresp_UNCONNECTED; - wire [10:0]NLW_U0_s_axi_rdaddrecc_UNCONNECTED; - wire [31:0]NLW_U0_s_axi_rdata_UNCONNECTED; - wire [3:0]NLW_U0_s_axi_rid_UNCONNECTED; - wire [1:0]NLW_U0_s_axi_rresp_UNCONNECTED; - - (* C_ADDRA_WIDTH = "11" *) - (* C_ADDRB_WIDTH = "11" *) - (* C_ALGORITHM = "1" *) - (* C_AXI_ID_WIDTH = "4" *) - (* C_AXI_SLAVE_TYPE = "0" *) - (* C_AXI_TYPE = "1" *) - (* C_BYTE_SIZE = "9" *) - (* C_COMMON_CLK = "0" *) - (* C_COUNT_18K_BRAM = "0" *) - (* C_COUNT_36K_BRAM = "2" *) - (* C_CTRL_ECC_ALGO = "NONE" *) - (* C_DEFAULT_DATA = "0" *) - (* C_DISABLE_WARN_BHV_COLL = "0" *) - (* C_DISABLE_WARN_BHV_RANGE = "0" *) - (* C_ELABORATION_DIR = "./" *) - (* C_ENABLE_32BIT_ADDRESS = "0" *) - (* C_EN_DEEPSLEEP_PIN = "0" *) - (* C_EN_ECC_PIPE = "0" *) - (* C_EN_RDADDRA_CHG = "0" *) - (* C_EN_RDADDRB_CHG = "0" *) - (* C_EN_SAFETY_CKT = "0" *) - (* C_EN_SHUTDOWN_PIN = "0" *) - (* C_EN_SLEEP_PIN = "0" *) - (* C_EST_POWER_SUMMARY = "Estimated Power for IP : 4.8711 mW" *) - (* C_FAMILY = "kintex7" *) - (* C_HAS_AXI_ID = "0" *) - (* C_HAS_ENA = "1" *) - (* C_HAS_ENB = "0" *) - (* C_HAS_INJECTERR = "0" *) - (* C_HAS_MEM_OUTPUT_REGS_A = "0" *) - (* C_HAS_MEM_OUTPUT_REGS_B = "0" *) - (* C_HAS_MUX_OUTPUT_REGS_A = "0" *) - (* C_HAS_MUX_OUTPUT_REGS_B = "0" *) - (* C_HAS_REGCEA = "0" *) - (* C_HAS_REGCEB = "0" *) - (* C_HAS_RSTA = "0" *) - (* C_HAS_RSTB = "0" *) - (* C_HAS_SOFTECC_INPUT_REGS_A = "0" *) - (* C_HAS_SOFTECC_OUTPUT_REGS_B = "0" *) - (* C_INITA_VAL = "0" *) - (* C_INITB_VAL = "0" *) - (* C_INIT_FILE = "inst_rom.mem" *) - (* C_INIT_FILE_NAME = "inst_rom.mif" *) - (* C_INTERFACE_TYPE = "0" *) - (* C_LOAD_INIT_FILE = "1" *) - (* C_MEM_TYPE = "3" *) - (* C_MUX_PIPELINE_STAGES = "0" *) - (* C_PRIM_TYPE = "1" *) - (* C_READ_DEPTH_A = "2048" *) - (* C_READ_DEPTH_B = "2048" *) - (* C_READ_LATENCY_A = "1" *) - (* C_READ_LATENCY_B = "1" *) - (* C_READ_WIDTH_A = "32" *) - (* C_READ_WIDTH_B = "32" *) - (* C_RSTRAM_A = "0" *) - (* C_RSTRAM_B = "0" *) - (* C_RST_PRIORITY_A = "CE" *) - (* C_RST_PRIORITY_B = "CE" *) - (* C_SIM_COLLISION_CHECK = "ALL" *) - (* C_USE_BRAM_BLOCK = "0" *) - (* C_USE_BYTE_WEA = "0" *) - (* C_USE_BYTE_WEB = "0" *) - (* C_USE_DEFAULT_DATA = "0" *) - (* C_USE_ECC = "0" *) - (* C_USE_SOFTECC = "0" *) - (* C_USE_URAM = "0" *) - (* C_WEA_WIDTH = "1" *) - (* C_WEB_WIDTH = "1" *) - (* C_WRITE_DEPTH_A = "2048" *) - (* C_WRITE_DEPTH_B = "2048" *) - (* C_WRITE_MODE_A = "WRITE_FIRST" *) - (* C_WRITE_MODE_B = "WRITE_FIRST" *) - (* C_WRITE_WIDTH_A = "32" *) - (* C_WRITE_WIDTH_B = "32" *) - (* C_XDEVICEFAMILY = "kintex7" *) - (* downgradeipidentifiedwarnings = "yes" *) - inst_rom_blk_mem_gen_v8_4_4 U0 - (.addra(addra), - .addrb({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .clka(clka), - .clkb(1'b0), - .dbiterr(NLW_U0_dbiterr_UNCONNECTED), - .deepsleep(1'b0), - .dina({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .dinb({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .douta(douta), - .doutb(NLW_U0_doutb_UNCONNECTED[31:0]), - .eccpipece(1'b0), - .ena(ena), - .enb(1'b0), - .injectdbiterr(1'b0), - .injectsbiterr(1'b0), - .rdaddrecc(NLW_U0_rdaddrecc_UNCONNECTED[10:0]), - .regcea(1'b0), - .regceb(1'b0), - .rsta(1'b0), - .rsta_busy(NLW_U0_rsta_busy_UNCONNECTED), - .rstb(1'b0), - .rstb_busy(NLW_U0_rstb_busy_UNCONNECTED), - .s_aclk(1'b0), - .s_aresetn(1'b0), - .s_axi_araddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .s_axi_arburst({1'b0,1'b0}), - .s_axi_arid({1'b0,1'b0,1'b0,1'b0}), - .s_axi_arlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .s_axi_arready(NLW_U0_s_axi_arready_UNCONNECTED), - .s_axi_arsize({1'b0,1'b0,1'b0}), - .s_axi_arvalid(1'b0), - .s_axi_awaddr({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .s_axi_awburst({1'b0,1'b0}), - .s_axi_awid({1'b0,1'b0,1'b0,1'b0}), - .s_axi_awlen({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .s_axi_awready(NLW_U0_s_axi_awready_UNCONNECTED), - .s_axi_awsize({1'b0,1'b0,1'b0}), - .s_axi_awvalid(1'b0), - .s_axi_bid(NLW_U0_s_axi_bid_UNCONNECTED[3:0]), - .s_axi_bready(1'b0), - .s_axi_bresp(NLW_U0_s_axi_bresp_UNCONNECTED[1:0]), - .s_axi_bvalid(NLW_U0_s_axi_bvalid_UNCONNECTED), - .s_axi_dbiterr(NLW_U0_s_axi_dbiterr_UNCONNECTED), - .s_axi_injectdbiterr(1'b0), - .s_axi_injectsbiterr(1'b0), - .s_axi_rdaddrecc(NLW_U0_s_axi_rdaddrecc_UNCONNECTED[10:0]), - .s_axi_rdata(NLW_U0_s_axi_rdata_UNCONNECTED[31:0]), - .s_axi_rid(NLW_U0_s_axi_rid_UNCONNECTED[3:0]), - .s_axi_rlast(NLW_U0_s_axi_rlast_UNCONNECTED), - .s_axi_rready(1'b0), - .s_axi_rresp(NLW_U0_s_axi_rresp_UNCONNECTED[1:0]), - .s_axi_rvalid(NLW_U0_s_axi_rvalid_UNCONNECTED), - .s_axi_sbiterr(NLW_U0_s_axi_sbiterr_UNCONNECTED), - .s_axi_wdata({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .s_axi_wlast(1'b0), - .s_axi_wready(NLW_U0_s_axi_wready_UNCONNECTED), - .s_axi_wstrb(1'b0), - .s_axi_wvalid(1'b0), - .sbiterr(NLW_U0_sbiterr_UNCONNECTED), - .shutdown(1'b0), - .sleep(1'b0), - .wea(1'b0), - .web(1'b0)); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_generic_cstr" *) -module inst_rom_blk_mem_gen_generic_cstr - (douta, - clka, - ena, - addra); - output [31:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [31:0]douta; - wire ena; - - inst_rom_blk_mem_gen_prim_width \ramloop[0].ram.r - (.addra(addra), - .clka(clka), - .douta(douta[17:0]), - .ena(ena)); - inst_rom_blk_mem_gen_prim_width__parameterized0 \ramloop[1].ram.r - (.addra(addra), - .clka(clka), - .douta(douta[31:18]), - .ena(ena)); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *) -module inst_rom_blk_mem_gen_prim_width - (douta, - clka, - ena, - addra); - output [17:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [17:0]douta; - wire ena; - - inst_rom_blk_mem_gen_prim_wrapper_init \prim_init.ram - (.addra(addra), - .clka(clka), - .douta(douta), - .ena(ena)); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_prim_width" *) -module inst_rom_blk_mem_gen_prim_width__parameterized0 - (douta, - clka, - ena, - addra); - output [13:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [13:0]douta; - wire ena; - - inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0 \prim_init.ram - (.addra(addra), - .clka(clka), - .douta(douta), - .ena(ena)); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper_init" *) -module inst_rom_blk_mem_gen_prim_wrapper_init - (douta, - clka, - ena, - addra); - output [17:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [17:0]douta; - wire ena; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ; - wire [31:16]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ; - wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ; - wire [3:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ; - wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ; - wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ; - wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ; - - (* box_type = "PRIMITIVE" *) - RAMB36E1 #( - .DOA_REG(0), - .DOB_REG(0), - .EN_ECC_READ("FALSE"), - .EN_ECC_WRITE("FALSE"), - .INITP_00(256'h00000000000000000000000000000000000000005029580074809780A54801D8), - .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_00(256'hA936093C04019435043C8435043C00000916A936893C04011435043C8435043C), - .INIT_01(256'h04019435843C8435843C000009162936893C04019435043C8435043C00000916), - .INIT_02(256'h04010424042400000916A936093C04019435843C0435043C00000916A936893C), - .INIT_03(256'h000000000000000000000000000000000000000000000000004A000009160924), - .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_A(36'h000000000), - .INIT_B(36'h000000000), - .INIT_FILE("NONE"), - .IS_CLKARDCLK_INVERTED(1'b0), - .IS_CLKBWRCLK_INVERTED(1'b0), - .IS_ENARDEN_INVERTED(1'b0), - .IS_ENBWREN_INVERTED(1'b0), - .IS_RSTRAMARSTRAM_INVERTED(1'b0), - .IS_RSTRAMB_INVERTED(1'b0), - .IS_RSTREGARSTREG_INVERTED(1'b0), - .IS_RSTREGB_INVERTED(1'b0), - .RAM_EXTENSION_A("NONE"), - .RAM_EXTENSION_B("NONE"), - .RAM_MODE("TDP"), - .RDADDR_COLLISION_HWCONFIG("PERFORMANCE"), - .READ_WIDTH_A(18), - .READ_WIDTH_B(18), - .RSTREG_PRIORITY_A("REGCE"), - .RSTREG_PRIORITY_B("REGCE"), - .SIM_COLLISION_CHECK("ALL"), - .SIM_DEVICE("7SERIES"), - .SRVAL_A(36'h000000000), - .SRVAL_B(36'h000000000), - .WRITE_MODE_A("WRITE_FIRST"), - .WRITE_MODE_B("WRITE_FIRST"), - .WRITE_WIDTH_A(18), - .WRITE_WIDTH_B(18)) - \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram - (.ADDRARDADDR({1'b1,addra,1'b1,1'b1,1'b1,1'b1}), - .ADDRBWRADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .CASCADEINA(1'b0), - .CASCADEINB(1'b0), - .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ), - .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ), - .CLKARDCLK(clka), - .CLKBWRCLK(clka), - .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ), - .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .DIPADIP({1'b0,1'b0,1'b0,1'b0}), - .DIPBDIP({1'b0,1'b0,1'b0,1'b0}), - .DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:16],douta[16:9],douta[7:0]}), - .DOBDO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:0]), - .DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:2],douta[17],douta[8]}), - .DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]), - .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]), - .ENARDEN(ena), - .ENBWREN(1'b0), - .INJECTDBITERR(1'b0), - .INJECTSBITERR(1'b0), - .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]), - .REGCEAREGCE(1'b0), - .REGCEB(1'b0), - .RSTRAMARSTRAM(1'b0), - .RSTRAMB(1'b0), - .RSTREGARSTREG(1'b0), - .RSTREGB(1'b0), - .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ), - .WEA({1'b0,1'b0,1'b0,1'b0}), - .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_prim_wrapper_init" *) -module inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0 - (douta, - clka, - ena, - addra); - output [13:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_20 ; - wire \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_28 ; - wire \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_70 ; - wire \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_71 ; - wire [10:0]addra; - wire clka; - wire [13:0]douta; - wire ena; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ; - wire \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ; - wire [31:16]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED ; - wire [31:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED ; - wire [3:2]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED ; - wire [3:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED ; - wire [7:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED ; - wire [8:0]\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED ; - - (* box_type = "PRIMITIVE" *) - RAMB36E1 #( - .DOA_REG(0), - .DOB_REG(0), - .EN_ECC_READ("FALSE"), - .EN_ECC_WRITE("FALSE"), - .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_00(256'h101349741020701C3C6A20370C4A00001600040C0A111020020C4950023F4001), - .INIT_01(256'h10207237373E7109761600000D003E4B077010200E7E5650300C306000001140), - .INIT_02(256'h10200000000000000400003A3C12102000125F7600285C5C0000084063012D55), - .INIT_03(256'h000000000000000000000000000000000000000000001F400000000001000000), - .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), - .INIT_A(36'h000000000), - .INIT_B(36'h000000000), - .INIT_FILE("NONE"), - .IS_CLKARDCLK_INVERTED(1'b0), - .IS_CLKBWRCLK_INVERTED(1'b0), - .IS_ENARDEN_INVERTED(1'b0), - .IS_ENBWREN_INVERTED(1'b0), - .IS_RSTRAMARSTRAM_INVERTED(1'b0), - .IS_RSTRAMB_INVERTED(1'b0), - .IS_RSTREGARSTREG_INVERTED(1'b0), - .IS_RSTREGB_INVERTED(1'b0), - .RAM_EXTENSION_A("NONE"), - .RAM_EXTENSION_B("NONE"), - .RAM_MODE("TDP"), - .RDADDR_COLLISION_HWCONFIG("PERFORMANCE"), - .READ_WIDTH_A(18), - .READ_WIDTH_B(18), - .RSTREG_PRIORITY_A("REGCE"), - .RSTREG_PRIORITY_B("REGCE"), - .SIM_COLLISION_CHECK("ALL"), - .SIM_DEVICE("7SERIES"), - .SRVAL_A(36'h000000000), - .SRVAL_B(36'h000000000), - .WRITE_MODE_A("WRITE_FIRST"), - .WRITE_MODE_B("WRITE_FIRST"), - .WRITE_WIDTH_A(18), - .WRITE_WIDTH_B(18)) - \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram - (.ADDRARDADDR({1'b1,addra,1'b1,1'b1,1'b1,1'b1}), - .ADDRBWRADDR({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .CASCADEINA(1'b0), - .CASCADEINB(1'b0), - .CASCADEOUTA(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED ), - .CASCADEOUTB(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED ), - .CLKARDCLK(clka), - .CLKBWRCLK(clka), - .DBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED ), - .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0}), - .DIPADIP({1'b0,1'b0,1'b0,1'b0}), - .DIPBDIP({1'b0,1'b0,1'b0,1'b0}), - .DOADO({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED [31:16],\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_20 ,douta[13:7],\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_28 ,douta[6:0]}), - .DOBDO(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED [31:0]), - .DOPADOP({\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED [3:2],\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_70 ,\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_71 }), - .DOPBDOP(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED [3:0]), - .ECCPARITY(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED [7:0]), - .ENARDEN(ena), - .ENBWREN(1'b0), - .INJECTDBITERR(1'b0), - .INJECTSBITERR(1'b0), - .RDADDRECC(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED [8:0]), - .REGCEAREGCE(1'b0), - .REGCEB(1'b0), - .RSTRAMARSTRAM(1'b0), - .RSTRAMB(1'b0), - .RSTREGARSTREG(1'b0), - .RSTREGB(1'b0), - .SBITERR(\NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED ), - .WEA({1'b0,1'b0,1'b0,1'b0}), - .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_top" *) -module inst_rom_blk_mem_gen_top - (douta, - clka, - ena, - addra); - output [31:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [31:0]douta; - wire ena; - - inst_rom_blk_mem_gen_generic_cstr \valid.cstr - (.addra(addra), - .clka(clka), - .douta(douta), - .ena(ena)); -endmodule - -(* C_ADDRA_WIDTH = "11" *) (* C_ADDRB_WIDTH = "11" *) (* C_ALGORITHM = "1" *) -(* C_AXI_ID_WIDTH = "4" *) (* C_AXI_SLAVE_TYPE = "0" *) (* C_AXI_TYPE = "1" *) -(* C_BYTE_SIZE = "9" *) (* C_COMMON_CLK = "0" *) (* C_COUNT_18K_BRAM = "0" *) -(* C_COUNT_36K_BRAM = "2" *) (* C_CTRL_ECC_ALGO = "NONE" *) (* C_DEFAULT_DATA = "0" *) -(* C_DISABLE_WARN_BHV_COLL = "0" *) (* C_DISABLE_WARN_BHV_RANGE = "0" *) (* C_ELABORATION_DIR = "./" *) -(* C_ENABLE_32BIT_ADDRESS = "0" *) (* C_EN_DEEPSLEEP_PIN = "0" *) (* C_EN_ECC_PIPE = "0" *) -(* C_EN_RDADDRA_CHG = "0" *) (* C_EN_RDADDRB_CHG = "0" *) (* C_EN_SAFETY_CKT = "0" *) -(* C_EN_SHUTDOWN_PIN = "0" *) (* C_EN_SLEEP_PIN = "0" *) (* C_EST_POWER_SUMMARY = "Estimated Power for IP : 4.8711 mW" *) -(* C_FAMILY = "kintex7" *) (* C_HAS_AXI_ID = "0" *) (* C_HAS_ENA = "1" *) -(* C_HAS_ENB = "0" *) (* C_HAS_INJECTERR = "0" *) (* C_HAS_MEM_OUTPUT_REGS_A = "0" *) -(* C_HAS_MEM_OUTPUT_REGS_B = "0" *) (* C_HAS_MUX_OUTPUT_REGS_A = "0" *) (* C_HAS_MUX_OUTPUT_REGS_B = "0" *) -(* C_HAS_REGCEA = "0" *) (* C_HAS_REGCEB = "0" *) (* C_HAS_RSTA = "0" *) -(* C_HAS_RSTB = "0" *) (* C_HAS_SOFTECC_INPUT_REGS_A = "0" *) (* C_HAS_SOFTECC_OUTPUT_REGS_B = "0" *) -(* C_INITA_VAL = "0" *) (* C_INITB_VAL = "0" *) (* C_INIT_FILE = "inst_rom.mem" *) -(* C_INIT_FILE_NAME = "inst_rom.mif" *) (* C_INTERFACE_TYPE = "0" *) (* C_LOAD_INIT_FILE = "1" *) -(* C_MEM_TYPE = "3" *) (* C_MUX_PIPELINE_STAGES = "0" *) (* C_PRIM_TYPE = "1" *) -(* C_READ_DEPTH_A = "2048" *) (* C_READ_DEPTH_B = "2048" *) (* C_READ_LATENCY_A = "1" *) -(* C_READ_LATENCY_B = "1" *) (* C_READ_WIDTH_A = "32" *) (* C_READ_WIDTH_B = "32" *) -(* C_RSTRAM_A = "0" *) (* C_RSTRAM_B = "0" *) (* C_RST_PRIORITY_A = "CE" *) -(* C_RST_PRIORITY_B = "CE" *) (* C_SIM_COLLISION_CHECK = "ALL" *) (* C_USE_BRAM_BLOCK = "0" *) -(* C_USE_BYTE_WEA = "0" *) (* C_USE_BYTE_WEB = "0" *) (* C_USE_DEFAULT_DATA = "0" *) -(* C_USE_ECC = "0" *) (* C_USE_SOFTECC = "0" *) (* C_USE_URAM = "0" *) -(* C_WEA_WIDTH = "1" *) (* C_WEB_WIDTH = "1" *) (* C_WRITE_DEPTH_A = "2048" *) -(* C_WRITE_DEPTH_B = "2048" *) (* C_WRITE_MODE_A = "WRITE_FIRST" *) (* C_WRITE_MODE_B = "WRITE_FIRST" *) -(* C_WRITE_WIDTH_A = "32" *) (* C_WRITE_WIDTH_B = "32" *) (* C_XDEVICEFAMILY = "kintex7" *) -(* ORIG_REF_NAME = "blk_mem_gen_v8_4_4" *) (* downgradeipidentifiedwarnings = "yes" *) -module inst_rom_blk_mem_gen_v8_4_4 - (clka, - rsta, - ena, - regcea, - wea, - addra, - dina, - douta, - clkb, - rstb, - enb, - regceb, - web, - addrb, - dinb, - doutb, - injectsbiterr, - injectdbiterr, - eccpipece, - sbiterr, - dbiterr, - rdaddrecc, - sleep, - deepsleep, - shutdown, - rsta_busy, - rstb_busy, - s_aclk, - s_aresetn, - s_axi_awid, - s_axi_awaddr, - s_axi_awlen, - s_axi_awsize, - s_axi_awburst, - s_axi_awvalid, - s_axi_awready, - s_axi_wdata, - s_axi_wstrb, - s_axi_wlast, - s_axi_wvalid, - s_axi_wready, - s_axi_bid, - s_axi_bresp, - s_axi_bvalid, - s_axi_bready, - s_axi_arid, - s_axi_araddr, - s_axi_arlen, - s_axi_arsize, - s_axi_arburst, - s_axi_arvalid, - s_axi_arready, - s_axi_rid, - s_axi_rdata, - s_axi_rresp, - s_axi_rlast, - s_axi_rvalid, - s_axi_rready, - s_axi_injectsbiterr, - s_axi_injectdbiterr, - s_axi_sbiterr, - s_axi_dbiterr, - s_axi_rdaddrecc); - input clka; - input rsta; - input ena; - input regcea; - input [0:0]wea; - input [10:0]addra; - input [31:0]dina; - output [31:0]douta; - input clkb; - input rstb; - input enb; - input regceb; - input [0:0]web; - input [10:0]addrb; - input [31:0]dinb; - output [31:0]doutb; - input injectsbiterr; - input injectdbiterr; - input eccpipece; - output sbiterr; - output dbiterr; - output [10:0]rdaddrecc; - input sleep; - input deepsleep; - input shutdown; - output rsta_busy; - output rstb_busy; - input s_aclk; - input s_aresetn; - input [3:0]s_axi_awid; - input [31:0]s_axi_awaddr; - input [7:0]s_axi_awlen; - input [2:0]s_axi_awsize; - input [1:0]s_axi_awburst; - input s_axi_awvalid; - output s_axi_awready; - input [31:0]s_axi_wdata; - input [0:0]s_axi_wstrb; - input s_axi_wlast; - input s_axi_wvalid; - output s_axi_wready; - output [3:0]s_axi_bid; - output [1:0]s_axi_bresp; - output s_axi_bvalid; - input s_axi_bready; - input [3:0]s_axi_arid; - input [31:0]s_axi_araddr; - input [7:0]s_axi_arlen; - input [2:0]s_axi_arsize; - input [1:0]s_axi_arburst; - input s_axi_arvalid; - output s_axi_arready; - output [3:0]s_axi_rid; - output [31:0]s_axi_rdata; - output [1:0]s_axi_rresp; - output s_axi_rlast; - output s_axi_rvalid; - input s_axi_rready; - input s_axi_injectsbiterr; - input s_axi_injectdbiterr; - output s_axi_sbiterr; - output s_axi_dbiterr; - output [10:0]s_axi_rdaddrecc; - - wire \ ; - wire [10:0]addra; - wire clka; - wire [31:0]douta; - wire ena; - - assign dbiterr = \ ; - assign doutb[31] = \ ; - assign doutb[30] = \ ; - assign doutb[29] = \ ; - assign doutb[28] = \ ; - assign doutb[27] = \ ; - assign doutb[26] = \ ; - assign doutb[25] = \ ; - assign doutb[24] = \ ; - assign doutb[23] = \ ; - assign doutb[22] = \ ; - assign doutb[21] = \ ; - assign doutb[20] = \ ; - assign doutb[19] = \ ; - assign doutb[18] = \ ; - assign doutb[17] = \ ; - assign doutb[16] = \ ; - assign doutb[15] = \ ; - assign doutb[14] = \ ; - assign doutb[13] = \ ; - assign doutb[12] = \ ; - assign doutb[11] = \ ; - assign doutb[10] = \ ; - assign doutb[9] = \ ; - assign doutb[8] = \ ; - assign doutb[7] = \ ; - assign doutb[6] = \ ; - assign doutb[5] = \ ; - assign doutb[4] = \ ; - assign doutb[3] = \ ; - assign doutb[2] = \ ; - assign doutb[1] = \ ; - assign doutb[0] = \ ; - assign rdaddrecc[10] = \ ; - assign rdaddrecc[9] = \ ; - assign rdaddrecc[8] = \ ; - assign rdaddrecc[7] = \ ; - assign rdaddrecc[6] = \ ; - assign rdaddrecc[5] = \ ; - assign rdaddrecc[4] = \ ; - assign rdaddrecc[3] = \ ; - assign rdaddrecc[2] = \ ; - assign rdaddrecc[1] = \ ; - assign rdaddrecc[0] = \ ; - assign rsta_busy = \ ; - assign rstb_busy = \ ; - assign s_axi_arready = \ ; - assign s_axi_awready = \ ; - assign s_axi_bid[3] = \ ; - assign s_axi_bid[2] = \ ; - assign s_axi_bid[1] = \ ; - assign s_axi_bid[0] = \ ; - assign s_axi_bresp[1] = \ ; - assign s_axi_bresp[0] = \ ; - assign s_axi_bvalid = \ ; - assign s_axi_dbiterr = \ ; - assign s_axi_rdaddrecc[10] = \ ; - assign s_axi_rdaddrecc[9] = \ ; - assign s_axi_rdaddrecc[8] = \ ; - assign s_axi_rdaddrecc[7] = \ ; - assign s_axi_rdaddrecc[6] = \ ; - assign s_axi_rdaddrecc[5] = \ ; - assign s_axi_rdaddrecc[4] = \ ; - assign s_axi_rdaddrecc[3] = \ ; - assign s_axi_rdaddrecc[2] = \ ; - assign s_axi_rdaddrecc[1] = \ ; - assign s_axi_rdaddrecc[0] = \ ; - assign s_axi_rdata[31] = \ ; - assign s_axi_rdata[30] = \ ; - assign s_axi_rdata[29] = \ ; - assign s_axi_rdata[28] = \ ; - assign s_axi_rdata[27] = \ ; - assign s_axi_rdata[26] = \ ; - assign s_axi_rdata[25] = \ ; - assign s_axi_rdata[24] = \ ; - assign s_axi_rdata[23] = \ ; - assign s_axi_rdata[22] = \ ; - assign s_axi_rdata[21] = \ ; - assign s_axi_rdata[20] = \ ; - assign s_axi_rdata[19] = \ ; - assign s_axi_rdata[18] = \ ; - assign s_axi_rdata[17] = \ ; - assign s_axi_rdata[16] = \ ; - assign s_axi_rdata[15] = \ ; - assign s_axi_rdata[14] = \ ; - assign s_axi_rdata[13] = \ ; - assign s_axi_rdata[12] = \ ; - assign s_axi_rdata[11] = \ ; - assign s_axi_rdata[10] = \ ; - assign s_axi_rdata[9] = \ ; - assign s_axi_rdata[8] = \ ; - assign s_axi_rdata[7] = \ ; - assign s_axi_rdata[6] = \ ; - assign s_axi_rdata[5] = \ ; - assign s_axi_rdata[4] = \ ; - assign s_axi_rdata[3] = \ ; - assign s_axi_rdata[2] = \ ; - assign s_axi_rdata[1] = \ ; - assign s_axi_rdata[0] = \ ; - assign s_axi_rid[3] = \ ; - assign s_axi_rid[2] = \ ; - assign s_axi_rid[1] = \ ; - assign s_axi_rid[0] = \ ; - assign s_axi_rlast = \ ; - assign s_axi_rresp[1] = \ ; - assign s_axi_rresp[0] = \ ; - assign s_axi_rvalid = \ ; - assign s_axi_sbiterr = \ ; - assign s_axi_wready = \ ; - assign sbiterr = \ ; - GND GND - (.G(\ )); - inst_rom_blk_mem_gen_v8_4_4_synth inst_blk_mem_gen - (.addra(addra), - .clka(clka), - .douta(douta), - .ena(ena)); -endmodule - -(* ORIG_REF_NAME = "blk_mem_gen_v8_4_4_synth" *) -module inst_rom_blk_mem_gen_v8_4_4_synth - (douta, - clka, - ena, - addra); - output [31:0]douta; - input clka; - input ena; - input [10:0]addra; - - wire [10:0]addra; - wire clka; - wire [31:0]douta; - wire ena; - - inst_rom_blk_mem_gen_top \gnbram.gnativebmg.native_blk_mem_gen - (.addra(addra), - .clka(clka), - .douta(douta), - .ena(ena)); -endmodule -`ifndef GLBL -`define GLBL -`timescale 1 ps / 1 ps - -module glbl (); - - parameter ROC_WIDTH = 100000; - parameter TOC_WIDTH = 0; - -//-------- STARTUP Globals -------------- - wire GSR; - wire GTS; - wire GWE; - wire PRLD; - tri1 p_up_tmp; - tri (weak1, strong0) PLL_LOCKG = p_up_tmp; - - wire PROGB_GLBL; - wire CCLKO_GLBL; - wire FCSBO_GLBL; - wire [3:0] DO_GLBL; - wire [3:0] DI_GLBL; - - reg GSR_int; - reg GTS_int; - reg PRLD_int; - -//-------- JTAG Globals -------------- - wire JTAG_TDO_GLBL; - wire JTAG_TCK_GLBL; - wire JTAG_TDI_GLBL; - wire JTAG_TMS_GLBL; - wire JTAG_TRST_GLBL; - - reg JTAG_CAPTURE_GLBL; - reg JTAG_RESET_GLBL; - reg JTAG_SHIFT_GLBL; - reg JTAG_UPDATE_GLBL; - reg JTAG_RUNTEST_GLBL; - - reg JTAG_SEL1_GLBL = 0; - reg JTAG_SEL2_GLBL = 0 ; - reg JTAG_SEL3_GLBL = 0; - reg JTAG_SEL4_GLBL = 0; - - reg JTAG_USER_TDO1_GLBL = 1'bz; - reg JTAG_USER_TDO2_GLBL = 1'bz; - reg JTAG_USER_TDO3_GLBL = 1'bz; - reg JTAG_USER_TDO4_GLBL = 1'bz; - - assign (strong1, weak0) GSR = GSR_int; - assign (strong1, weak0) GTS = GTS_int; - assign (weak1, weak0) PRLD = PRLD_int; - - initial begin - GSR_int = 1'b1; - PRLD_int = 1'b1; - #(ROC_WIDTH) - GSR_int = 1'b0; - PRLD_int = 1'b0; - end - - initial begin - GTS_int = 1'b1; - #(TOC_WIDTH) - GTS_int = 1'b0; - end - -endmodule -`endif diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl deleted file mode 100644 index 8bcad7c..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl +++ /dev/null @@ -1,1272 +0,0 @@ --- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -<<<<<<< HEAD --- Date : Fri Oct 29 14:30:24 2021 --- Host : LAPTOP-M01GHSS7 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl -======= --- Date : Fri Oct 29 12:20:53 2021 --- Host : DESKTOP-B2469GJ running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode funcsim --- F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_sim_netlist.vhdl ->>>>>>> 0267f8d2796d4dcfb8ccf16deb9b3341e76dfafa --- Design : inst_rom --- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or --- synthesized. This netlist cannot be used for SDF annotated simulation. --- Device : xc7k325tffg900-2 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_prim_wrapper_init is - port ( - douta : out STD_LOGIC_VECTOR ( 17 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init"; -end inst_rom_blk_mem_gen_prim_wrapper_init; - -architecture STRUCTURE of inst_rom_blk_mem_gen_prim_wrapper_init is - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - attribute box_type : string; - attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; -begin -\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 - generic map( - DOA_REG => 0, - DOB_REG => 0, - EN_ECC_READ => false, - EN_ECC_WRITE => false, - INITP_00 => X"00000000000000000000000000000000000000005029580074809780A54801D8", - INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_00 => X"A936093C04019435043C8435043C00000916A936893C04011435043C8435043C", - INIT_01 => X"04019435843C8435843C000009162936893C04019435043C8435043C00000916", - INIT_02 => X"04010424042400000916A936093C04019435843C0435043C00000916A936893C", - INIT_03 => X"000000000000000000000000000000000000000000000000004A000009160924", - INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_A => X"000000000", - INIT_B => X"000000000", - INIT_FILE => "NONE", - IS_CLKARDCLK_INVERTED => '0', - IS_CLKBWRCLK_INVERTED => '0', - IS_ENARDEN_INVERTED => '0', - IS_ENBWREN_INVERTED => '0', - IS_RSTRAMARSTRAM_INVERTED => '0', - IS_RSTRAMB_INVERTED => '0', - IS_RSTREGARSTREG_INVERTED => '0', - IS_RSTREGB_INVERTED => '0', - RAM_EXTENSION_A => "NONE", - RAM_EXTENSION_B => "NONE", - RAM_MODE => "TDP", - RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", - READ_WIDTH_A => 18, - READ_WIDTH_B => 18, - RSTREG_PRIORITY_A => "REGCE", - RSTREG_PRIORITY_B => "REGCE", - SIM_COLLISION_CHECK => "ALL", - SIM_DEVICE => "7SERIES", - SRVAL_A => X"000000000", - SRVAL_B => X"000000000", - WRITE_MODE_A => "WRITE_FIRST", - WRITE_MODE_B => "WRITE_FIRST", - WRITE_WIDTH_A => 18, - WRITE_WIDTH_B => 18 - ) - port map ( - ADDRARDADDR(15) => '1', - ADDRARDADDR(14 downto 4) => addra(10 downto 0), - ADDRARDADDR(3 downto 0) => B"1111", - ADDRBWRADDR(15 downto 0) => B"0000000000000000", - CASCADEINA => '0', - CASCADEINB => '0', - CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, - CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, - CLKARDCLK => clka, - CLKBWRCLK => clka, - DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, - DIADI(31 downto 0) => B"00000000000000000000000000000000", - DIBDI(31 downto 0) => B"00000000000000000000000000000000", - DIPADIP(3 downto 0) => B"0000", - DIPBDIP(3 downto 0) => B"0000", - DOADO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 16), - DOADO(15 downto 8) => douta(16 downto 9), - DOADO(7 downto 0) => douta(7 downto 0), - DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0), - DOPADOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 2), - DOPADOP(1) => douta(17), - DOPADOP(0) => douta(8), - DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), - ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), - ENARDEN => ena, - ENBWREN => '0', - INJECTDBITERR => '0', - INJECTSBITERR => '0', - RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), - REGCEAREGCE => '0', - REGCEB => '0', - RSTRAMARSTRAM => '0', - RSTRAMB => '0', - RSTREGARSTREG => '0', - RSTREGB => '0', - SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, - WEA(3 downto 0) => B"0000", - WEBWE(7 downto 0) => B"00000000" - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity \inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0\ is - port ( - douta : out STD_LOGIC_VECTOR ( 13 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of \inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init"; -end \inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0\; - -architecture STRUCTURE of \inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0\ is - signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_20\ : STD_LOGIC; - signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_28\ : STD_LOGIC; - signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_70\ : STD_LOGIC; - signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_71\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - attribute box_type : string; - attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; -begin -\DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 - generic map( - DOA_REG => 0, - DOB_REG => 0, - EN_ECC_READ => false, - EN_ECC_WRITE => false, - INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", - INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_00 => X"101349741020701C3C6A20370C4A00001600040C0A111020020C4950023F4001", - INIT_01 => X"10207237373E7109761600000D003E4B077010200E7E5650300C306000001140", - INIT_02 => X"10200000000000000400003A3C12102000125F7600285C5C0000084063012D55", - INIT_03 => X"000000000000000000000000000000000000000000001F400000000001000000", - INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", - INIT_A => X"000000000", - INIT_B => X"000000000", - INIT_FILE => "NONE", - IS_CLKARDCLK_INVERTED => '0', - IS_CLKBWRCLK_INVERTED => '0', - IS_ENARDEN_INVERTED => '0', - IS_ENBWREN_INVERTED => '0', - IS_RSTRAMARSTRAM_INVERTED => '0', - IS_RSTRAMB_INVERTED => '0', - IS_RSTREGARSTREG_INVERTED => '0', - IS_RSTREGB_INVERTED => '0', - RAM_EXTENSION_A => "NONE", - RAM_EXTENSION_B => "NONE", - RAM_MODE => "TDP", - RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", - READ_WIDTH_A => 18, - READ_WIDTH_B => 18, - RSTREG_PRIORITY_A => "REGCE", - RSTREG_PRIORITY_B => "REGCE", - SIM_COLLISION_CHECK => "ALL", - SIM_DEVICE => "7SERIES", - SRVAL_A => X"000000000", - SRVAL_B => X"000000000", - WRITE_MODE_A => "WRITE_FIRST", - WRITE_MODE_B => "WRITE_FIRST", - WRITE_WIDTH_A => 18, - WRITE_WIDTH_B => 18 - ) - port map ( - ADDRARDADDR(15) => '1', - ADDRARDADDR(14 downto 4) => addra(10 downto 0), - ADDRARDADDR(3 downto 0) => B"1111", - ADDRBWRADDR(15 downto 0) => B"0000000000000000", - CASCADEINA => '0', - CASCADEINB => '0', - CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, - CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, - CLKARDCLK => clka, - CLKBWRCLK => clka, - DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, - DIADI(31 downto 0) => B"00000000000000000000000000000000", - DIBDI(31 downto 0) => B"00000000000000000000000000000000", - DIPADIP(3 downto 0) => B"0000", - DIPBDIP(3 downto 0) => B"0000", - DOADO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 16), - DOADO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_20\, - DOADO(14 downto 8) => douta(13 downto 7), - DOADO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_28\, - DOADO(6 downto 0) => douta(6 downto 0), - DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 0), - DOPADOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 2), - DOPADOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_70\, - DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_n_71\, - DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), - ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), - ENARDEN => ena, - ENBWREN => '0', - INJECTDBITERR => '0', - INJECTSBITERR => '0', - RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), - REGCEAREGCE => '0', - REGCEB => '0', - RSTRAMARSTRAM => '0', - RSTRAMB => '0', - RSTREGARSTREG => '0', - RSTREGB => '0', - SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, - WEA(3 downto 0) => B"0000", - WEBWE(7 downto 0) => B"00000000" - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_prim_width is - port ( - douta : out STD_LOGIC_VECTOR ( 17 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; -end inst_rom_blk_mem_gen_prim_width; - -architecture STRUCTURE of inst_rom_blk_mem_gen_prim_width is -begin -\prim_init.ram\: entity work.inst_rom_blk_mem_gen_prim_wrapper_init - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(17 downto 0) => douta(17 downto 0), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity \inst_rom_blk_mem_gen_prim_width__parameterized0\ is - port ( - douta : out STD_LOGIC_VECTOR ( 13 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of \inst_rom_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; -end \inst_rom_blk_mem_gen_prim_width__parameterized0\; - -architecture STRUCTURE of \inst_rom_blk_mem_gen_prim_width__parameterized0\ is -begin -\prim_init.ram\: entity work.\inst_rom_blk_mem_gen_prim_wrapper_init__parameterized0\ - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(13 downto 0) => douta(13 downto 0), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_generic_cstr is - port ( - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; -end inst_rom_blk_mem_gen_generic_cstr; - -architecture STRUCTURE of inst_rom_blk_mem_gen_generic_cstr is -begin -\ramloop[0].ram.r\: entity work.inst_rom_blk_mem_gen_prim_width - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(17 downto 0) => douta(17 downto 0), - ena => ena - ); -\ramloop[1].ram.r\: entity work.\inst_rom_blk_mem_gen_prim_width__parameterized0\ - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(13 downto 0) => douta(31 downto 18), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_top is - port ( - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_top : entity is "blk_mem_gen_top"; -end inst_rom_blk_mem_gen_top; - -architecture STRUCTURE of inst_rom_blk_mem_gen_top is -begin -\valid.cstr\: entity work.inst_rom_blk_mem_gen_generic_cstr - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(31 downto 0) => douta(31 downto 0), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_v8_4_4_synth is - port ( - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_v8_4_4_synth : entity is "blk_mem_gen_v8_4_4_synth"; -end inst_rom_blk_mem_gen_v8_4_4_synth; - -architecture STRUCTURE of inst_rom_blk_mem_gen_v8_4_4_synth is -begin -\gnbram.gnativebmg.native_blk_mem_gen\: entity work.inst_rom_blk_mem_gen_top - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(31 downto 0) => douta(31 downto 0), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom_blk_mem_gen_v8_4_4 is - port ( - clka : in STD_LOGIC; - rsta : in STD_LOGIC; - ena : in STD_LOGIC; - regcea : in STD_LOGIC; - wea : in STD_LOGIC_VECTOR ( 0 to 0 ); - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); - dina : in STD_LOGIC_VECTOR ( 31 downto 0 ); - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ); - clkb : in STD_LOGIC; - rstb : in STD_LOGIC; - enb : in STD_LOGIC; - regceb : in STD_LOGIC; - web : in STD_LOGIC_VECTOR ( 0 to 0 ); - addrb : in STD_LOGIC_VECTOR ( 10 downto 0 ); - dinb : in STD_LOGIC_VECTOR ( 31 downto 0 ); - doutb : out STD_LOGIC_VECTOR ( 31 downto 0 ); - injectsbiterr : in STD_LOGIC; - injectdbiterr : in STD_LOGIC; - eccpipece : in STD_LOGIC; - sbiterr : out STD_LOGIC; - dbiterr : out STD_LOGIC; - rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ); - sleep : in STD_LOGIC; - deepsleep : in STD_LOGIC; - shutdown : in STD_LOGIC; - rsta_busy : out STD_LOGIC; - rstb_busy : out STD_LOGIC; - s_aclk : in STD_LOGIC; - s_aresetn : in STD_LOGIC; - s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); - s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); - s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); - s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_awvalid : in STD_LOGIC; - s_axi_awready : out STD_LOGIC; - s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_wlast : in STD_LOGIC; - s_axi_wvalid : in STD_LOGIC; - s_axi_wready : out STD_LOGIC; - s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); - s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_bvalid : out STD_LOGIC; - s_axi_bready : in STD_LOGIC; - s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); - s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); - s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); - s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_arvalid : in STD_LOGIC; - s_axi_arready : out STD_LOGIC; - s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); - s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); - s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); - s_axi_rlast : out STD_LOGIC; - s_axi_rvalid : out STD_LOGIC; - s_axi_rready : in STD_LOGIC; - s_axi_injectsbiterr : in STD_LOGIC; - s_axi_injectdbiterr : in STD_LOGIC; - s_axi_sbiterr : out STD_LOGIC; - s_axi_dbiterr : out STD_LOGIC; - s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 10 downto 0 ) - ); - attribute C_ADDRA_WIDTH : integer; - attribute C_ADDRA_WIDTH of inst_rom_blk_mem_gen_v8_4_4 : entity is 11; - attribute C_ADDRB_WIDTH : integer; - attribute C_ADDRB_WIDTH of inst_rom_blk_mem_gen_v8_4_4 : entity is 11; - attribute C_ALGORITHM : integer; - attribute C_ALGORITHM of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_AXI_ID_WIDTH : integer; - attribute C_AXI_ID_WIDTH of inst_rom_blk_mem_gen_v8_4_4 : entity is 4; - attribute C_AXI_SLAVE_TYPE : integer; - attribute C_AXI_SLAVE_TYPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_AXI_TYPE : integer; - attribute C_AXI_TYPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_BYTE_SIZE : integer; - attribute C_BYTE_SIZE of inst_rom_blk_mem_gen_v8_4_4 : entity is 9; - attribute C_COMMON_CLK : integer; - attribute C_COMMON_CLK of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_COUNT_18K_BRAM : string; - attribute C_COUNT_18K_BRAM of inst_rom_blk_mem_gen_v8_4_4 : entity is "0"; - attribute C_COUNT_36K_BRAM : string; - attribute C_COUNT_36K_BRAM of inst_rom_blk_mem_gen_v8_4_4 : entity is "2"; - attribute C_CTRL_ECC_ALGO : string; - attribute C_CTRL_ECC_ALGO of inst_rom_blk_mem_gen_v8_4_4 : entity is "NONE"; - attribute C_DEFAULT_DATA : string; - attribute C_DEFAULT_DATA of inst_rom_blk_mem_gen_v8_4_4 : entity is "0"; - attribute C_DISABLE_WARN_BHV_COLL : integer; - attribute C_DISABLE_WARN_BHV_COLL of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_DISABLE_WARN_BHV_RANGE : integer; - attribute C_DISABLE_WARN_BHV_RANGE of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_ELABORATION_DIR : string; - attribute C_ELABORATION_DIR of inst_rom_blk_mem_gen_v8_4_4 : entity is "./"; - attribute C_ENABLE_32BIT_ADDRESS : integer; - attribute C_ENABLE_32BIT_ADDRESS of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_DEEPSLEEP_PIN : integer; - attribute C_EN_DEEPSLEEP_PIN of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_ECC_PIPE : integer; - attribute C_EN_ECC_PIPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_RDADDRA_CHG : integer; - attribute C_EN_RDADDRA_CHG of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_RDADDRB_CHG : integer; - attribute C_EN_RDADDRB_CHG of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_SAFETY_CKT : integer; - attribute C_EN_SAFETY_CKT of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_SHUTDOWN_PIN : integer; - attribute C_EN_SHUTDOWN_PIN of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EN_SLEEP_PIN : integer; - attribute C_EN_SLEEP_PIN of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_EST_POWER_SUMMARY : string; - attribute C_EST_POWER_SUMMARY of inst_rom_blk_mem_gen_v8_4_4 : entity is "Estimated Power for IP : 4.8711 mW"; - attribute C_FAMILY : string; - attribute C_FAMILY of inst_rom_blk_mem_gen_v8_4_4 : entity is "kintex7"; - attribute C_HAS_AXI_ID : integer; - attribute C_HAS_AXI_ID of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_ENA : integer; - attribute C_HAS_ENA of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_HAS_ENB : integer; - attribute C_HAS_ENB of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_INJECTERR : integer; - attribute C_HAS_INJECTERR of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_MEM_OUTPUT_REGS_A : integer; - attribute C_HAS_MEM_OUTPUT_REGS_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_MEM_OUTPUT_REGS_B : integer; - attribute C_HAS_MEM_OUTPUT_REGS_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_MUX_OUTPUT_REGS_A : integer; - attribute C_HAS_MUX_OUTPUT_REGS_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_MUX_OUTPUT_REGS_B : integer; - attribute C_HAS_MUX_OUTPUT_REGS_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_REGCEA : integer; - attribute C_HAS_REGCEA of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_REGCEB : integer; - attribute C_HAS_REGCEB of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_RSTA : integer; - attribute C_HAS_RSTA of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_RSTB : integer; - attribute C_HAS_RSTB of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; - attribute C_HAS_SOFTECC_INPUT_REGS_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; - attribute C_HAS_SOFTECC_OUTPUT_REGS_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_INITA_VAL : string; - attribute C_INITA_VAL of inst_rom_blk_mem_gen_v8_4_4 : entity is "0"; - attribute C_INITB_VAL : string; - attribute C_INITB_VAL of inst_rom_blk_mem_gen_v8_4_4 : entity is "0"; - attribute C_INIT_FILE : string; - attribute C_INIT_FILE of inst_rom_blk_mem_gen_v8_4_4 : entity is "inst_rom.mem"; - attribute C_INIT_FILE_NAME : string; - attribute C_INIT_FILE_NAME of inst_rom_blk_mem_gen_v8_4_4 : entity is "inst_rom.mif"; - attribute C_INTERFACE_TYPE : integer; - attribute C_INTERFACE_TYPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_LOAD_INIT_FILE : integer; - attribute C_LOAD_INIT_FILE of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_MEM_TYPE : integer; - attribute C_MEM_TYPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 3; - attribute C_MUX_PIPELINE_STAGES : integer; - attribute C_MUX_PIPELINE_STAGES of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_PRIM_TYPE : integer; - attribute C_PRIM_TYPE of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_READ_DEPTH_A : integer; - attribute C_READ_DEPTH_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 2048; - attribute C_READ_DEPTH_B : integer; - attribute C_READ_DEPTH_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 2048; - attribute C_READ_LATENCY_A : integer; - attribute C_READ_LATENCY_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_READ_LATENCY_B : integer; - attribute C_READ_LATENCY_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_READ_WIDTH_A : integer; - attribute C_READ_WIDTH_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 32; - attribute C_READ_WIDTH_B : integer; - attribute C_READ_WIDTH_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 32; - attribute C_RSTRAM_A : integer; - attribute C_RSTRAM_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_RSTRAM_B : integer; - attribute C_RSTRAM_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_RST_PRIORITY_A : string; - attribute C_RST_PRIORITY_A of inst_rom_blk_mem_gen_v8_4_4 : entity is "CE"; - attribute C_RST_PRIORITY_B : string; - attribute C_RST_PRIORITY_B of inst_rom_blk_mem_gen_v8_4_4 : entity is "CE"; - attribute C_SIM_COLLISION_CHECK : string; - attribute C_SIM_COLLISION_CHECK of inst_rom_blk_mem_gen_v8_4_4 : entity is "ALL"; - attribute C_USE_BRAM_BLOCK : integer; - attribute C_USE_BRAM_BLOCK of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_BYTE_WEA : integer; - attribute C_USE_BYTE_WEA of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_BYTE_WEB : integer; - attribute C_USE_BYTE_WEB of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_DEFAULT_DATA : integer; - attribute C_USE_DEFAULT_DATA of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_ECC : integer; - attribute C_USE_ECC of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_SOFTECC : integer; - attribute C_USE_SOFTECC of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_USE_URAM : integer; - attribute C_USE_URAM of inst_rom_blk_mem_gen_v8_4_4 : entity is 0; - attribute C_WEA_WIDTH : integer; - attribute C_WEA_WIDTH of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_WEB_WIDTH : integer; - attribute C_WEB_WIDTH of inst_rom_blk_mem_gen_v8_4_4 : entity is 1; - attribute C_WRITE_DEPTH_A : integer; - attribute C_WRITE_DEPTH_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 2048; - attribute C_WRITE_DEPTH_B : integer; - attribute C_WRITE_DEPTH_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 2048; - attribute C_WRITE_MODE_A : string; - attribute C_WRITE_MODE_A of inst_rom_blk_mem_gen_v8_4_4 : entity is "WRITE_FIRST"; - attribute C_WRITE_MODE_B : string; - attribute C_WRITE_MODE_B of inst_rom_blk_mem_gen_v8_4_4 : entity is "WRITE_FIRST"; - attribute C_WRITE_WIDTH_A : integer; - attribute C_WRITE_WIDTH_A of inst_rom_blk_mem_gen_v8_4_4 : entity is 32; - attribute C_WRITE_WIDTH_B : integer; - attribute C_WRITE_WIDTH_B of inst_rom_blk_mem_gen_v8_4_4 : entity is 32; - attribute C_XDEVICEFAMILY : string; - attribute C_XDEVICEFAMILY of inst_rom_blk_mem_gen_v8_4_4 : entity is "kintex7"; - attribute ORIG_REF_NAME : string; - attribute ORIG_REF_NAME of inst_rom_blk_mem_gen_v8_4_4 : entity is "blk_mem_gen_v8_4_4"; - attribute downgradeipidentifiedwarnings : string; - attribute downgradeipidentifiedwarnings of inst_rom_blk_mem_gen_v8_4_4 : entity is "yes"; -end inst_rom_blk_mem_gen_v8_4_4; - -architecture STRUCTURE of inst_rom_blk_mem_gen_v8_4_4 is - signal \\ : STD_LOGIC; -begin - dbiterr <= \\; - doutb(31) <= \\; - doutb(30) <= \\; - doutb(29) <= \\; - doutb(28) <= \\; - doutb(27) <= \\; - doutb(26) <= \\; - doutb(25) <= \\; - doutb(24) <= \\; - doutb(23) <= \\; - doutb(22) <= \\; - doutb(21) <= \\; - doutb(20) <= \\; - doutb(19) <= \\; - doutb(18) <= \\; - doutb(17) <= \\; - doutb(16) <= \\; - doutb(15) <= \\; - doutb(14) <= \\; - doutb(13) <= \\; - doutb(12) <= \\; - doutb(11) <= \\; - doutb(10) <= \\; - doutb(9) <= \\; - doutb(8) <= \\; - doutb(7) <= \\; - doutb(6) <= \\; - doutb(5) <= \\; - doutb(4) <= \\; - doutb(3) <= \\; - doutb(2) <= \\; - doutb(1) <= \\; - doutb(0) <= \\; - rdaddrecc(10) <= \\; - rdaddrecc(9) <= \\; - rdaddrecc(8) <= \\; - rdaddrecc(7) <= \\; - rdaddrecc(6) <= \\; - rdaddrecc(5) <= \\; - rdaddrecc(4) <= \\; - rdaddrecc(3) <= \\; - rdaddrecc(2) <= \\; - rdaddrecc(1) <= \\; - rdaddrecc(0) <= \\; - rsta_busy <= \\; - rstb_busy <= \\; - s_axi_arready <= \\; - s_axi_awready <= \\; - s_axi_bid(3) <= \\; - s_axi_bid(2) <= \\; - s_axi_bid(1) <= \\; - s_axi_bid(0) <= \\; - s_axi_bresp(1) <= \\; - s_axi_bresp(0) <= \\; - s_axi_bvalid <= \\; - s_axi_dbiterr <= \\; - s_axi_rdaddrecc(10) <= \\; - s_axi_rdaddrecc(9) <= \\; - s_axi_rdaddrecc(8) <= \\; - s_axi_rdaddrecc(7) <= \\; - s_axi_rdaddrecc(6) <= \\; - s_axi_rdaddrecc(5) <= \\; - s_axi_rdaddrecc(4) <= \\; - s_axi_rdaddrecc(3) <= \\; - s_axi_rdaddrecc(2) <= \\; - s_axi_rdaddrecc(1) <= \\; - s_axi_rdaddrecc(0) <= \\; - s_axi_rdata(31) <= \\; - s_axi_rdata(30) <= \\; - s_axi_rdata(29) <= \\; - s_axi_rdata(28) <= \\; - s_axi_rdata(27) <= \\; - s_axi_rdata(26) <= \\; - s_axi_rdata(25) <= \\; - s_axi_rdata(24) <= \\; - s_axi_rdata(23) <= \\; - s_axi_rdata(22) <= \\; - s_axi_rdata(21) <= \\; - s_axi_rdata(20) <= \\; - s_axi_rdata(19) <= \\; - s_axi_rdata(18) <= \\; - s_axi_rdata(17) <= \\; - s_axi_rdata(16) <= \\; - s_axi_rdata(15) <= \\; - s_axi_rdata(14) <= \\; - s_axi_rdata(13) <= \\; - s_axi_rdata(12) <= \\; - s_axi_rdata(11) <= \\; - s_axi_rdata(10) <= \\; - s_axi_rdata(9) <= \\; - s_axi_rdata(8) <= \\; - s_axi_rdata(7) <= \\; - s_axi_rdata(6) <= \\; - s_axi_rdata(5) <= \\; - s_axi_rdata(4) <= \\; - s_axi_rdata(3) <= \\; - s_axi_rdata(2) <= \\; - s_axi_rdata(1) <= \\; - s_axi_rdata(0) <= \\; - s_axi_rid(3) <= \\; - s_axi_rid(2) <= \\; - s_axi_rid(1) <= \\; - s_axi_rid(0) <= \\; - s_axi_rlast <= \\; - s_axi_rresp(1) <= \\; - s_axi_rresp(0) <= \\; - s_axi_rvalid <= \\; - s_axi_sbiterr <= \\; - s_axi_wready <= \\; - sbiterr <= \\; -GND: unisim.vcomponents.GND - port map ( - G => \\ - ); -inst_blk_mem_gen: entity work.inst_rom_blk_mem_gen_v8_4_4_synth - port map ( - addra(10 downto 0) => addra(10 downto 0), - clka => clka, - douta(31 downto 0) => douta(31 downto 0), - ena => ena - ); -end STRUCTURE; -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -library UNISIM; -use UNISIM.VCOMPONENTS.ALL; -entity inst_rom is - port ( - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ) - ); - attribute NotValidForBitStream : boolean; - attribute NotValidForBitStream of inst_rom : entity is true; - attribute CHECK_LICENSE_TYPE : string; - attribute CHECK_LICENSE_TYPE of inst_rom : entity is "inst_rom,blk_mem_gen_v8_4_4,{}"; - attribute downgradeipidentifiedwarnings : string; - attribute downgradeipidentifiedwarnings of inst_rom : entity is "yes"; - attribute x_core_info : string; - attribute x_core_info of inst_rom : entity is "blk_mem_gen_v8_4_4,Vivado 2019.2"; -end inst_rom; - -architecture STRUCTURE of inst_rom is - signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; - signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; - signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; - signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; - signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; - signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); - signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); - signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); - signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); - attribute C_ADDRA_WIDTH : integer; - attribute C_ADDRA_WIDTH of U0 : label is 11; - attribute C_ADDRB_WIDTH : integer; - attribute C_ADDRB_WIDTH of U0 : label is 11; - attribute C_ALGORITHM : integer; - attribute C_ALGORITHM of U0 : label is 1; - attribute C_AXI_ID_WIDTH : integer; - attribute C_AXI_ID_WIDTH of U0 : label is 4; - attribute C_AXI_SLAVE_TYPE : integer; - attribute C_AXI_SLAVE_TYPE of U0 : label is 0; - attribute C_AXI_TYPE : integer; - attribute C_AXI_TYPE of U0 : label is 1; - attribute C_BYTE_SIZE : integer; - attribute C_BYTE_SIZE of U0 : label is 9; - attribute C_COMMON_CLK : integer; - attribute C_COMMON_CLK of U0 : label is 0; - attribute C_COUNT_18K_BRAM : string; - attribute C_COUNT_18K_BRAM of U0 : label is "0"; - attribute C_COUNT_36K_BRAM : string; - attribute C_COUNT_36K_BRAM of U0 : label is "2"; - attribute C_CTRL_ECC_ALGO : string; - attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; - attribute C_DEFAULT_DATA : string; - attribute C_DEFAULT_DATA of U0 : label is "0"; - attribute C_DISABLE_WARN_BHV_COLL : integer; - attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; - attribute C_DISABLE_WARN_BHV_RANGE : integer; - attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; - attribute C_ELABORATION_DIR : string; - attribute C_ELABORATION_DIR of U0 : label is "./"; - attribute C_ENABLE_32BIT_ADDRESS : integer; - attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; - attribute C_EN_DEEPSLEEP_PIN : integer; - attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; - attribute C_EN_ECC_PIPE : integer; - attribute C_EN_ECC_PIPE of U0 : label is 0; - attribute C_EN_RDADDRA_CHG : integer; - attribute C_EN_RDADDRA_CHG of U0 : label is 0; - attribute C_EN_RDADDRB_CHG : integer; - attribute C_EN_RDADDRB_CHG of U0 : label is 0; - attribute C_EN_SAFETY_CKT : integer; - attribute C_EN_SAFETY_CKT of U0 : label is 0; - attribute C_EN_SHUTDOWN_PIN : integer; - attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; - attribute C_EN_SLEEP_PIN : integer; - attribute C_EN_SLEEP_PIN of U0 : label is 0; - attribute C_EST_POWER_SUMMARY : string; - attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 4.8711 mW"; - attribute C_FAMILY : string; - attribute C_FAMILY of U0 : label is "kintex7"; - attribute C_HAS_AXI_ID : integer; - attribute C_HAS_AXI_ID of U0 : label is 0; - attribute C_HAS_ENA : integer; - attribute C_HAS_ENA of U0 : label is 1; - attribute C_HAS_ENB : integer; - attribute C_HAS_ENB of U0 : label is 0; - attribute C_HAS_INJECTERR : integer; - attribute C_HAS_INJECTERR of U0 : label is 0; - attribute C_HAS_MEM_OUTPUT_REGS_A : integer; - attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; - attribute C_HAS_MEM_OUTPUT_REGS_B : integer; - attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; - attribute C_HAS_MUX_OUTPUT_REGS_A : integer; - attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; - attribute C_HAS_MUX_OUTPUT_REGS_B : integer; - attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; - attribute C_HAS_REGCEA : integer; - attribute C_HAS_REGCEA of U0 : label is 0; - attribute C_HAS_REGCEB : integer; - attribute C_HAS_REGCEB of U0 : label is 0; - attribute C_HAS_RSTA : integer; - attribute C_HAS_RSTA of U0 : label is 0; - attribute C_HAS_RSTB : integer; - attribute C_HAS_RSTB of U0 : label is 0; - attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; - attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; - attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; - attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; - attribute C_INITA_VAL : string; - attribute C_INITA_VAL of U0 : label is "0"; - attribute C_INITB_VAL : string; - attribute C_INITB_VAL of U0 : label is "0"; - attribute C_INIT_FILE : string; - attribute C_INIT_FILE of U0 : label is "inst_rom.mem"; - attribute C_INIT_FILE_NAME : string; - attribute C_INIT_FILE_NAME of U0 : label is "inst_rom.mif"; - attribute C_INTERFACE_TYPE : integer; - attribute C_INTERFACE_TYPE of U0 : label is 0; - attribute C_LOAD_INIT_FILE : integer; - attribute C_LOAD_INIT_FILE of U0 : label is 1; - attribute C_MEM_TYPE : integer; - attribute C_MEM_TYPE of U0 : label is 3; - attribute C_MUX_PIPELINE_STAGES : integer; - attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; - attribute C_PRIM_TYPE : integer; - attribute C_PRIM_TYPE of U0 : label is 1; - attribute C_READ_DEPTH_A : integer; - attribute C_READ_DEPTH_A of U0 : label is 2048; - attribute C_READ_DEPTH_B : integer; - attribute C_READ_DEPTH_B of U0 : label is 2048; - attribute C_READ_LATENCY_A : integer; - attribute C_READ_LATENCY_A of U0 : label is 1; - attribute C_READ_LATENCY_B : integer; - attribute C_READ_LATENCY_B of U0 : label is 1; - attribute C_READ_WIDTH_A : integer; - attribute C_READ_WIDTH_A of U0 : label is 32; - attribute C_READ_WIDTH_B : integer; - attribute C_READ_WIDTH_B of U0 : label is 32; - attribute C_RSTRAM_A : integer; - attribute C_RSTRAM_A of U0 : label is 0; - attribute C_RSTRAM_B : integer; - attribute C_RSTRAM_B of U0 : label is 0; - attribute C_RST_PRIORITY_A : string; - attribute C_RST_PRIORITY_A of U0 : label is "CE"; - attribute C_RST_PRIORITY_B : string; - attribute C_RST_PRIORITY_B of U0 : label is "CE"; - attribute C_SIM_COLLISION_CHECK : string; - attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; - attribute C_USE_BRAM_BLOCK : integer; - attribute C_USE_BRAM_BLOCK of U0 : label is 0; - attribute C_USE_BYTE_WEA : integer; - attribute C_USE_BYTE_WEA of U0 : label is 0; - attribute C_USE_BYTE_WEB : integer; - attribute C_USE_BYTE_WEB of U0 : label is 0; - attribute C_USE_DEFAULT_DATA : integer; - attribute C_USE_DEFAULT_DATA of U0 : label is 0; - attribute C_USE_ECC : integer; - attribute C_USE_ECC of U0 : label is 0; - attribute C_USE_SOFTECC : integer; - attribute C_USE_SOFTECC of U0 : label is 0; - attribute C_USE_URAM : integer; - attribute C_USE_URAM of U0 : label is 0; - attribute C_WEA_WIDTH : integer; - attribute C_WEA_WIDTH of U0 : label is 1; - attribute C_WEB_WIDTH : integer; - attribute C_WEB_WIDTH of U0 : label is 1; - attribute C_WRITE_DEPTH_A : integer; - attribute C_WRITE_DEPTH_A of U0 : label is 2048; - attribute C_WRITE_DEPTH_B : integer; - attribute C_WRITE_DEPTH_B of U0 : label is 2048; - attribute C_WRITE_MODE_A : string; - attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; - attribute C_WRITE_MODE_B : string; - attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; - attribute C_WRITE_WIDTH_A : integer; - attribute C_WRITE_WIDTH_A of U0 : label is 32; - attribute C_WRITE_WIDTH_B : integer; - attribute C_WRITE_WIDTH_B of U0 : label is 32; - attribute C_XDEVICEFAMILY : string; - attribute C_XDEVICEFAMILY of U0 : label is "kintex7"; - attribute downgradeipidentifiedwarnings of U0 : label is "yes"; - attribute x_interface_info : string; - attribute x_interface_info of clka : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; - attribute x_interface_parameter : string; - attribute x_interface_parameter of clka : signal is "XIL_INTERFACENAME BRAM_PORTA, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1"; - attribute x_interface_info of ena : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; - attribute x_interface_info of addra : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; - attribute x_interface_info of douta : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; -begin -U0: entity work.inst_rom_blk_mem_gen_v8_4_4 - port map ( - addra(10 downto 0) => addra(10 downto 0), - addrb(10 downto 0) => B"00000000000", - clka => clka, - clkb => '0', - dbiterr => NLW_U0_dbiterr_UNCONNECTED, - deepsleep => '0', - dina(31 downto 0) => B"00000000000000000000000000000000", - dinb(31 downto 0) => B"00000000000000000000000000000000", - douta(31 downto 0) => douta(31 downto 0), - doutb(31 downto 0) => NLW_U0_doutb_UNCONNECTED(31 downto 0), - eccpipece => '0', - ena => ena, - enb => '0', - injectdbiterr => '0', - injectsbiterr => '0', - rdaddrecc(10 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(10 downto 0), - regcea => '0', - regceb => '0', - rsta => '0', - rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, - rstb => '0', - rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, - s_aclk => '0', - s_aresetn => '0', - s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", - s_axi_arburst(1 downto 0) => B"00", - s_axi_arid(3 downto 0) => B"0000", - s_axi_arlen(7 downto 0) => B"00000000", - s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, - s_axi_arsize(2 downto 0) => B"000", - s_axi_arvalid => '0', - s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", - s_axi_awburst(1 downto 0) => B"00", - s_axi_awid(3 downto 0) => B"0000", - s_axi_awlen(7 downto 0) => B"00000000", - s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, - s_axi_awsize(2 downto 0) => B"000", - s_axi_awvalid => '0', - s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), - s_axi_bready => '0', - s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), - s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, - s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, - s_axi_injectdbiterr => '0', - s_axi_injectsbiterr => '0', - s_axi_rdaddrecc(10 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(10 downto 0), - s_axi_rdata(31 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(31 downto 0), - s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), - s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, - s_axi_rready => '0', - s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), - s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, - s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, - s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000", - s_axi_wlast => '0', - s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, - s_axi_wstrb(0) => '0', - s_axi_wvalid => '0', - sbiterr => NLW_U0_sbiterr_UNCONNECTED, - shutdown => '0', - sleep => '0', - wea(0) => '0', - web(0) => '0' - ); -end STRUCTURE; diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v deleted file mode 100644 index 09e09e3..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v +++ /dev/null @@ -1,30 +0,0 @@ -// Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -// -------------------------------------------------------------------------------- -// Tool Version: Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -<<<<<<< HEAD -// Date : Fri Oct 29 14:30:24 2021 -// Host : LAPTOP-M01GHSS7 running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -// G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v -======= -// Date : Fri Oct 29 12:20:53 2021 -// Host : DESKTOP-B2469GJ running 64-bit major release (build 9200) -// Command : write_verilog -force -mode synth_stub -// F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.v ->>>>>>> 0267f8d2796d4dcfb8ccf16deb9b3341e76dfafa -// Design : inst_rom -// Purpose : Stub declaration of top-level module interface -// Device : xc7k325tffg900-2 -// -------------------------------------------------------------------------------- - -// This empty module with port declaration file causes synthesis tools to infer a black box for IP. -// The synthesis directives are for Synopsys Synplify support to prevent IO buffer insertion. -// Please paste the declaration into a Verilog source file or add the file as an additional source. -(* x_core_info = "blk_mem_gen_v8_4_4,Vivado 2019.2" *) -module inst_rom(clka, ena, addra, douta) -/* synthesis syn_black_box black_box_pad_pin="clka,ena,addra[10:0],douta[31:0]" */; - input clka; - input ena; - input [10:0]addra; - output [31:0]douta; -endmodule diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl b/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl deleted file mode 100644 index dc36558..0000000 --- a/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl +++ /dev/null @@ -1,40 +0,0 @@ --- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. --- -------------------------------------------------------------------------------- --- Tool Version: Vivado v.2019.2 (win64) Build 2708876 Wed Nov 6 21:40:23 MST 2019 -<<<<<<< HEAD --- Date : Fri Oct 29 14:30:24 2021 --- Host : LAPTOP-M01GHSS7 running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub --- G:/123/share/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl -======= --- Date : Fri Oct 29 12:20:53 2021 --- Host : DESKTOP-B2469GJ running 64-bit major release (build 9200) --- Command : write_vhdl -force -mode synth_stub --- F:/gitee/Architecture_work/CPU_core/MiniMIPS32/MiniMIPS32.srcs/sources_1/ip/inst_rom/inst_rom_stub.vhdl ->>>>>>> 0267f8d2796d4dcfb8ccf16deb9b3341e76dfafa --- Design : inst_rom --- Purpose : Stub declaration of top-level module interface --- Device : xc7k325tffg900-2 --- -------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - -entity inst_rom is - Port ( - clka : in STD_LOGIC; - ena : in STD_LOGIC; - addra : in STD_LOGIC_VECTOR ( 10 downto 0 ); - douta : out STD_LOGIC_VECTOR ( 31 downto 0 ) - ); - -end inst_rom; - -architecture stub of inst_rom is -attribute syn_black_box : boolean; -attribute black_box_pad_pin : string; -attribute syn_black_box of stub : architecture is true; -attribute black_box_pad_pin of stub : architecture is "clka,ena,addra[10:0],douta[31:0]"; -attribute x_core_info : string; -attribute x_core_info of stub : architecture is "blk_mem_gen_v8_4_4,Vivado 2019.2"; -begin -end; diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.xpr b/CPU_core/MiniMIPS32/MiniMIPS32.xpr index 0c589ca..b05b6dc 100644 --- a/CPU_core/MiniMIPS32/MiniMIPS32.xpr +++ b/CPU_core/MiniMIPS32/MiniMIPS32.xpr @@ -3,7 +3,7 @@ - +

AXZr7C7^j;o zB)5Hxw$F~0uS^iGXt|*{bm6R)Bv$h3cbER=y+1iKy0gzF9{9d+EIv=?K#cp*3(6-% zIcu~tPXBXZ_LxmFrs47A=#q5h8yE9kZ!Z0vx~|P*o3ZbM?^xT5J?2laqYtB(LPt7x zwz?E#jSS3mlNGTat1iA%Ae9ZdEurR;f_+@Qrx=sU#I^LbFI8*Dm}q;U9@zx>2hWxq z2gm$KC*l$^sw?Q2A|-Kj;D=iR-(_;V{0r9PoJ8CRlYPg0Z2UUP>HewS1E{yS^P!L^ zMjH{?ey!8v5#m|sh;zo#){YF3kozd#z|iTleojrc$*ohVzB70a@6HE*5B55{`Ddm4 ze!Z3zLJXQ(s_9-R$LDg^6L9Dobz4kTqGs-IEVMQkdOE*Uq`FMQB0qF>br&a*{nCD@ zJb`GaIY#$DL-QlV!u2Zyg*kJ^y6QW8BFqnV)dEs?w(8NGmh#Gr`&ur)ne> zx^%`Wc%iJP)4LS$UN)}ZP5xp5bY8tlNu z)3mBCZQ`GymL>%6SSvxG;EOhUi10bgT%4i}URJo}VTnk?L%A>BSIcHGTvJ>BocH^v G^1lF|iId#` diff --git a/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc b/CPU_core/MiniMIPS32/MiniMIPS32.sim/sim_1/behav/xsim/xsim.dir/MiniMIPS32_SYS_tb_behav/xsim.reloc index d087a7806b56259002e9f5496b1a62e4d6c38f45..9c328ba1f03061fce85c22b69838297c03efb473 100644 GIT binary patch literal 52671 zcmY&=eO%J#`hR8S%+$)vO2suZ*G&DEQz=2WwawB^&uWQH5+CZgl?h}DsDNc@KEcw= z<3c!F56(1&T8fI#3bO}UlM-c`EcK#`?{~|dcUvt zr>Fy~R^eBloW!wP;;Qu6l)VEVrVLVwZNsuZEZ({Dhf=^w5#2d>;6f^HJue{`I*G!`-6${a5*y+M&Zv_Wp1}xt;!A$>=?tlN%bzhYd690 zzNYNuL`n6D^RgkSt6l3>wCJ{VQ0h6+x^evG^o;wUW5)dDT$t>SFOQ-v-x!ajC(E8y z*a^b*Cs6cFQYU%*eN@sTQc^0f`=WuCrC9<$whiT(>vqv{gQnZVdM(KQDurOekfHbUaM#Kxin#O zXp2-RhuRnV1@Oiv2-RdTlAF*aX14S8?k4tYDo$U0L7Tbnq^q^w)q4mA2 zeLANp%;izJd#cWGL%``q*7Z3;n8xML8^gOj^&{@&s@YGSzmI@7c9Fy5MBU%iFrLh# zU!;l6J#!)*%u*4A<|vGifVTu4i^y4Cz6%}Lo`@;H38jxKEZbZ0y}kAo36YOs`&u-Ghtlb> z3U8r!jh;R5+;FFhw+F(+t{w_amwDm@a9@#^QZjw%1w$ay zA16h>P%&}$X=_`GT{U83B(%K(%JmCi5l;{K2_>tk_<^D0w@JJMA(RS2V?&fG+0BIS z*)xSHe9~})T;MKD5mn}KHzm%O+}A#aaYye=af6*SSG{S-Lkb6)Y;(f+bq+58GM`_2 z>$Lf@Y5Ia#x(%+UjCOjk5618dWLaptjJJDlEd}EOH{VJ)${&k}+5MBu`;cCS{Q+;2R$JCc06@ zca77_S2vinS4YhRp2Cuj{AA`UeVTKF=^+)|>$pxS+8$@Z6^vRYQy!7|@pF8KIMc(d zBQ=q8>X0~7?$uF?2UwyT@U|Pkmfk8&ZgKi%#|D!KOo89Ih2f@;1$RpWk0lgLC?Pe= zn!#)*$Hq@u(;8LQyWX=6=Kj7j)_0h%$e%PWF+3W~4LxV93g#LuA%sT3(p6KtO@K3NW_*si#<%dV-$Wu>7VC9euu=f4Qbk?6`;Ns_CuC5SC4VT8|0OXq3k~Y?C$psWi}k~_5{QY zLUy`L5&gnT>J$lGelTqtgDJ)dW&hGH=7(~UXZ_B1dbSEWOP+=%IrC6e#kYVe)X8R^g$z()SqHI+tp6fC-U-smr@!7Fc=5+}I zYvuH63g#+;mMY^PMTvLd*!^%P>w7vs@SJc;+6USbJV%o?x_?^;r^z;f#N;_l{oZ1Wzz?bp>}rjzG^|mI zw@2dp_XSX|TQ&oiwHyGa_;Msi>gg`8$npMuWx-3Z3KR7I2KNMD3g>R^LNkjJVAAcT zx+kPZV*7-;Col(H$S~h;+!=etTM6+eT(m(-x9jo!HUZRY7ROLL&%&zcNc%N!)6#s2 zOS*@zZch`oJWME_$JaA31!>BJikoSVjjk=s+)LA|oiJC^Xfj7PHjDuryBEI14>Xi` zb0n@|q1O#%4u?BS&w9w5e4(6wk07AE!Bd*6_Z^OyFDIl6507~0Ps5#(bgK zFG?ju&XlrBLp@TpQAZi#bqF>un9{UY=N+TDT;6avL-@&lCtl)2im+4mMfx<9bg zw3|?RNGP!l#Pj@`U~gK{d$f-Py}hG8R7_baN3!|m`1i*3q4*v+1bgAjdJ(pyJYfjN zeY8u@EGG&jTR=!0dUU6|_do_K%X27ADYbPLv_E4eg@@5-t*vQhPmq z&?cbC*X-V;p>)nht3Kb4x(err*Q%j|qeCxHvaO*|=w}P|JUrJu1noV0vo5Ohio1sx zQUq>gaoMF|4)9a9)`*djS-K(zVX)zMv*-cHttGJ>Zf( zd|k+{ZB(ba#0q$RP8jT=H+)QargHM|!q#eb|8Thv3PVApPkwsdw6q^p;+cNAA`;5o zH-#yFb~B22#T_PwP@(NLBVcY^&r=ZDOhvAM8?M5XMA9VFTVII89<74*JJUhgnoD-+ zlV8o$u*x4M93fwg_DGhGRk#UwK6DHf0TaJhVR0PC^QO-2!l`#@uL$}bV&*Bwkdtx7 zvqI@QyVlCQzI}8};j{R$P;{VfYX&Ai*F*Y;(@}g;R$ zpnr(!gV`)L*I{bDB28( zerFXO3U~GjgdW!^w0)zFueE2HRlnx=XK2doIO4a7N70ifXNH*N&vK(7DRz-;o-G|y z{L*yzFR$*ZKnwcAAgo;HKLeNA_piWZivBxrWf)*-$I0G?yl1(OjqbXw0bcKpJ7c~e z{;a@Kp1D8Ktrv=&^laYqFK?3u_e?Q2MX7e}XOy25O14pFztw#L5a9iWJW4 zVg#|TXG2v!vyp-Uza^IDqwqkaYy%F;lN8+U8ng!x!Yv&huRsV>OjG+s`JNsTpDMg* zl5IDgt2hHrO&F>jOtPuYxzgeZp1HHAxhPtd>}`BBFYQHdo?7y{x`h6aEb>e*4 zebZx@dkfZZ_>D8Rz*`v+wXi0VJ>aKeX}+!Z!jy*!C7wmpYdLz-e$*v6N47SBT4me< z@|#TtW*G`XS7BQEOvO!owaznL0prrN@kZJdYFm@7(J&_WKGrrF|hOx&S7QocJTf-yWn1(Dd zsgX3PZ5ou^@>dDM@cz35alSzaNtZpiZrK3;QLb4fya+Ml~S4hn}2JV9Rlv`;qXN-j)nPlXa| z7S-$?uJxa@j#I}4v&h4iUlijPE|6XsyuK%pJ ze8ic}bqB80kBIIxyWEkB})2$Rtx%1y0ZphiFRNIFjY=J0e2aQ$(^`$NlMjPMAw+NQvV(JFgSOu3ncV zYvg$u>p$Cz{{BDP`}T8?Q7(mXWVQ+D3ZrYQfJ6g@pB)c`0w^+)Z-ZM z{=L1U(f?g8^{?fM{Mrnko)GnJRblgeJ*11o7Yx}NHvy^pf44V(F)X&i@PRT#Ur@n= z_Sn<0S3;4pg+nhQr0Ze@Ub{l+d;fEGlk1%^wj%->;G)-o7OvI03Yc7T7l@=|G)=Pl?7z{L6v?N1E4Ti>g<92{K9j{ z001A>MGCB4)3y{$F+!LsvpX6g-hmdpCTb~m${YJpk)1{TUXOK~Y2qzvHg}AAyQ|lq zKA)fFVU1TjlRq|YZ($l-hHRALje020B>-dWej$>q(F+oNP^{ccf>*a>br`?W08^ZV zNw)<;dkwL#jjm0Y@=3;#aA}QhH*NBhnSJ!YS-X*&cy9OL$8w)d5>;W45kc+OqoR-MM<_g<9oa*p`iFEEO&bhn`s6ONJg>HUvvh$l(-Hu5MYawF8&rN6)Qcn`UuE?1} zMd4om5fgYnEbOaRVuk9BqP<{N;Y6H#sk`7dK3>acoPd9(&lXF*hR34+JrOq7b1}no zVRB}B`~9FS-_ViT!uC45*NCW#`~~j8L^E2NM1(zqbNr7GR7388#aX9?@!6QEf9DczsivQ`SGWEVGj%VQa8sq5Yj4Hz_+S2 zJ;$5nn%oP%>e0EbSp5aD%AC_h`Uq$KcyhStg0o%BI=DWfal&{tLz~(zqt3>J|Jd>N zrwn~`d!3CNYAjRj*IsRdK#gj}XWLtCLQ&(|Z^zE%9Rjq^^v#?MVzeG3R!-!o@*=^l zr5!mcVyynW_{?*y*t9Y{;y3LdMeXHYZ&XgS<#_&m#vc$Tnz@-C)}VURGZ?EAnrc+9 zdq%Bq2=kef6Bloy{va$yPjZ_t;Ot^T;H{+lxlqiKI~+%9$UTVBgu(Ts=G+4qogLiS z@^fw&=HV=1(RY%|$O6MHKgq{XSy{QC6&7faOOyJ(;fi_q}14Cl?#M7h%vM z)m+cBYdKxCV@M1H#WLd#Fec;`!hR1kJwIZnPoNM*E<%}Sv*6$- zicn#S{QVQ;o&XAer*7Xc=F&57pJrykS)puGEWYo}fjc5-Aj2z!MGP1sDkZC^(0+$u zEGgp+8ha&7NsnBxwZjhvwNP&Ws4sqT-SSQ-lxz8_0u<=3iJJU!ZIt=yS&q!fo%btD z^8Bb;vety>?P;N0Gj3>Q_u6D&^BPC^;FclOO5wq{87#9LRI2uoP&l1Hk(sEO=?N9B zf__hk=8_vny1e#HE46wSA?Q^Zc2P0;sW91QG`sJ$;SRaS5yB#`9(v|-%KT0OesFb2 z!27zLO;}18Qau03QITY=9^jCcfW12R3|3}%4H_)>Ts;+A=#rlJ>B9OjHWxn34;*J; zF1{??9}$3|&XpeNN^wTx2Zn8@jSksZ5_X2?8pF)BA1ZK&BIh51$vn{Pp4UD#UZHHB z<<7sn0C4ro4%jv8P8k6l){p*#B5#kxZvf>e-mGTx#)?5~+zMhv7$r7^UizzX`x29| zeaJ;A-lAu7T{18^C*FV`PfJI|oY(&JpsUCqCS4T>9WcDU6Tx#0V9rKONml9cT)(OL z!Y6)*yEFHJQafO&`F#U^&yY7v;_6PB=2qRF8VFv(7TI_to=^nQ#2#w);MnooUH$%v z^Z5u5)N#dJ^pm{p*-S$4khfC24UoVtO&G-yYrX?4#iXcE3;Mam`}qJpC3(rmzqf2b zK>Jm}K4?sS0!+3YjqiQ^=(gzKk(uw9<>s@|5a~OScz~XoymdZJ08YTf^X*5wC2Q4q zp6>Li@QG^UIvgko>Dcq|`p`f>^MMv-7ICQINwiA3!x=viM8{-5Safe%tQHIm@2M~e zc&q7{LIh1F{TjGdd6;tYqXpX{{GdZi^SjzPLGS2yF931FgTAv%)cn4AA7Htrm_^TC z-kIV##$W-=uHC5vA4E{9H(~PZW3ZBQG~qvthiI~Q5<)9DJplole9(kgofdk7 zB)j*3RQ#n<`005sJ7`bP@O)t=vhamSx(+AkuAg?G%$Fe2QD4pkW$e~DHEA%8Lt!xK z{LImA*%tTl>6}(TuZ4goeGDeu;M;oLvQ7@3^!;57R;e2-Y4)4_{IYYj$#0B@kkWU; zu1(u6VT*l1fOlrI%NNmXZrD#opslLZ}b>v4|=LF_}F?B<`ROFYTDA)Rdu+tAi+c8%44&uN2=Le z*Cmy|0XV!AFpxZM{r=c2FF;C^5#R zdT?uw{-I&C#-D8NSL8UvS-U3hDGYjr(*3dSpJO<1z34q~eMkK0OO&+28vfOl+JwjC z?r(d-A)3oLz#@OX|1j1Mtg4)-ocv~COA!c28S|6`l?4u&t24?}MbJ{%{G?bK6pU&K57QGBROupnmOEFO@#s$2U{s{sm zlg7M27d{}B`zr?ldpNK&5ktWt#fo82@i^(BoYLvP*finSGY?fC=mIjZWp*_2+YC^p zY#0}CI{qdPg=K2z5NwX~8$I@-O}gmV!iHQZ&pShNDU9a(qFcP9S z@1`kiSwn6#xi|qS5r?LT3Rcb%l z{za`DvT@j9UtD*yJuufedGU@0ax88@LHfVstcd@E?3!&b6&H`12Y3q7mZYqR$IN!d z>-b6jH;t+y&p3U*ErS>_m?nz#+N-TMu?j}b&^}vz^|pz6Av&s2r3YOU)5p4psIq$u zla)ejN`rkmQ^PDmC}q-%!dPs1kP?2?w1+ML_gi|ny}^v>JrnT@qm9GfkM7A~FO#z9 zHDALGWut^&^Vp5#CBk|Jk>00(ZB5C7JYgN=yT;eb4+<9ui%|U4yl}rb`JiKi_NU6B zJO$@XO4d{v>tOTl_*#Tt<8ViCoP2TG;|%TutpT@m*l`5{nQGGPM-_Q`Yy}X1 zEf3H0X<_WvxvJ*#ePPnYHz4S*agY6;piDdb9|8wkSegbv;8|gc`UC)h0}cR9ep-{p z3u&VJn|G=(m;2?16UA$*@jQ4-6aayxp)j#0k6)FeKe8WH2u}}>U0B-z9kglEl)2O3 zD5Ec6slx)l7v?^{8U1ZXh7M3yF{UW6&H1%TBv8ykOw zSmr_#dm`|!&1cF>iy(wC+s~0Q`&{QrA^u(?+FaR~Cfy2JqpK;_m1;oZant%9q100- zfdu0F{9>%fjdP#O_%RFS$d#}@2t{)>nj>B>w+5JPn&z)gRv+$?t#Xg8B>Yn;aWt_T z??enb4l}Jshul3RTbuD*?vvZ3-ryGcFyFZa`}TajGxm}M(qfd$l;_^m6b z1~#Y#d3UB&L8rp)a@jn4Cj;RN%_UF|u0uFiGeq{Sc<#r$+YDcvh~RDo`0ZKbVd7PH znhfFw&AB~-gAT$&AU=kYa~z3N7{9`>TSt&A9$zpFvU}`X+=u*>k{zHM>_Ep@&b$ew z`-8f0Ky`MP9-D6ilWjmld9Tmj=^k`cF|CY>K+r;-Vwyc__{)LyI81@JP_`|yxzf0~ zj@`#4M~5f5QvoLcVSV)&cEryN8D>%Mk4Mv`*VMSXMlb&euW|+NzJgr#H!}-I{K?Gn zqW)rLvH#1=R{zD!%6xDPUu|0+1Ofp(44Al*JgsfO7P~7sA1~OOK#wJa5jb1)G*trX zXWq^x`ny_CL)bde-zOBM3T2MXg1pTPw+yCsO-(Z|fI{D^+ZThqR9<&DG1(oUO7E7b zU*%U4(mDSaL+B%18cYnTox;YW`$$tY=ng++wMVo-Z*}$0+`L2XRan|mvaG+Lc4-fc zgt2*>;`HO&8cb)4(?DaY^E1$?ct!pkG-*AnN8AJdNslqY8<%{&8qD8W)9O)>cgb6= z_rmbSAXtBSU;aEg%RTTVi0y5f=RGrZPekmq%Ad4%*)z7tmbhel4SKt>1#lP>o0>6m=;MY380m_gK z0IkKZ4EN4~ zbX1#`53F$1u#=}){w*ad{14_quf6eeWWP8Q!)$v3HHUVJGbLOewYa7}B3H%F#k$6s zUgnRQnm0A*Q6RE)b9ZTfmZO{-wAZMkhW7^*%azGlmhYH3mUFBeOD!wM+LENRJTw0E z^ww1O@6f%ctPbD=HBNQA#&?qaz(0?rK8kP#t$Fu2lbYhWgwjVp<(XWZ8}z>Raq8Hk zjZ=(~_|Aw7W)2yath3;`3Ny=a`UI*o;urAMHt{uW{ME|3#|D4i??nT)A$HiJb23^Q zQ4Ckjm-uodi|^Fqy57^ijx0HuUVF?VRW6jS$X;&kA(U6%8t@*bY>gGbK2Og(4yvc( zT&2w34hoMm1}U}X;p6ZO?8n5SIT>hH`so_(ksFqmU!f`uXOv0mbD-VPw=WS}T;3W@ zyaM=ICn_|rXhbbYO_a^9APd?*)H2UH{Ey-N2F-%*&$hJx-=yIWr|FIpY}m)fLqhR8 zCV3^NXH$TtBsZOgQrID7jyOC|VtaeaT==v)$yp8U9kaa?KIq@1$#?fa9ai|#B-?Mg z-4HI{ZB!>Y=%GD#{dGGtFqBZFc;P(&|F-L)9Y0U|1;lJY3&M{m^P*=mn|EbvRuJUc zG{g<#dQj*NAK&H0qWZ#;WfKo9C0`62b2GG;%LDD+V9;`Q_aGq^zKu(*pz?UO+|}4U6-A=^BZc31BxP9~SO=}I zq1M}th@`VyaPe~tc$`UIQWQTnfyql_X>Dp^=gaMlDF-ZB+b^q&`F4 zDUz%R$WItRev;;u_S8K|8?c{TIBNMJ^-*_=;K#0hcp~#vH==O~ZHnBF8ss=-NBC3OD2=Vyu<)p7v*LWx2y}^v(>x#bP4f1TqhHUyrXvaTr57fBKWVjM*h}eVCz2 zwKU$|DpF8L%@c16Rti%uo$%G4?8t5TFyl{lg3GgMoqLsoF@Fmx z=?T0yOBA;-C=IPev|m>45gzWXzW(&`u(ZX-KJU zSh&G(8sY^q^_cB06sx>+7IXp6dL*lj6>iW0pTzl`ooyE2-L-qo!&iLJtkQyGyQtVQ z7mj2-XmAqC!J+uNNhDhv849|rb!;y8J0QQ}x~Q1geQlPjU((jTP|G~*1P4zph@~&o z(v85qg90$1%ZXzTz(JQYZ!mmn{<3%E7z$ff>K;WdK8s9Ne-1FjfOq0N1(%NeW~?D& zuNjiTq88jdOqXs;!}mLe99n^aX+oK8WN1~+?58!pgCQXqy9rm%c}Sex@B=|b2QN7zHdz`l^TT_xsTga=ku+%Ufhlb1{dh%~@B`FWW1)t&siwx*w$Y6y7rTb;h+?MERJjd&*i&U#_G_ez>>BC(NMJZ+`GPsL zX%9#-T}784dz@e z=(@SQyeQ}glB+^Dj%-==LYkj_vT~n!0`;6)Q7v2;d{fSOMTBT2tKIIA{!U$U&EzNu2zB zs9k&P!bF_{;!G2L#IJohpwN#FD)hlz1@ZW$QPaJs*H2Lm%i#-zviQ!xAHIf@tto3C zbme%RO3{V?%2N=dKzhBtp+WC+AWj|qP2-gQLVTzC1TzQqAVt?T5Pou|RPBqR7KD1N zz*!!<*9Sm>TXu)d`Nv=>-c_)JhsH#PuE8_~CFA|<*TDswWUH$M2X2@*=3d)u&2yZ z9MXv&E24!`&;#w!?9#ap1DG8cr2=$7CbK9PbXn`5ri#UJ_JCt{*IvVApzpH3`D0^u zih~>Kngw)O2c{4{eC$;Rj@Zc^RcVBb;|D#bFmJQ!aTyjr4_gdQ)h4N z=U+ZYvm6G5miV2Ch#Q<9NPy-lLV4b+5j_Y1Bl>=B1jhXhAI%#z&SbbcO6p40X+htbZY1cL zuhhiGCDWD$b9n!mZuHl16R~uZ6p{K!6bOifF`Lu$hh&uI_wMF+b5|rVxA@6G0QKGA zar&D;F!`)-)O-ez1+T*o&m7Z-0g2;DAaUG3s34t?T`@%#Xc@nn|JkP?!BVp#LcI0@ zdZF#gs2SE1Jnq2Kcb_*Sb+S)%GAF?Ij(%VUl_IzwNd{PhW2P!F4NxhHeB$SDpi(?t zK1wJX;pc>@H>JJ)+qiy2k_ct|Y$0*Mj8Uh>%_}QXS!Z1$(s|K{eQ|3YE zkoa0(aJ$N&IQeti2JO#PLom2j05wL`gbwVXFg2M&4(YPZI5y84f?05QBLcL9I=;4U zGV<3v1x%Hk1&L)Ihd8@GKH2!9gIC?*>*D`NXIo z{5AlLry%U_0oLz0`&wWvZxr1l3F}FmBv~sE*zK60UNan2isy0vh3ce0ooj|B-wq~y z>kOd!26t)|;b{cW1>2d}ygh(Zet8mTEyP6&wjxB8CL3`bsm{|e! z&DhdNARge!L19$QpIz95dR~WPhh!HPm(tZFW^k$skK-7Y*2|wu4!q}y~FX$a4 z+==K5rm%3oO#3)tuK<==wyoX^TaFVZ%ZB#H;u}YDp}pZ#*pmCQ+u{BG8T0uG9$taE zpcVqTP3)8qdyVjUx@`L5LRZkh_VH5YcSAY{rFgTR&2xcZaxMW6 zD-PfPqrYzW<*BZ<9eCcpmVozk_8HhKpmu-z)6od&hFAgTU(?)|r-^nq*}QN%CjVt= zG`Y}$mTKBu(F&EzS^Z-L)AG#T0MIs?0}{QUY|K*98!Dzab%hn)YyzTu;7yT8*w>&b zpDL@_Pu2h55Zoi>PbpCzWIF_VOED_HqK$qm50$MC6m(vn9(#C-zG?!$lFu(kM;aZ3 z;?1V&8ywyyaHG(4+WWe&CYC830Bi^)royok&)_< zP+bY@32@TnXToG_(yFU1PU^hwTqGPx3Xc;?9qnqSWAu|VTQ0{mrg(Dk;6M1P7%waZ0Z zhxHxM4hV99mLtUtf$y=QYpy=zMOlH`7lxX_LVVkbhyat-;Rm+|oPUGHlqV?Ri3{6{ z@VyQ#iSKGp6KW-(C(II-k50}!xd7rns!6^?{sScX?oarW2nF34*%ie%f3D9F8%J{> zU7v#%_R^yqh_x<5+x_QwkT1xyV0>$isw+{SCte^g3dsd3U60OP2g|Eefwv=W>R_bO zjE65Ly`aPf`ULtV90DbG&^y$>!M)gdb##;ZMJI$!YNZ!-t_;szgpryvw8VCkO`Uo? z(`3`mvypp^WaBTnxi_Y^JKS%lmM;0$u=44P;hBIDd z>R+x5XFSieK5QSiK`<(jaPn9@oJ@(Y_1fJy?97$lLDf2c(Ku`u9%n-3k6NmeA9cTu zpF{h{nGi*zmPbjCBJKlvV9D)NxX$vVS7Ang*og+Q6J0!N__1^U zDxcn>li`2{FaR1L8qfeY0Jy&ou1Y8!H36unM?1u+MU8=vVQ0uKtXGUfjZ2`KGq?6A z%twkxO_dP957+>HAf$21OAYve?CxFKdOZ&kr>44qukM1c8jr)}taXUk@3j+`Z|cv} z`z@w{nd;N#`M?y1Kxhva<>QPk&lCa$?;1PnMjZ5@6q(lPJf|r^aJ=>y3L7#@YMXz} zd)77>u*CPKU@yCHr0d=9j*PRpxAx2L+vg%Gwbh#AC%SJ<4M@H^4@cD)K_jz2Y#94` zNS7d#I29qP^Lp(QHI%vLp}eu++f%)uCDq5-H-#;>Q}}tHjx~iQ&2koW6!u_;g2x>{ zO@I4sy&h9YOowSU@wLWleG&KdUmfL$PV5JMJ;+LZ%;N0Yde&hE?bLZ_ic~h2ZuEK zM2P+pcjqPiDegWS{g=4=;Qxxd+d+e7eX~I4)7tH=wH5RRQRWL%k%_U!XrXw$X+_Vz z3COr`RgAqlF77nlH5YpDv-7Ce(Bp>sinI@S<&V+t5*p6K8kRpCa;r)Oyg}@i!x=Ky zA_4T|!aL*a9?t+QzHmej?X{WG6y6U4uLAgIyR86y2mtz*=5DS))-YshmgOw-p!G|9 z*R{q7nUb5LHRXjXtGC9P((+p;hKxG{(1F9OSEBWRS%|68<$#{n7z#LAC}0ERcKcBu zb(W@i0J6Xr0Djkuf3L$cZp&-EE@+G~afX!2_t0P^)x{ew+;Yb|A+$x2jPjXTSBm>ai=Z?3#`t~%jus=+jd|n&D&-dIMU5-q1P<{%);Vqa8$AE~h$kpY;2&Lmy;c!2uuM*AP@k6(ECnOl7nVspj&<KXHF70bk!O&A9R4)t#Dv$Euv_ z-GY-F&7D(1k?**VoKZ?`*AH8*&4jn71B@qR{)sZ>rpGw^#at!) zXdBK!7up}5q47{-E|o^scMU{y20m`gOP#}()=}eB!lN=Y1XEm^!C&j^d_4`y+nMck z8`d8_j4ePwlQ=ujn4&OFzajO*?)qj!m7w2}TE&A7WO9NK6Pvwc$V ze2xcM;v7t(@h*BGPtFGM+&Mj?lU3FDZ0EM#CkdeKBm4$}!GHOCTLE`M+Vj-N2i4i5 zSS;Uo3a^3QPrDNRs+nosIEuzz4dV=|JcAh}mb>^Ryv|FqjfXFC5WWC=GU{9ZHd81pRWNRqCR33ZOG*lZ*Dm)1zJXqMs z$6n5*$x3wZ&nrK=A6cHt;ev$?9~$lAMJp#i)82%Arqy7t$noVa9uddqqG?i}Z2H~2 zf;#r#BSX^;k({KOA}Zg&xl5`8*$?H)M47NdFK|z%j&GfijkZx=Ioo~PxtqOVdi&J> zSvUH|j?X=Niu;Gj!x?|&tg!+6>6CX76YnzBtGOcGH3#Bw$s|mS;9gzHVmiYpC--ro^k{ z)yKm70|+JF+$WeKKbY7xfN{%kZr{rb2RWl3dgw128LMNlgwLM&_W2o0v2T$&l=wiR z7}BhnCY%w8*X!}+b{-L^IkVk&DSMoll^?{;Zvj+aG^f`!Ch_0Kt#W+nWWn`VNTY_R zl&&knmwMAY8;u@;n2Vizi__U8Cdq&Nfr_Iotm4ma05771(IoF7h*zrdR|;fRXzb-M zVX{8?2Y!AX=m6+8B}%px`F;>M4<<_;$1i;H2n`h19Ig!|_GqqE@&l^GMoDXg^&SH= z2-K`YKo5MT^B>l1?YOcq@FW@miylc3|>z2H5%`OhBLMt-+^fG&pc=co5R0uV8X!#zN8JJY=q z^fFr(oMJUj@!MdM9IkD;ksCq_E@k4Bra&Qo~-acG7G>V@vF4 zl7+lc1e@#U(fO%iMSyIR?RCq*zVj+pvp$mDyN}-e^_&ABl{AdJj7ej^8lvz8T^g|kcehkKX_fq`)+w-2Ohj?BYP(>;2%T^g54uI zN+<^>A(zu%=>QIh>k_2daGyn$AsWmc;Qhzpv)8NfC7|Kq&gu1!No>fG+wX|rxhT70 z3_yD`;5dwVX6o>Wbbe{N=o*79uPyRZ@8yL(@%k~(_~|nM4-;kE&>D|4>euEq)$9Sw zt?QvTWaY0}3#%gqHD|*;otWBhA2B38F`6A|P*T||#0^>1YwGcp*2(-d_VJ|ecj4i5 zpd4oR?;G}NHujz8FqiEgkK>EoJz!rEsHvb+zQkxW{61@1H8E>i87d!XC8-JrRh3p?s!|JljZZXu-UINg8T zw(#$BDGo#ARzT#sSH;e`{C#_Lu`KF+EN*oTa7Yl53K4$tNVzntLe8n}^fjCyP9RW&C7V`wK(r zNMVlhz`ROrRo`~^7#;>&c1+Axt^)?C{>6)F^%ynrN0ybkBl&Z>BbN@ns>7F7zm<}$ znn9~YMqf(c;G4J%ShQ?n*4b@hmbww~3w2&exW{L8M!e7LjQAP*g(-MSQu|1*J5HU` zRq4~8@d@Fx;Pg@EbYEQpR($qVAolvbZtytNU~cN+%nwPQnCv0*8zxMqjlec^1SWOP zuWtsaHuTY`Hs@}?;~Wl8`rddm9HD(^$Q8_Z$;y^8&ytx6H^B@llQ{e>Y}+*r;?$u(b3&NY86nfwu)s=?$1&=d}TegdA&Xf}Kep2qKzdsOC0 zQ8DYJXwTj{Gw=}ZlBKB^7%$_lW*w)GbPu?P7PyCYE&5xAbow1#>p(W~r#@hV2j*G@ z@T0m)w{P=0!o?{a;TuyJOPfF|aLyQc5m@;IdRC+jrjsM5PFS|L@xJTtw%UD1nz;2L z$}27MNoM-E^JZXCNZ=sm)UUgHvxz?rW)pi4#LFUoVThghU}pAUW<$UqJgRwTmR(zB z-GYI6HhtIqaEVt>%DFm|Hco}5k5f}AcP4a?wgFqC{{Ap#k#&Q z*wBYvot8|^V6&B#`9R^#892}~TW1R_7)+h}e2)TMG-phw&KVnVmuISfo8sBfQ#-n7 zNgZ9tl-A$y(VRZ_^zrHhV71=Cq(dfqI^&+@pMoZS5wJAqEh5FYuAX#dPFu8NLhkIj z^j&wqBOP+b@VgG@JfYKX!~MdLEng__?#VW@2Y~hZ%!LXf4(V)KhpSzDi*u=-e{ztshK-QpRKoz`L4TX z_{2R_YDf5J5-{1GIXh&kHU1gDiMmeEMBUB4Lo9iDg^N;+B64;9iNBFbJ0Bj8I6yHu zkE>6nkE;*CZV@jh&=PW+&|3vfQEwc~(femYRkk1FqUh#wVXPcp5VbK27eBOa6v1?3Q7b3N2Hp??HXv&Ev z|1SKc%ZCviBvo<;39@opU;D>#teaNrQOJ@W=!^W7_b~GO) z7SHKkZ=RTa;^_3PNa~oqz8uZ4e!)D+_?LvTv~xJ{x3}9{c##=%2K_luCXNeG_qu|# zh6$$$dFIPw!QEGvASz7RoVMmb3qNfu{@=vnCl0}+)EVt9$CmMNo2Kv7G;UtvedDSL z?d*mL?b#BSS84bjaO@KN(#Km{1VqQ#D+mGnq?ScS-4$4f#h7T*wz}ryWZ>GV4(a2( zu^TVDSj$=W)F2#gXWnO!3ui)a5%UvPJi98TqwCQ?*ee7Z-^oR@h?bVYCBww@7vMUCu7Sel?P0VPF_CG|VIibcC5b=UU8ZGwP8h~lreqBno{y_dbu%@hIaM0|t)pW4wPRx3wWDI(o}*$|byE$x$qvaW{7;@7eOwIRB&flyZmxm( zfXa)XOK7-8gPKr3T}->@2H-@=n4g!fx}WZnV8%K5UA&!t(qyaOb zAplV3dI4BgW1k)fz`Mr&6(Yel?FqkUDuJJsmB;#){k&HlNi0m`K-z#eDUTbPZu^SVZ!hJmqyVXB|$xf)IGX6bkLpIwhhGLoSJFBVK zrQj=~#Y8@HPBT6PIM!W2Q+bJ1RH!)C#{ILwM1CrZrz0$0?udCVNK7R0qEXHW<~qQ%vPN zmfoW`m{$G~I3XfNxjQWr^jhZ;NN;e9CsNoZ-p_9cSzleZS9%17CuF#An>(E+Q6CWd zVDedvqq=+Tkx0P`VEZ7FE@l&-(y|LVg2uWVb@aECZ;{R3x%#uQF}!f&EUaRdo2;CY zqXxMJv|}pjDKt<7(z~X5f*X=6OmlZ7U%qGd#|^9jA#c&##^@r-kH&&6N_Ahe*5yW4 zpYV%N!{#)6$l8Zf&cep(1VC$$nGRf1F?VEcHqPW+$#X{1thtrEh&Xc2U ze}2Ij0T`#hVDP2EW)}JjAMgZx!1ce_YH>1@9BZB&Fr&Xf(1G-H{=y^a{HsUA{5u1I zwmpFAOAY|2=`-n)sx`8ElAo1gM~u7JAz3Kaskimf&_TlNun8+LYugUTC)kL+q^2n% z2sUL4O}2XJ&OrVOyM@!7Iyyd;F9%0j!QXN0y>xe5PizVMS|UFw6Trnpw054DCRxXL z!LJd7p23$p649EaV%o?zu>SJbke@wlTJh}yDTOe>fE!_jI28Ft#crDC1?R+9be zd;G73d4rT=Vsu?Y4gE}xSabpf2#w{K_Drprcma6Cne0UL=y$sjV%_jDvCiX|Smbe3 zEV>g-n>}(oM54!2CosqeT&iC#AI66GUjtH~E1AQDjHskm7sZJo|yZ1r)zBNL823X43Vp`ucF)d1c zPC_%WX7`z1bXIBN0Ec95$<){utWA)`RW+HYvkP33ZMU+VM?NHB&T35G^_hfE0IaXp z&?~Am^hB_YiI0hyLFs?B5Q9-iY}7=*y-9qQ_YpB10d|+o;LX_#c9)u_P(G}x$zq-~ z&l1zR!1mJh>Bl!E#~JU!Zo_Pt*aRB}%yNW#pQ*62S_4b0(!ey;8l4xR)6uCq>H$PQ zmEyU^{Aabsya3Gp6|f5bRNGOsa~ktle1L5OSejI@G=5-d{EE;&jgQ<0Bhm{*2D*_35?ihLhp)w zBQg=w`b@+Yux)n&;*B{Xh8;X6hJ64fr?Cc2XsB_%CBGbswL!p6Z~LRqWPaRdf`AeN zXx*_VJj-Ez``|Lt|jW&q%7$xOi8AAu{fp zYGeToChCcR1XaH41!D8P{e322z}EoxfP5h_%Ptx*)nd9RKOvhzuoP5C8k^M( zEKl>1wugqm+~f?PY3eNOqBTT}iURo_F2UC4AN_(G*Fg1)>pWkv^z#syz0Qcv_XBHU zMT{T5FuszU@lT(>Fuu^(B2huLpU5k{UadOVuCc6ZHci#MHccHknof%cYa<=_%g!%| zE2oQ@BVgq{0nhL}m~Ijb-RkJubuQxcNLQZ;#;OQa>Z&wwZM6n&Vif1jGdZoK_DMiZ zsY~KTH1T4wF7NwlyQ3Ab3U|ON_^ss17PgGfIAXJptf-OY&8@c6c4Q{f@wtg~-8`{F z3|?m-vOAI_DSc-!aawA50+sPSJZlf!dkaaI?6V~xZw+rb~Trg?A zPM3TofGb#Ll9ic&;bWb2z%33RnQq?wChlBGUwWNkj)-t2I!5k|l^=U-4NM|A;`LMh zX|&PgOc$gjZqRY`4l`>8w`DGNBldCz@x+vGF5w~qB+9}kTXuD0+=y>qD zyuInEl!-pghFG;QR|5sgfbm+nS? z=EU&=l^_Dod4Ph~T~J1h+Of(kFF5?IyLB7MT-JfxH1;)QG`8sO2lI_1=07~p&5HWL z1tQpY>OS(b82L?l_>iey;FU+Pg}-4BaBL@ME!p}0Ac1n<{`+oQCKtE1H2FlxX|a1e zwJsFlcY<*5-z`R^4{`9dAxg5T6Xo1Q6^Gu8j#%M|xKN?t~wAAA0LP3VOm%QbcQ zCp&E~Kf^o#3pz@9oCl+J7BqN; zTU)*78jvC^zTA9WMz-M4dauHuJ=g*L6T@tCU#%Bg_eXnNFt4`gsCv0!UN1+W zt+Cq?ld$9V@U`A;C7-AVPALl~iIKGPf6!svLM0lEZB=yIn1iJ+04< zG+Yebj$bXRpF@9a!gZju$rs9Ht1-z_(d2XYuvmo$zRJdICvqAK z+)qs}j{ab3&XZ6b@1%IN>@6v#H zsILprkI`O^9qW_x;$!SF4vd<7*G8}GZ~^TNqs(aS2-7Z%$+sXpy?m* z&gIz45r#?SSpDl+=?3a$UZ}1lrsoc79T4S<1WHZi7vd8!#^lL~^muuV!n&$|8B|BIUU8DpK z!ddRXUm9>oM9C+|A;nzmQTJZb<=#G!LP7gf92Jn8<)4JlW`nTQk9EX2Ys}^@S|GYJCyD z<&Ew!$iBcTnD14O#U9bQrd)F2zD|71Z7L6H_mAOjuN<3tWAJdnU-KLn59V0zrDTr~ z+oC&UKE#{+DCt3LNBy9#@V)J1=#pH~*ygUZo%8x(*|swKQW5{Gj%7V(oqLAykEJ1? z2>qib)Ve)czwZ?@nHv&g&*CJh=C}mq$By>zVMN>VI_B;|`Pm>{lPFW z1=(xUuXye}5+VdiV4B0O>-68}*Z*upAcY+>ZWIQml}eb8O||_{C~iKoTG%V-LJg8G z5aY^u1DuMeoZg_Gv>njSNiSHbtf<%;NFG~X4~tPW&lsFoREC!U0t!P>AEo3`&bt^~ zcS$R)fo{<6)OmaBZOd8&YieQsn9c1aoNr|V){gcdQUs6ma@gD8RR=j>({E^3!rP|h zwx-AMcapQ_8-}aPSki~w*YSqQ{YavgW@|%>54pBKR$1mnYtGY~wDnT}{taW}jIL)$Dt@2)vDz`50RSgz=cILFt z=lVdHKRH2?LAQN2H&L=>-z#|X)TR&V86UGqVOr#2vMFsaw03-V?J7LhFN(B{febUQ zCtnFj3ECt5=<*9`E3Xl1@gS-!0sEQMZORgo^*3LzobPiALil~au|L*_NLuh)>Lkb~V9+rt8C$0>s=d&|=d6-Epc z_RLByGtFRde{^%(!)sZy3$hpMRYpmx;|w{%bI93oq~u$M1tPb? zjN&d@RwVbI>rug|pQOjszkCQiWDLwDq+CyNem$stmjV*By>8yn9u0-vzKsk%K;H8d z4Z-BzKzJFZdhWD!DEGnm`+>EnAgeYdOWpfbuPXFR)Riovs(Y*q-x@LMU1A!W+x~*s zx{^_V08=il;QGPa;tjb#foo7*EX%`olKR??n;#`>saJ|42Oah8ailHUqHVTAWQ_D`lnPknqHOY+ub4$E zOGr8XMU1DE>=Jl>{}N!Jno}6JhA3rm8)lI?yRii>q@7ZQ)QX7K*xk$cpS(gEXJ7}q zvw?Oxw9o|GZTG_L$}dr`A);4f2){@NGP#W*NHAh6cFcn~oHN%t$K#Q{mtdpJ8=c7nSGO7laocH0}K(uNVk@dkPEDV*ZuNAmgK*mAkG z;FON{s}U;n=HBNup0UMCuEx2SN)0n1D(zk}p{ymIaQVgj8&Q4fueiR&&rl znw`_5&T*gcuPgnzkNEk@w)>8o!S(E6|Aai&#Nj)#^U74ozqrYy!OgU@LFzImw2q#S zKq4@e(qgMYmvSjerAWVl5k;Zov#|9T%USiSB5Jh8PgjWGHg?+&!jbmekM6MD=^X)H z1uifzH57Z5m`=|29C3z~L3rh3r+T`3;&NV~{qY1RBxI^;ILZs6AI)ly&J~Z`Sa)Xq zQ?d9xO)%yb*Hs96K=-2U(^kE>88-C307HMRS(yiKw72bm(suwSR!R)W(Wyj~@>*t) zO>j~i^73L)o2d-Y?-6A2hBh2dxo z7$thZC!2!JgrB6E!FAYtIIq-N%NmQr#HL;++N|U zZAWUSGKYKdVB@)#<$Q?j{||J1YdY8Q+|aoL`>Kq2wUFbN=iG0ZiYS9rI~S4-`1UB= zbr-`0%1#vdu3;HAzeKjruK!~&Rg#b4KSqAbly84z@`~6rZ#X+^k zIToFPmN{0Tck@bBjUNkGtpP~qgO4ozM0koL%_{J=%*JC&C^;aj-8ihA_A6uHmc)6< zF!AaXx_Q1~ovGIDDY;tcJ#M=)JRf;A3%#Frl#e<4g^lL*vaTVD@}tm^_6ywC%g9ry zgX2-ULs z7sPWL?}l}uobbWU2hW`{1K}38IcXJ~_7vIl0@pT6stCk74v}e(hKpsbfl#2Z=kM2g zKQ?WLi>hsiT43DHJzyI(w@3BaV6_5olkC1}9Ruo9=qxuy8>S0Y3g;ReZ@Xp&_Z!{V z@Va&&Ax6=6+ovk7WVsk7^V;9D{UOPOXQK<+OZ;ouCRLy%liRe6Z1C%bOCMXm#<%sO z{h9T5$EwncB=X_oDLFodQFs{}**L4#+?%W&2(&DR==M83B?X1_$a<&W;Kh(a0pFh) z9L4!WpI&s82u=^q-L}8RZgPAvMG-Mwz{$x7Q?`vgPn|pXC7sRth_&FI+iP&cR}s%! zJKzDM^U+oz8fXxlxTHaA+SJ~SmMvGQweQ_=U>daFQDHqj+g$QKrc_j6LRV)uw zRwVCW;Pzzt`9(!Jp~Bcv=0iz+rlC3fcNagEs|o##+Y({8MZ25+d2F+=08>Uiv(pIs zz$HY~6D!6v#IZ-asX#0Z{!Ua`Vf9LPe%E^-kI1>T*1T)Kv+=YlL`%5TF|t-BpGI&=?X?v1j#tFckS5plgyh&kfv^iD09=1n zI}x^Dt6)&FqF_+~} zBFa;RlD9I7N~{)57q7WM+@Y|5NIu-7Qnpm&RLmbO)Z z$Q}O*!!I5~a2uj~0^_JZaP0*jb^0k-%4t8NEQR}e5ON*j#qRa*{A@LUSAQW1Pl@X` zznnjoX@w%tzjNzK?F;;fH=^iKz)mTtziS9&WqEPGWhKYi-*nNeK1Ox_VJHV(8@vji zd)p>}KHg43=RDcS(JLr_=;6+-c1HhZfO^^!gZaD`_^Vb7wuvpNOugpc#W?Ie- z!(YGmdkzh5G5U1V&Ux~J*4Ew~1{=A$`eQU>;2e_l(xAvKGZX&PI~iRx&>!1{0zx~R z^DPUC=#1d{GJ)bVUpGIm%JyB38i^%eILtGnMmbh;SwxgR>>N0B>?miH~jHJz>Y z5`VVw-asz5dF*{RZfwCTy#D)Z8F8z1)l^KqaNIn+Sb`f%?66*LPfnVvA>4$!|ATYbSZpy@9I1L!%4 zb`LNh@Rys|YV7L~g+#M^dqkiTXpM0`c;K%@`>}+PLeRGbV(T)13kJFj;DWyWugL)7 z!vC*vac_;k;ltK5^tz8rZ6M3H(`}L@82|lj2{QMO;6d8*fk5>G+7m2nM=(}#xpzJY z2`cPD29)!!$H+e)S1krUZ{r<+-J4QUGC<5pG*{q_#cm=c3xMM0GDuFlF zR~T~rBA`<_1&ehKr<@1J>FoH`aQ*0=luN)@ER{CKZz&ilrDgzszt5*{5J~MLE7VAD z_P7GHFA$tTO;1q!ygq|E28zZrsErBg;)XM*UqR7y26YM);KxIt08geRs0AT7wyZNN zTSFoBb%vkSP%ePtiiYwXC^9varJ%T|p>!P(Sx!6)&C6OYwtT9(mj@MF2-t_>c(tJU z3e zUSUc)>mhS}&A8$y0yeE?e99OL;BJvD9&przk}kOhI0MC#yPep76EewNTA*?xZqo7$7!2}!ufwf z{W3QvjYWCwAIB$4tMBE_7F$k$!VOGO^*xAs+28eNP%&VJ|35DY+1E_0L!I-%_U;(V zrJF$p9@#9I4T+yg5-3k)M)rh~m$P)i(AYxwsuX60gqPReIP1%?kK-p|1XN-Oh8>6T z1_q+@uq2dCLtkrCOz((}G8vgu^p*!60B@RE%LtcM}=O_wihr7Xg z)tql2FOs2hhD4!D>6;9tvjvV^VXkR=+1SnSQvPyL-gQk{$_0UYDHY=kWO!AWULZc1 z+``+WTTaPF2Lso)jmHAMdB*KPJ^fiq9TWwQP3%H8#|NGlSxS{4MU zI>&%G0&&}KPlMwWmnC-X@%0~BN0o>^N9ER(^Hw4xlCHg!axOZ7>As2MM+B;_kQn2K z?Pd6C;&U%U^B?Oflanu}=;n00T#OlTLxit|WA-x?pElJBIzOjSu7B+1G+I`)7uZH9 zkAi~_Y3u<)%hD)SKUR9o{B<`R`^Y+7)R_;Gd#ZkWgO`_F!K}#rUxm@H`VL)Y@ zxSrdHtxU_)U1F!Xi_Pt7_yMP{#-4gyP3qPW?ElH(H+z#$Gd@OR2PS8Bi$pN>qNG+A zucA_u)_j`&>)4}x^;F(w?$6TvpmcIhF*sLGJyu$mvf4`Eky<7=7;s-(I&NTg9nkWB z0{*c&z2Qc?x}=mkwU(T5Z@%i_18$2yl1-0eJ~DQixsvgDSyRs}xAm!SMCX~7y~?QI zX5gU1Tqk5>-c33#o{|wLm)&3D$IfO)l~dF1Sm$GX@X2|_?{7r0_VZfCd)pi<+WlCC zg`|wEj7|JNM$uDKvuBsout2SPajM8h3YRJ!d#%*J+=MRi+-}ePvTA5Vg3QyaetRty zQ)T;u9G>nXHqXTCZ|deAjt!vr+iSB$*9CuS<}P zBM!Ei>Ur@AvKM!GVYO72cH-W1YMyqs*gQ0(+nXdSK%}#a>@cUB;y7GaWp*KFM;ivy zzs{qa^P|8{O<)HA*6J0i1Q) z+&MmZ=x4}pA!8Fy?ub-A?XiY)$W{1OwJH#MRSnxu{fRM;X>7h+}0B2UhFjtVGC%G zoYAXlF4>POh~xM{P{ov;+M~|pz!e}!6brO2Wyoq zKPvb;44P%lrR1ij=;pT*RqHF;q9p}EJq+&T!(kVYVvaWyWXn%cPY;Dpl6FazbIDl| zhT)DfVp{}vWnNHwiE8DUq{m}^xINly5LJL7Q{u-;-o~)M7@lF6$!fK;)-tbQlEbBn ztK8=I(ebpw-hHrZ?!l`d{7U31i2gjKA=TiW)H;GMMXhA%e`HK$8?GZ;8WT66+4~vS z5Wye;rN|uE!}(U^*l!Fm&XwldoKLvlFpm}LiW8DAWaIyZX36r!c&zpzoi$xjVD9tL zRnZasykYT#d0ls5@X9=XF>hr`7p1 zg{jN_lfKH2(-=_%wD+y%@HGC_RLOvcyR(v#C2(HNfSf{2Gil3=pT>q`B~Z|&Gt%hm zMl{SuIDO%wJyeWVveVwHQe@xwqW7F)4--`zI$Qg38y&S}MEzxMND6foOdHA_&zneIQBa6pSZ@cv}Z@84Xgz1WV;@ z^?%wfqfc@#I5v9a7k0hj@b?A3Ze;Gq5hQ{TpdJ)kq;g-gDA(i$TDfN<)-?5pw5Z_9is0-Zzl2mec-MmLHrFD!k($pvq{wEai!X+{crNg(J4rkSZ!n2fP@=PgurI!4%!@gJ0vOsI`3z;B_pDTT`PJ{PS^~ za_h@I4N%tn1W}f6jZM8cN`mu$5sHpYpXvri>-3y@%&;jic+f@*>f^CEj8BwcFBYNQ zvVA>^>zkK+T&$fPSG7h zkGBt8u)HE&x(m#D*;MN|@Wz0dAL2*OJhU}KfLqc zKL*N4*UCh0d@0}Ct#S=+3fy5aBLof3u4+~Dt9`fu{YRx^I=9+UHU3Q!di+n^6N@^S zUK=CVE(1x`S@{t$xNqQNqd(O)b(aq=V{i{tj@|4{jYq1DQ5hObsnxHacR}gPNKPMk znqppHgbiGg)aDvojiHvyl#a3U>Wlm9yoG6TmQW{ko7^vSyblh!u zuyk4qtHd-ztw8(s|F1F6o+j&(eAkFlW8QS64)3 zWMmPXe?J(G9F_f2N%)0tqOEQ+Q9NSP9YxA)CcHWn{RAv;K!S)KQe%#qC8Zuxv*Tz1 zranVGhtE!7)kpv)y$fN)c!rzq?jfogVN3y?f+U3tYQ*45hAk!6kDVVck$ZRIDR3e0o$ zF;O?;ZYaA?t-i@zghtSwOi9L48$}GJKW#m}T>PT?%pXH&^1V)0J(M|cb6f*l#s4EX z6S}hLjQvP%M5X(qzDkIyuhQlFs(L5xSUR66-8Bxo<@7>8dM$S(Sjz%DVJkYm=vs+O zseK;nBs=71H9kWeb{u^_R9M1!F{YnbbVoGcc1rdyO1Qx?n%mA+p|DqVgm7N7tHrQu zLS#88Z?y7X806RPh}=p@r`-n;R@}g43N30dlzf4$DyUlnYjsI6@A1Iz)N--r@@2WL z?{5$LsZwLEVziZ%oS?qPb`P`4650hcna9vQlqcqW47n?IU<%tFJt~W4AP*U%y#@xU z*VC`C8$v9jy!LqHty<^{0b&IHh%Y?B{X{c(VYG0uJ^34WTW`8$9@y9+yX(h)Gos1& zk%IUlMR&$^mfp~qlI0G$R+1MpUGz~4c$S0Gq%DF$`YgHnUt(Z!=PY4PGRx+J)M@L@39KB zOS+uaYp3`RoL`0-{tjmGa#y|o&2p1+zE|ZbxyDMy+tG)NjwON2&#@{XXDx<9%Oz5o zisQ=+O#%Yi#wh2P0V{ZZp@4?ae@j{SobsgEX0Fj~~~I z#%5!fO&VEwUiD$jI>wW+u-v%dCnyEyu;|z7!^}4(>wHF~W5~;_KkxVje`$t;cHmYiHA$Fk+}H28qcoX$9`Qn%c(@`|h~Pe^btKztM-T~gW_j9^ z78#%`?fXr<$j^>rbj&}^)9509aJ)$$4)fZhdoOi3`WEy~+2QIBWlXS7@$RFBIQIJI zGhL?YtL|8@Dwkm+nIICL_hEYP6t!9v;sF@ImGt3$^;*%!P?Xmw`tV5P9`%^z*Bq)u zJ~+1wUkVSwDla%{yx4r;1!yw&J_km{*ONl@)Lt+>fa0EM_}e2*@ja(bRqDaP61bdydF$$kM@x}aXZtL5JGgLXaiMw*KAFTrhU_zjx+NPI4~Fde z_2Y}m4V7&m6OK&bk)HL`sXE@S?xWU%;6psfPC3}AC^KW%hzQvMG2CA*^RnDq*N?jr zfHZpYiKFB3M`17*P$M*mllICmO~|^I;nT$&KlEQ+GW;+YJsN;Xrv?d3iE z9lTOk9ZEk#+K%Pjc2w}$tp&y1^t>>y7rVrf#C9Cv1l+Ukj`+2*>++uUZ8gAHs@1NX|+_9z7A%V26Q42Q|^m3Zo zT)JuTMp%BovKyD-Q$+oZe6BvP72G8Sbq5M^W>rTanULx|{2V%qe>_j1)>hq)bd*(yL#wBdm#?ke6n!Yfg6ZxCGQcXVXSaazSO8rP%Zt^ z$EfSTekOVzUCL`*)X6L3Hb{F_fgsmxHZZYa_R|}KR5OA7q=UXkI#6{3L?ZD(T2;q> zaE$=H0CX?%OZQ63`KoB}df03J`}Oc1>|9Z1=K25E>ydDSMbL-V3PNjaaakpCWln?b z6ihkklG1Dsd<`rcSy#fP1wpE1mLqoiFPaSZlSs8N<#K$CLgm-a?$i8k{=OSrX7mAb z!@bXTo7=L^ntOXbs<8_LetD%83{*a2}v{W_R;#5#&ryu*y;G zn(^TUtk!y*zmAYyqJHk+?|~}iY{PWmjdYwvX;A1?a-^|WxipF&g;h+_RqM_;qRxn- zs5w4GQ%uA3I{Hfo;yB?FncB~+>y z#<$l+#PfGg5y@#QK3KB6cBz)DIfV76-#LJfV<9*J38aJjaoD{UudKrSFCkKu>nkL+ z)5q(sAO)Sr2N(2`E*5mG(N!U?l|=2MyXGdJArHz;S)LVjt>3?#CtOrnS%$2e$Cd#@d+i;G!UMx9GqrW!5cbt)avNNe2xoQ<7L-4g z*4^|P03G7g0M{MocRE5=eR(-QrHqm*7y&l2WSZ+MR%L`Um0u$@rAt2JV-+&OoxKOZdFN@21kgdoT|fum63?LhT3onM@kRx1lDJfU*B_ru znNLnR+>Kyg4~tQH`SA=Mb?kgF?NVbG?o9mPUC%aY;_t$OXr!Py@kU%Q=N`8Sq}nH^ z55D&Vetlnsw1i^iYe5+Jq?_UFJw$us&MsU|WRYY$`C>n!BU*CA{G`lDUskZCX!Eu& znFqM_MDDXLBR>yZ(Y$HTrB#=|T-E%4FK{;PS<;*z{O;%fzRvkfLfh+KyS^*fWqnj| zB40ODX{Pk>%x0$!$Uv29GV7Ij=%0T=%>UG@8JBvPVV`A^W!)>f`_59nf;C1=9tj)9 z$ZK{oXg7M;`bEh8zpTT(rMjdYsF>T_j9xuu$*}(_qkU&vBIoZF zNc6?ayBSuz$Jlm^Z3e|13FOo>^#`K@< z2_m>KM#Jo1C+Q-v4bi0e80xU6=f5;9O@)g2z@*H9%L9?YXRViaj=Y-DmPneFCx5r4 zOQdLNRJ~jEk~UMM$Or>ni=I}?Tl1zoD?eSon9(2*l;RqVf;I%nmA$#xKHyUdYkK3; z7C@R26jWkStsZtE*iIOhR$f&3kY+`(?;GE3=z=xn#J0sx&Z!LE#Ds-YJ+&|lw9&Yv zN|v#SwaZR1cxaJ7W1He9luJ(58`m4dNQ4cw9`)m|xvAQw*li#9>AjW;# zf++s3B<2qLr*%ax#J6}wi?|Cf-(dZ`<|XX{Z94od5%bs~_bzh5m)Hb?3)askH!&Og z-?w>^Tzc0RTc#Ea*AbjMid>>%4U4f&etP})!{t$LY1R)aH*Tg-Kj}5>P8g3dESEOq z_@Csfki#~Djbd2Iy@=4gpVDs~780Uwr?xQ5XHll(6`#Gjf>8I3oe~v_yT2_=oZr@;Cfec6g_E!&PsMrYQ+UD5c zJM8*c!*oXDj2x?LO!S4A_!GSIb@~%~h9UBnV=7i9J}tPk!X?&rniLm zYPGT7wKa_7B9dD&!CdoPaaVfEJ+H-Q7ZeiQp-DUJ(_&;7xQFwH;yKkz$=o$YDyMdZ zWudgee*6ULgBO)kKa1>&SF{(NK%Fkd3;Nf!-GfK+GlY7IKbUNKykU7|QkZtdOWp-P zJt2BHia-UDAXZSpdTS-64`&hH`-!ewNS3~_ZRf|Ih)G85bI z#&{AHe9Jmb5_$o7v3#0Id}|0Xp>%ESIA{&w{)k5YIb=j}x8l$VL%exGn;+TZIqa`G zGl(RoQgE{V`8R+fCL{i){^L`MyU!AMPI!{47ItRT@gEw;uD!&{@~uOAR8TNOdesRq zeL>&hVfT%jxgmMnj1ovbo1mc>roKMJBP>PHB z6)T7)dF_$?Qi^Bn&!7cz=|vU?;kf31w!HWJNo#bAng#1JE(za=CWmfW(UODA{S zR`o19zoxyTOKn2AghMIbXn^>al z(ue3fce%Gyc`H(vNhZ$@xs30YG45IyNy24&pE5hcs54MM(-#o;59i6-vtBw0*h4x| zxP6+$_LsGX(#4X;l3ZY&80tvu9pdtDAc`fV*`no-Xfw>qzwPxn#QJ`RwrT$4SI`q( zaK*=ORZB>-g6O~4wr1}|pS5LQD`FmCE(|0sq)%^ZnY{$+o?&5pq%7m^$W=f6Y;0q}>8H4L+eS3TRs?B2dwB`%Z$GKv4Pu9E!5c6f??PML}SbTh%sR|Nvz9+GcxvwvMl&!y%* zyyMI(n~MSa6mO&X3MkNN-n$qyN4*luEinBOxn-k{>1TpH`UH#~;6nE`ISks7ZH~L&q;Z-%kb{7n*HlKSDLrDKqzo;^z zwxBX@dX&OrXcK2vuL3GL8%|h)x)80Oo+JndNoRUzVw-X_pRLLzpH1GYFjcnVZtcfPGx#LxZ|fla!{v>qIRVgMG0O+eCC3!er~QY#NdIA<$w2Z{CU<% zRHpxW%gm8O5`O#PKj|yXdvF=ikgH<9_q5n&0gBD0fDKIA{BiwcooYShf}J|~wT<0} z370nbj53RUQiHDVi-B-6Ff!)yO5KlYb;-M_3F}@?7LWde(GQ|XQ_Qu#n@D3mbbN)P zT#O0L=y7R9JY!X%wxa6MsT||R3V1bY3qyc-1`$277rUR7W<>)zZG*8MCQp;Ev<6B_ z2~gx+Q*!0_TB9dvd=c3NMuXU7JM#*s*Up9slrKJ|Emf`LHj;-vbg{7a?SFD=mUJ?I z0Ek=BAz3}{d2cBTVE6IN2&!ho@Lm04`*Kv;bakWOrxNwMwE1S@^dRCBvY9d*C%P)u ze`R+=wb;c?krU(dPf+!%E5N!>*ryrmAa!wj+6G6%%;!@yMHN@vSVD^^)8C_+Tt@z{1o-6@KY2_4z?c0*E-_Fa^sD3y=BNX zWkzMgI#SN*%|I+8EDN-*I?S94k@sj{($7++)?2AqzyWn#1C zYwbhXvBMWd=p~#>X!vvddq=D)Z1Ss-`tql&`t3G^elgWo*y|IFyI6vLL>}}wBs=Py z+k>gX3MmB08&CZ}q+$9Q(7tw)y zGvfsHl4D{d%L_Yo+p4H|N&9G4th1(VPQ_jHq(3kkzqc>e7Q$WIi0$0MXfoD*5wS&zF-aF( z{syV?l`smq=h=D>MrSxUqupb2&k^+-Vh;ahUB|tEk^jBT?goYbR4EDXj=5eo4=?vX z9=u}xL4qxkoMjW1Xh*u(^5K6~o4FSddcq2Djkgst=Gr2$V8^&!57>u9oa^E{J zZkV>Q_(kih!lyb4Gv-{J`l=siz3rR78B3*2sid_37&|`OlIM_Jb#hlEq*T^q4{Jkk z(!M5N9JzGOUH=DcxZE7M)9q1NV@Yqhprkjx0YKB?7~I6Xe&{^ISCyIwo4B<^9isnC zUQbD5xG))NL5X?=>tFJ6Kp_L%g_a5K98@Sg6C?6$C{g=@=X^$C->~E}iVDAFG71|@ z)Pvx+S(G&FTqYxklq2P@G|a&ca6JIxBJk@B@GC%$SG*s8*87<|uXY+!&ULd)fYfJy z<4W={%TQFxDg9?7I!!PwD0uf%v4`VOrf>=d%L{jdV2XDSH*kd@bdt3;N(l( zGh{0N0wn68Bq&bnqCbg?J`Kp6d8D%FG49R35Y&B}za%e7x01qjCr_+2j{Jqp5c(B6 z7a8wHzRfDED}bP$@KQIEr-2i&tFb=yT^5As3v*nxI+m%H#ndmhV+aoR+pH|aHGh)V zpAqksRj-w?!;7@)r*}E@64agMSPB0<@0=}lI8e)f8qR?|Ng6OQttXZfhyG7>e;$`q z`o<6Am3yX?rk3lJnwhy}Dds}EO_s}4nrTW}I;A2?D&#_8WmM)gX=x%%^(mcP%TXjy zBT*+qn5J?GM8uSG!G#nNf#*K+e4p3r_s{Ro=a1)~^Rm3pbzl4SzOHjTcUL+m;Pdsa zO5;x}mp}`7WvoT(&x_v_GnywOZZ7pOPMmBmFyDR@cQaQvICNLRk|~J9gEb*O#be`t zK0S0hB>J&UJLW`O=(xkTGPF(lweiP8Z$5YYT3&N}e%QtLG$}1%ywdpvAy>yHR;h^+ z&fb6WrGz`YYs_O&H+@dv>*2qr;QY7j(VR4l!JJ`^G495AT?8tu`OJpa`te0-%A94;UcKskXi8^ziagAEZ4EU?9K(6cmR<+6Z;Aj8ma$Tvm zZ>Q=%MltL{q2X8}Hs5^LU+N7~4eV|C2J%yLP^Ogcf2bm6R!O(;1FRljF0lz#Bz{=Z zt_2@IGYm0i!-loTjIqRvIY%b8v3+JXjU{7m?mjf-hPc{Sk}|xM@YnR(&!->MdgVEp z;#Sv%mfL)Iy;f?SeFtY|{WK`VgYATPKJN*-+hbohUd)rPvOeoiSqN>R{FD17?NZB1 z-!29$I3(}Pr;Rp+Jsg0ZZ@rj0g1gabm>KHyX|2*+@YvkCW;)}^#kV=1)?K`ws}Y`A zAzyis046)3HGaQx_7C02uZ%lkrOW^aI=p@ted4LrpBZRO%G{dIhY+~r6@N^( z-@nl6IzM4m`2uaxxX0>A%-hIMZz|ILmmoDQJZ-)DfA81Zk^aW#)9l`&3f}SL;U(r* z&ZZN`ew@MH*{?zu*l;pr&H^h~lp+%XzkX^(6 zM;&9qMoGd zjagx@;Vi75+zoYN2Mgb&{uQj>m$Jp?Nk$1*Xfk#jTQJVwH?d|pTN_5U3a057hB{QL zN>Ad z>mqj3yi+r7GfpohG>_-Vb+ciP+}{ctc6^bcGpe-&M4F#Kn>FrBu2<_y0{#j%m=1aB z*R!lygsl`gdjy4;ukKwG_jr}A++ zg7SSd16XKRW$&M$^^A-HLSe>Xp^YE^_GnJs@}Hw+IcB;~GjrJVnGNZL)1swf#jna0 z6aP8dxTaK#!ODgVrMQ5Q#=cmYO~BcZ|JVjYTH6GhXWyI%ANIU>)g0MM=qqs@p1LI2 z%fK&UZwdEjFXzv!KbduNERbz6^PP+TFzj;i`nXlV#3Aq{%sJqWhhWG3eQ%7fAa{M8 z{S;b2n47`AFlD?|{U_75k6nmtv|&vOICJf*#t94Qek@UbZoIPhX|uI2*{b=n_2|N1 zg}V>+JTJsI=gCcL5r-!7D-FgxfDf#Xe!9ExIL_m+aAQilr&W9iE5C23AJmhb|6`E}*kF7Ue)6Vy}F%8wI{!_F5& z{>}Z!^CE~JhFHHneq!oEcLgP8O>?f}=zr+|{NoTBCf&u@UqF7G1Df`gzHjGQGnSvR z55_8FR4to0!8Jvt?I%rf;qXUpia}J)-36;Z!b)u3R>W}aW{f^xCignJpVSfD`0D9< z!0PFj63QEcYz7sr)H;ngP~b)ZmZ_LA|BSoWTL8=?jdRQ#b|2R~iMYLV`R~A z>+{!u6MoIgjZgsTG<;OsuIW6b15%K8Wy+J3_WhrRNuONt`zAoRy^tJHGF?vWYR)_T zwqvpXN4roYas!?ycMihPAJJdb%n%Eooq{UYtINc^7m71oD?B zm%jxII|Vx_PsYC`%&dDshxHU>{%@SAQ)zYaZtE-8o`_2Ci`R0&uw%);hxbiqX48w; zHuEMwz;+j{4=CSu&}LSA_P>^9lA~k&xT*5#)CJTkuT^uGPJiGEZ9mQFKEhC+o@P2! z4&N(E{1z~?<|N|QQcu$fgXa9xPvgIVN!f>;G0}maJGd!-4qGpoWE0Gz1Ed=4t{F|~ zI^X@DkCXCn#?fa5SF$R1fgm$rv@h${Mg=Q6E623H>P7iz_vyJl$AHI4NWl$y3H5o- zC+%Gw+4?rf+%Y)AoF`aS1MWW$}aMOiZ|FXoRU5%Xb>J_c93c;o!Za#v@zp3E)gO;=^^Aq5MI z-`||GYIJ4x%@=}0xT`oT>$A~$K9%+tZ_CZ2%MU9~09#;vMy;0IeVOx+>}`2t1^RzJ znwhlwiiR~Fns*<1V*#pUcllrbhdytf(~KUztUa^pGvoHy5jJneP-^PCCpjd)nQZFM zTyl@1TZo_bmIM!T9096wI-#)cj{9+@IbD0y(05;QsPg!qL(KgkBOeMxbWGcwjQzMfFq$(Xklh@qM*g`rA^3lvM~rtBqg z<_eo9FM`1Y=JHM`Sb|c9%>CusHC4~=nm;HCMzpbJght)A*c&ep;M-%;+j_z7DR2Bf zT^?^nm}7|(8=1ukzYc9kzl?W48!KSKj-B`iy#@bJp=|Y^p*{y*IHOO=_4Bxq$PGp8 zP3PJL51abu=FhDDoJ$_lF3B9;1l7(jPac#^3pb8AphvVwg%>-&dS|Dt!9g;){sP=M zzicsk^uO@Cg=>6!neBwu-xZL~c|uEjG$Kua;?VU&)Exl8JHfNVCofz-W&_8or5vVd zXNClRGL`P)w`Xfn{Dg?1&+5u+WRTg;*+J+*|%ePLv71dX=oSlJ6izFOkSM%xLXKvh_-uTD+!#F1?3^sBsMPoY;AUf4-q_9`Cd*L= z^&5{>B9!u7R-lj^*@7O~@#uDbNf+1nu<)b05OKwF#5{h|o(pZ=ckR zWaWD>-J6FlUz=Vxh0z}ZuL$V11~hYd-(~vx84uRA5vkQ`Es5A+G5Vj4^0dusQ%V;{ zorjEH#nD-hVn|(lxF(yjq6-9G8Z)}J24B}ZH zV>Je)T}H5EPI*CzgHeJ7Mw0xtI;lJAuw_ywt;ri>pZb@U_<1}3Fe&LBt;Gc6l=^y? zSmMbpXUUsL3Z8ov&*Py{zKeLtklo0dy-VuyaWC`n@G^3sqfyh{{39euCvCz#(@0D| zE9&#AS$7IEfg^50i`GbYcF;$aXpeOVS@t3RYV%CSf zBnusnLOW~Aw=|QrGI5jeDEe~cfAT%dIu*4>*17#S9P&c)s^SQJ%Ql8DA9=iT?F2A#DI^z|*qh2yPuH&H9hf{^K6sm7>gJV4X1^%O--{G zFL)6ZjmGFLaE0lpUskxg)Bdr2A|a2I814~n6wrr8W#{AUW`%T8B=}~Vy>)aK?f#Bn zJ5H^bPrn*LyGQ#C-b}YR*MBy?CwOCGWjIIgE>4=B&%*?M&&8wdwXc}TcoE%!;vJP6b~Sn zV3?ovFhXvP0ilw^X;iJW2aaF$JA7#`Z`_@gp;YIuq85-Q_}&tfSH&dK>!A5 zvJ(q_Vc+6_px)j?Ygz-bXU{2cg#v5)ds?Fk9TKs=@}sZQiWg-<6&R?UBoo8pJi`*KuPP>jdxiYy`?O;CncJAY z&62)tiJ0iameuXFCKs^ZU)JKzCAKY5#{!K?{@eEf_E(G~i3N1WE0HD{6TOPD9z?H) zSbd^Zd`e*ac_$DC$i!|1@5s=Ngp0(HWN$C(h}<1+oS4s(-Wh>nAVbLK-HTwVGpFkw zYs#`7_lw{c+%muN#yvF9v| zZsdwLnjjwjfv76N;mJfpq$lj0bs)+50Isbt%ZZ{I8nim%(34i7ffgB%Q^L zYnA>>?-)%F;AEAhu6rE0+hgnkgL_K!;}SWQE8IAGl%qd$M`1s~1agbrutZ=)SSl{FsF7b)$ZfW>WSBzW95ecV-(?+HKFahZ{?WpOGx| zFdCAC-`{VY9%v;cY%vmt&)mV=H!K|^*V)}|>+l#mX#~UNZcklGCa2cLOYAsx!+f=2 z!_pbiz9_tbB&CYk=o@#Y%U7G*?01|qI6+iI(XL8CW;)cZXt+~`jn|a)ZSlgOhTifC ziqgrj?yLn5@&{C0t^Hk)c3$TJFQDI-`Y@Fg#q1eUSte8uWN4I_UBmj`LZZu{dLY9{ z5O1pe|BgCh>Cd96+(2|ip;7+(_(P~T;>Q47XU?63qArlLo;tw2D3D?NJ80oX38JXc z3uCMJF~Né)w3rpz<1tpojsr!YoeIw!iVqWPk|eAq=4Ijzsjb0nTv#hNv1T0< z8!&8*!n$G3p9=!q23?!;cht|8IV{?&yY#SVZ#!*%|JX}bdoVoH|2+9HDF=K6+BSZ) z3$j))ysr~-i(tn(R`R#yJHQqR2r*xw)i4(bW%_VZVp}}Oq^CIrL;oeB2bhUWb{r8T z9KKuw3dcfH7Cts?3q*|K-KTmBc2*W+MpB?y!i)J!IuL&d@66qhL$<+Xs-Eg>DA2Q+ zv;`X|m$#8D)N$57`-a4u6|P>QA4v3sm>uxW976(Td*0E!%u=@<@q(o~b2j|x>rwJ> z;87vS<>*{axfX;7^wT8HtmW&h?zcsS9lMsTNqXeN@>3&??FUQB50-)jw^Jp~oa*gN zD7(C)HLVBH{yz+Adk;W2^=rhv51~xd#-=rF2jV1ocPkLK%cG^c^27iI)uB<p4BwpeU zs!>H^s^m0;M81#|6K+)#J3ywMNUg2oMg>}?yrI!Hfg&4=L8U+i0L7-!^#J`=N3klN zJwC>M#u_#yO&9{VW7vzw!k{wPOXBg|)cZuHzNWH19p2ng4T&_II>OMF$wzpYXoA8w z(_5B_49kQdM5ZWes1#&I!F3EK90cq+FHUkHaQwk?OeSnBNR%DSQMQzPZh@+94!lox z9t1~Dx1um65dlC+5MMf{w+x3!DD>y>rndG zCKg;^cYlW*Xd@{xVF$n!?&8h}$bS+rsPcA@i?J?Z4vuY1Y>;}(T##Yf(Aw*yL^F4= z)(nHnE{E!v1t}7I8yjGdZrXh}kbhmkIX$EZ8Uex}zhkD#AUCJNy=r5DuWd*}<&;5y z;3B6y5ptrTVZOqpA|l~&@@kaTCbv{Vg9x&l(9mpdRVVAC-Akjsa0TJA*93LwJe zm?=X@x*$#gy#z=n8RNgs2ZY@KxOoUkXCoFU+1(lt$9beg7{oCQgIW(sSBOUWbpz=Z zH;6eSkaP}k8TnioITm1rBb>&HQs96Ia4+(Cz%ceMW&#Jrg&90E`W%RhlcX$HcPCd5 z5b$;A+7^i6mQLF2Zir!^iCs|Cl>yM=4uO_2epY@2?jc}!(OUdP1`%E-?u0=M3r6^t zDUc>KIOQ-XT5M7!Iqd445W_IR((5cf<%ED%&7zd|rOOISG zYZ_2YA|*CJm=uFXvEKoTZf4?MK9s4XXh|hR5a%r$iwhfrcr_J9Mg9gr{^^^TxU+zu zMgb;q9i-iZ-kGLxK)cQy8dbC5l^t-0`t{;R(B{rPw3llj?LLH4TiHOa=Ivrm8bo;1 zTec>C)Cm%6H7U^va^M)CF>`3~5mKa+2N3HK$)^f(%CJ#>WI;qkIWWbkJWTR2ps-(^ zIR>{ORFurANrxh%Z)=q1)Z+LBjaZGLAC0_D`x}7Fc8PDBIsLI%Y;?n+qK*<2Hd8k4&L77bV z;C`dK0jl&gl%x|-#gce*50JRB&=Scz{?DZ84qBU6!)q8s)m8i&N?g+c@;hz$1C)eL zBN$A`_x=rX^R;3Dlij@u=wR<2oHHsx5kkIT9|RlW`;h=rMG!FR!}0T5L7O`BYqtVZ z{u@+hy3i7<_tlnN0641QKi?H^RA@ro&;icieW)9{iBX@6pI;B%bAmJfu_Tc=^3mIi zi$vK4alZ2JmI8d;0MFz?=zu%SM?8%1E2I^{eJL2_D$%EPLtcxUF_mmb)Y&CLTAZio zM0Uh+F4MB7r<(gdswbF4od2+j-ARDrP(Kyr3>maCRkHmpKzxx!m=yQ;^QjQT)8jPS zL*epl>vY@bU|(tZv(ldPH;}m z$jCjk76XW^vsjV=6_N!KNK>yhAhNdNr67nbhg3#)gZkMt)O05(B$r8ax(6T&OgR0n zTGA0k00*%qrEpquN{VwpccekVBqJcd!*dEWpcG*EmB0HYlmd|5iitb0;f)@Ujtxio z{&zsR@FQVlx}aPvALb~ZmVAB+m5bjn$_-hfia^&l^S<*dcOQVZiiRumdgXaY;t!s`#mV(>@J!o0s`zS#Q*=yH>aAVZ11#m z=1jWo<*Q4dZSNx09pDccs=C=P-T^JwzNNKpgX*fecx)W1tN(A7YYHj#8uKvj$|4Ja`{09@KBxFn6-H5%ZPW z0N3Q|iB-?o7vBQ?M|aY4y@CF-wZvn^Y=dU?qqB?0K(E@?Q61GG1!?p*%oGo*4xdRD zeGux*&c{s9pCG3#gHbr9)PTC2>Dh@faf;yMo_egn2GaH zSqTS48$#ZoLd$kH%xQzN5`w91c?T8k4%)0IR4IH&k<$={$}Pl*6;N4OCll9s@GeTTl|FW znp=wX`=+G{k`OlMo7O>;>tU(#D9BoCNA-#PGbIVTiI@V6P2B*0`l%?rB+JD<=)oWI z>jzQJKUeEnZ$HSmiPWfj$*2^9O3b*CM(OMOupCQhFT$pRzldQe_fzS`*q@o-lfjRt z+RB^pi@WtX%_obWX<5WMcv`pC)fFCnwSR3= z_2Fd`X3rOvlWvBWU36%Df-%04GH`P-k#N6&7;fa-e+_${+54RJTxiJ0|N6NswDpDX zSB&VhCNFYrouFYq;|4M=P_#=cF>+m<{i&fx{YI9k{fs8z-}cb~XpH6TYl2Rfah(I) z`zkhv*$!p}Yb_}U7>|)2o13(}gaw)t6(OxJks~KW$Cl(DZ+e;du1wj|?~^yzqoqhN zO4-zZi)h7Mh+svoUf^sI96_h~&-N1X&5TlPPqmd`BbmFfKFp3j$D!!@du!>0!S^>a z*G`@ZVmuWZGVTi}S9fPh#C3LpgEN|pD&dc^qUkODa?_U%&05ngSggrtmTqm^F-8S) z!d%p%SuwOp5Lut*JnO%zed4Cf#P?@n4pU{oQs~v9>;y6OIFH$tgyP7Xu=V{{U`A2= zBXTC;{+-3OOlC6cDpHXl+OL(Exz=V&vzCoOI#^`$LE6F!SZz4%;QxYa^OOH;uFYmc z#qwEE+|u$oQJi8qR5YR)Y;Efwjh4Y@^$5id#NhRn{s*&v7p{XFkscILw!^WH7S}U} zJy;d1o5=S5$Iu%7XV7xX(F9$xZ*cZ=;iQ)6RK0xjYy=^3W`NLsYYwC7&5anSTth3D|Bo-QLi)>9YE{1 z9!2kdzMRIC1QYAl@~_sdC#mnurL11S2Q51@$6asM8ATED3GFezsFImWbvNrwqw?z3 zS*jn+P3w9_il$ei-t?^w%H1zdCrk|)RS+U)<_RB%LUZ$wG8xNjwd9SL9!I@L-a}9# zjD|L!QX0?J5CXxRkQ9K4F(; zm-_K?Dsv1^q^#v%p{yq@7tU2^@@{R*H51>AabCQ@{GL>Vu{|0B_!lR3&CDp>5ut?9x6RN^CEvW8FzxhOo=2IDGv2wB4X_ZD1D z3k(7>uHsrQge$vfZHU?TvH1BFqHxWJ!f>s8onKUskjkG#eSkOB7g3%get$hqHTJogxL-usorpJEk5CkS(mR(SU&_6kDU_u z_t}ifPm4CxRq(haSOY%Uf@sX>Os4R#$}i+d;&FyyvbrA==wEdoj(>zvZ;YRhfNH2W zXI@;INQ`6nkKdWV-%Btj-oJ$b6E}d0&vORD0V>8YniB92)aKmEI_R-R3c7Y=-c`}q z$a0T{Nfn#DhMvgp3AL9snD2N+Z4Ujp`HMMB=S2J7yK3@c)~bI&>#K@|KM+Og)AfoNQ5afBRUll(7pcSQ>)1}Y;YCBl znDHc5n^_b={S%y2KBr2p;)40Qdg~lEh|@#6skWQb_FYF}ACptGc+$1%gMeU_@Wiv_ z6v7~msCH@AQ13_k+l;E$PjZ9#dSPZisU8ZAmQ$F+gd)7lshFFKw{zo9iop9bVGgP~ z;)Y|$W=2V z+$FbBeSJ^EVQ6l91v3#6dyHMkysc|(eMtUH_y6$Y$@J3%d(u0tuJrFSlG!K(>FguWgRm$rEkgr9;!C#T&SOwV116t?Z$U?cbrJ`4f{un> zfSJySA2oy~dO@5fz7FFD*A znnhTTMYT_i%qN1I05E`RYo!9jRlE1~UiHZ6Bd#(J+0 z(r1#cwesGg6JZ`JBLNdH7#YtDAOY&`GOO+hU|bijp47cNR*@aZ>|Di))U&=yHT6C9+g!4y zPSsjjjK;aDb>RB7${1YNC$4ph6-3@|R-5mMxDBpM{1SE~v=YEq_`R3{>P3P;Z&RH<^T{Ufsow4ecnIz>r>^Jk(o_4@uoktb=8MU_lduBAV z{}66}w)_(W?{xITQhxG3fYvkA%=h{ww-Tw`B5K#{6Six0c^%KahLoC$YypgVs_8)e zCHF6+kFB8=cqd7-f*P7zjg(~ogpny6(Gq8dhY(+%YD}I{wCiu+I2-5tm zh~Rp)+pL`MnXtHuX^;I0o_%djUu&Y!D8{Z?J7zmLo_MZK-_yoHbwj9~O%#6B>vlCs zLi|k9MKK@h1yP;=uz>nG`?}CD`RqBH zXdF~l%(2_7Artq0WrddQ@&e7!1FevYvl6#fa2)1cC~EH&fPC zw+3Zb35`hL6uLi_J(-t}Wz&V`+4(}yPff2+YCV|!5O7BoeogM4UYFFi|NkI`p=Znf zOo{B~v>E@Z%~}$kGN+@Zu!WEU;-~+E6sAKVq>%IfAO)KcRWov8zsLc^Oz>*!+ynCC zgz`dSAk%o}Bcb8OT!Lm6!Y((geok)|L(rd*654|u@q6o48)t7564CZ>)w)qvJx~16 z)jn@WtxR=hRl3RZqMCACZa?(y4HC^#=fNdIhmHvwpktEu`sO! z=`X5#$P|B3p_Y@>*b6WP)6&roQ4x{Jdqu&(VY!+C`k^XYX6IWL0ywPtz|5Yf+1vY1 zUt6pobO|YX)-FfnTrHp5>(u*ZG?xRJ1EM1Jk$S4;Y@L=#J%z?2LW41EI#%SRFC5VcCq?e%?`Cg-q}kwds+ss9oTZ zZckM^tsYZ*-w}-oSg>(_R%- z{h7L1wXeR(c^0qf!!uTg*HblS)tdP}>tteWIyzdix0>zZpFbJkTP7S{$!gHgFtj7@ zpV9QK5KjKoEjF68I_gVBj;yT3+A~{HHaxI5|(AFzL(J7JY(Z}OA z&DP^CZ=2mmP=35=X38f8fo_eo7j$bAM@0v9-@zKHYWuI!p1B-~sr< zWw%))<()%}BB3^4{BE;voGdts6-fatHh2)@!r@;{caPcrv;1Ge{&MU_X6Fu8jFIo@ z2Oy)@j1nK3_-ea4V1Es1Wgx{)tQ$f-kk1=Tw#MwOr)~!MytvK?1tUi*xGeJ=+42p^>hCDa9?Ki-C3grXz9f}(xdWWo zg+Wo?fUyGkZ(5cS=>M%#tx4?aa_Es)c{eQ;8u$)hk%~5t31j1x6VRPra4%Qr<&B@= zT$i{7*MzV-q>Fu1ttfl@%X?}5;AIRyajyY1*zih|@L8lm_T=E(YCcrc&yfvIY86Gx3t*=sED_rQS<8G!0(g}%9wwzG!Dco5a1a>f|yT& zMnt7xWaSc{VjVvV!>Xxx$5gnhcqyCRu2ouoy+kK)M`R#0c1VXPwpwv0>ryE@26VeaPOvbD%%#6J@_LYkzSra1 ze@b-BPH;lhV<(6bIooBF&}&Mi$tB9<(f}7JQYSt!VC<5EXjjKb*kG}AHRHkGyg^5* zW@46coin!Hl^f|V)l^qJR-Za7dzx*<9LdAQV|5!W+??`v!0yI2-Hp$)+g*acmfrOYdh0wmD+aZ zwI8^MjAWUQTQo4QZ85DL4X>Rr44(#?4w(MY&BTNLYhf%z>eLvjmt_pwb z?{~*E+*jNP1ue<*YmR4+p!F~Xzdi9@`%|^Xy1-LCMj3X2cHLKdz9Wy6fGI(sa8XIE z$j?eOpTQZFZ3>#`FGM$9ZGb1uq4dk#?}{#$QGJ??z3AC5!5TXEp$?pw^FBNue!G3$ z^pK->34w5jT$LX$Usen2Sh}&c@vQT$>R`KkEV*2qT<2nhci=%@!p6dcqTSV%Ws2${U zGy~|%G06j&fAN2mcBayh*Yt13u069Uc}3g-nAo zww&2yx8}@q!!wu8-a2S@=Ev2L1G)p?V%A?`pBpV*=8$Ld5kumZxS_0UcK-vZMb|~V zI%T}?n%B#kvVmv+esE^+sIQ!!jqtFUJ2KLIc#(hiN{|&tbTa2q{0>gB;q=(xY1ZAe zrW_dkm))%oWlR1UX$W4{l^ValgNhBUMT1-WY5xwlGa?J?1D`z&z)@}D!jE(nN+rI` z+TtMc*FmR&FUKcOd>3926eK`P8yJz?mtd(FM~y*(rTYctW&`g6Cx4vJm|4xk7MD9& zwRX}^LQDE^R5iP{=chH*a3*P=p46qC_3Y46+ALHa0g;QJjf%}3;+!y^#uja5CdV1$ViBRWGt0>9%z_1D`^@i_&09~ zCrhZGFP%L4owHrgW7U8C-$5%}$`s3b+aLy;!i_I1l5mFy2TKRH`jxz=x9LX?6_-0& zNjmAHuK=|{990JFC6j#}9J~!J>7uuJMN+t&A_4kCN9asZF`Jcc5=Z*<1do~-vMw066I z=TjeWM3xCONCX;0V`T{q^WKm>IE*q3B*GbN%2YQHfma}FdJiNRD$mS>Wjy_X3>GL` z`j>nm7E%Pa<&(8tsHt{n;X~O%?&Q6Hl}cQpA+jR>RbG34=P>ZC8C`+WcA#aXe`;g^ zSi)gOu;iXqdCB!_i_&=E@OKrFL3em*@l3}-DxtjX4L+Z6Br|AaaPk!}V}(?(JMWCk zxlFT$Df`LcUov*aUr!N)M~)0|4rJ6^+Cj`r*%4U=xqR5z;Hm2%V9kNcLq75uJ6+9L z=tTm@ubLldnSRL|N&w2CVl%zsy^g?u?+z8!1jm8BJVype9G4;qij(y|9#$+#W@cpt zLVSfW=#dS8FW!tK<$W&|TKk1j#%ln4d0{5<;!+lnu!VDqte5jRNaF%VnG|UJ&#tWW zpM3mpPAx)lhZJPx*Ed`l^uCpB-Q!Y(vbwF{#2`f9DL2WAbh^XiOu0fCl^Zh^3W9iM z!*u5eq-Z@sjRVM}q3`I*^&p6IA7zR+F1+&EBY$4H`)tHO&aY$*>1|}=3e$<2=E?N$ z+V#{;Yqs~ROfPv7Y~2S|@%jxPC6^?@S~mVuu4my+xo$PRg6Fd@+2O-g+f=v({gK8L zt$yn5*ZhB!>%bq}E~!_Q+q9oPx^Hsa0e$I7_Oe|``I?^NkJHVQ4oqE=FK+xt;rK(X z5vJA~Q47B`6KhmAk{KEp_iQuXW!Qt*AO1~e+K=2pfRi?^HI`&wo61?!7z{Y0#9C08 zQEM!cCNO|Av;KwHQ^Z+t2uC0w^-I#UQ{@G$&EXE@nkM%!fej07nX%L20p@GE^lN%- zvscrum}rMX)=e$2Y8EyoDFFd)QuPZ~hWM;~9*bVvG~6Dw2G-eRc=g!^t6Rx7+D`8N zmOSrOy|HO_O=f$hwwByte(3LXcQb2`ds#CAWa*0IIqVrpD!F~uhPPYiLF^@$rX1^P z)?#*&com?1yQp>})zua+YZ*t8q-Vk$jY7NqPOL%Nn_};0D zfcCEb&PG6cqP}JVXw$JNM+1;LlqB8)NcHp!oI~g)fK7|0dq4pxT}`Hdl;lm*G*ITy z^(nqVqI<-(snHO+4p`*clxoB7n8{6=SP9gW*T1m8-e_bM54WK=c22iRw)K;B-k%$M z?l2Oa_th)}D8Pe1Jz_Ru991TmN(&FV{8Pe7e)wz&zb*aY9*oS(yY~yy8 zWU9aBI@nY41~sGy_H;>-p}?L8`*RKFvyfXdBpsg9d4QM=eSQTXrt^{qW8Uj`tsl%k zYinrDT(G&m6z67~WfMn5T(y0vc5G5?Ok(ZLo5CB;yMo(I4} zBHM>j4#ncvH2Ii%ijbB~2BM~8Uv_hltQioC-_hiw_2}c- zO&MNYcN6v{m{xb(Th6H+Y9Ca315LWtD`WO4!+|Jmot}6N{g;Z!$*?u~vD2CTQy#;I zC)L(GKYdS;5Z14seE+}b;JzzCN-^SX0|6C$mKO|C3Wb?LzFq*w8uIYg zMRIvp*P)G~hFQk;-|*r8R0tmFytkalMeOn>vd(jxy}@KBZ3ofB>Y$~rn4vieWt6-3 cA)+rz<%^MH-mBHV>2g`5M7@%{*L&*!0$BuQQvd(} literal 52162 zcmYg%eO%I4`+sF+X35NzD-hSrc{``x%au4m?yYTRF5PlT-9o7e&Br0`CQlaemHMO ztXM%ykPK|Gv~4&-c~d;A*_l_ zmhOYuKRxa|sC+G+Js8xE(;sc|#Z^bo_I$kHGp>#zB6*9Rh73rnk<|7yUcZ-AUYj{d z*qCVe_0Xem72Ucf>8F=Ttq*TZb+W!g3)`&`l!ul&;+`nu${|+$o;GS@=EOFL0QJ-#(O-qw**u^!uga zkEc&}I(Ha02UPmxH141>^QtnDicNXmmpB72KH|INhHQtkC^34fU(V9usl%*_>e!eb zS~gwjk%c&Mv$x+ZS5M8#NjakNmSoI??i8Im2E%@=*+W;XtFODl>GKG|(}+mL%I3OS z$3}00(DcH;(D|03NX+w#n?IdE$G&gPPFAe###}BLs1Itu7x}X+;fK*(mEwUQ4<_l( zN?A&=;6+aQDa2E#!AmPNoogg zhaXC53}|Z?g(Z$<8CKot?TShQ@3??>wkM9MVXZUyrK84sJdIV7d6JY0{vi*1eBJ$i z$x&wk>p3^G&7@o1YVRI6N@-_3Z;Wm;ncZ6L6YPs^3{#d@tNoc!V}qs{?T_Xo#zNcL zvB8OBT#wcn+38VZK3`+~2772KVGQfqI+J&1)EEh7kpgZLgW2VP9Gdg>OSB28qmE4a zzYIUyg}kHA1@Mgr+DzOHt#(HLQD+Y8IXbJ&BwN#JAA2um>YID;X9&XWPr@fQY zRdWZxb!cp!mxpY-QNaJgzPg?ujOvgD8dqv@CHY=eIBGscqPF_io=hWZy~;{e^svY89sOqQj$*EmZu= zQt8D}_(axSwY0_W>#0hDsa6Ic9G6Eh2;Q#U=wQpa6z~i}>n<6+bCgSAk9Df`u3~%J zi#(g^bq?Ys9>;xcTygWO9l%TOwa;lU*zskZxTlc}L}0 zkGTwfuVU$w76|U_o>^;+8qv3=0bda=Q7wG;n5$fyCq~B}7hbWvF{|7x6tl061h560 zwYX|tcC7uJ7gFH^#nc#Y&+P3vpERpl>5AxWAIU=SB6c$ZimH4h3V0|&X}+i2JdU|) zq37M#jrW7~IFm^y$7*7ccT>;a{m&E>cRqSH8N1-)4HK~|A7(lkFc}YS(w|G<$Tz5+ z0rrjUxT=Y~*eKPCP=es;&`weLvE-1)zRI=HVkdQS4Hb7DBgs^CA3)2u<*|8@9^3$< z_TZPjtBC}mTSsrGF)$Zj?JAKkx*k9)Hi*PaKTJ-IE-t0HgKX{Pfw%fii}0oHIgFY@ zF%JdTS9)_4OTx#ximQImxX*bGicEKA)j%8CeAB%cVxd6P z6xFwD7*~-Gb9|slqRTyWg#M?YO=Ny>NBkwQ+2n*;>$)t2V2ZILlvNfaQLT(83{Eqe zD8eTH6lcxdjsp_cMtETL+iM8j#t7F0}kIE zp|~@#93>puJpy41pxSvV552F4*!8e34WdKzKW)4j#Sd=RpMt`ihcy9o#nyVtB?+gz zP4|DZ#r!>6=l%r`B3tN}s?UKHnab}dX4kRu%O8%Vdsjs7gR52t5QLjej8NOb>HuWM zO!9G#Tc;S2@YI)iUUlQ9RJhfHS@g@~)&d-rC{eln9kfdl)0a_@NW!3J zD(*slf;ASYSl=w%7bRawLqx?b_+*LMKRwKBh&~R96bn&t`ZHnl280l$T!}#))iw2p3g9zfN#meS4QR} zf3UD7lE6oGFaivZ#Qo3wo6rNBsVrjPP%KQjK9tZ`?q~FC*Hei(tLco`*q(}n*-X{W znr5+Js}@%lAW^=&^BAq#6e_+)pL}Zk67D-r7}%bQKU4J}mQtFXoS|D^V@B{@cDMh_ zQhto1^5_n~X!&?nF?hwXT8-d8y|RPBs)8crZX$x<*_9?4=Z7|IhI=ID60$GK19U_j zzmtnUUDg_#s(5XT!1LRUYH7rkRYMUcDo1Px{z|Yfk-Xi*_=+T?(j%Zb#(6rQlQDB*Xs-UZl8}P=Q+`O*YguC#9h>Ud z1H)TuAY!3k!#ov}Bl&zPaQHGAGA0%_gcenT24zh+K^WZL9%v8C)t@fAyQA}iYXO4Y zQrx*m*)gOuVA7?^S+xUpv+c4m5G!mm1B>qPYT@87|| zUC8DrJnO@+SlzV(Hy7mkTTYRXN;e&WFSz|>gYxNPono6!++95x=z%Zy2D`J9U3rrt z2u{V}l7?=N|Au_0LtnlpXChAHCsD4Vu?L6dntFvnqFR@b+}B0*P_RvUp!>8R(?hB1 zbeqTVrPV|P->;pOAKj9NqXi%pTbm1OiVYoYwBQ`s;e{1M#Gv1>{H_%1JTZQFnCCHIGind`%C}{) zc@ZAE?Z)6ZTty)#ZKmM>SGi@p@ZxgTT1-9QtJokEf3hPK|G?6Q!(~QrT?&5o#nTF5 z33-ywrk*h?9@YP*FOkS!H6wU`>~HGr56oqG?u*KVhO&82uYb|tIgYq@?PUc*=-H7Q zQdAmnvKLpJ7ePUV%%7UG?d?s6=m>+_>tFV+AtJbpmjUd7zye)BQMHRizBQh}3k%VO z+P`{xCR{w=aM=ODo!P@t!l01L)>UI{o{tv)?LPb81-bB&rOh?wpMp$g{#}rL->{tX zK`P+T!Yjsr9OcU&KtW#RDkgtBxzz-^U5Ro{A%emNS!*Duz<2vSQuwvdK92_cnQ)kD zXRTvXID5e1=kJR<6U|ZjKxs9_D(%krYLq11w}N)n`f31z7n#d6z6R1kRTfgdMo7C- zJOkeuUw$kHWVu%&5d&bBXJYA5sa9gLeC7DPNvLU_8a*pbm`Y++7y|ZEP6yF5%;#&y z5PcgP^k>46s)d-xdD7UYdCaqR%0*eO$BK`sV6&pSs<}ws-$-uXZF*hQmmw8`HFGTMI;YCtUs5;k$b2` zmmO;`1ilu%FIN^l<<2b2f+;o%*-HV_q4=_(S?AqJ7wY^u3@7u(*bvN~2|IBysBhV*p7!#MUCjAQL&k%EN{xx6l6#lik=l^GQoselP?kr~3 z(L8A?`=WP6BthUQ#a(z%w~uljnw;+B?)YbUoxw&y*_RF5h-_Yz2lmZ>=G%J7x}}pK za7o229dGG`zhU@7ESL(~@jugb?xoP&k!iLoHF;uQB%EdY^jcm&d>HF*cWcL0PGDkF zRV%^?0x#+Og$HAMxt4z)=JszfB@Ma26t6H4LP2&@Z{IF0u7U{bjniQ0@{Kxi5&L0Q zPpT$GqI?a4=+{GU_6}@qXIVZTik_9bnZ<-NZRm8b=DZrRuQ#I4RPQfhR^%gU>&5^g?B$b=M%g^EZ} zJ>7=qsnDFB1Wl4exfMd_*LUBP7GHi?n+~n-ncQB0I|KHxTOESb`O?hpbLo(QM2+I8 zJiBREEn8+4OFbY_uWuHU8Yg#o;L5U)ij5+63HN0^?UE6ilkRk>aYZawH)=IxAE`83 zqVO;yVE=4p;r)`Q6*r~)V5(hVJ{H#SM+&T6X-kxZJmkPWi!-T9*Ec!<2zH?D zuby=Am_L`rQEh|*cI7hn3K$lv9vPfX1G!1zJ^WNTMN*hyM%uI=rK;gcup%unYgqB|+riGKTu zZu1z*mtAtIfd&~tHFc?7r*q+LGUAOEii?#}}GQCSJIo2nZdSP=W&j4J;)rOb4z}3jO1_qBd(kz6`LhGt(oMdS zYunW|lUQgtGBG!EqL48*!F|6_|M7&P{zOvV(_@9;H`e9-VR(7FtG;uZDs3l5;T#^Q zPFV{va(*!cNv3=x;xRN*h=jafq&nPbIk`MM@6UVo{S&HE zL*Dc~8@EgCQs-<|J33D?T-&`-5bg~k8fQyE(YVdyOTBMAbw=bi%lOejV`_ zON8*<)Js-Rz`{ihivsL{?YQ!k*oC#OY<^gSzT7*x0c#CTnEHlUZFk=bSFVdD@TIKB zdC8w@NXe>K3lTiU*>8OV*!)OxTJ z!ob$JV^hL4xh#_BP}Zzs4NZ)Af9f#vyrF6zmlgy|GiTIfA^IX3@Kxaw#e(uNSH6}Z z=AM}}S-$992SAzU?vOy^JMH-Mk;#hr1Ar7*O(O^+J76v0i&Z{HW)&-Kh~8gDni0IM zyF>ko%6ueBxQH;|9v2#9=bwGC^eTJM^kRpORpE*RID#Owyl9f4KBHpOA>EjZ$o`da z`ehukwhnXI;RZ^3Z_vG>ODU9KEg;Qrp&=0a7v?t|UwSQ?z+1CBzRn8zRUrzFJF&4vhN~>h zp>MxOj5#b5z_qy3(RAhHC({f(sPLAWcriZ=&MXQ%Oc87u2C(e9U(tEuEZyHyN#ZEn z0Jr7X+|6cReJNxM+)VAkMQ8UUtJZ+~=hfcsXBT|=g?&psg55qDMa7>Ar>7f=4>YRQ zn(MBp%!IzrUN=HPAMt1=R+}1U^_f+`0j3U&%X{6vD+E_I2i+Hy4iOOs?*+{Orod8t z^KZPC`43*Jfl&ZF&3)rjA9%XwFL)Ycu=T%5&3)4t6^B1nT_4+!4pp;x!Ek&< zZPMPq;px?yam*!4@~nJiG(qsraIU}IKLmgFdQxmunlFto5Shxt-+7oI`Phm|&h#Z- z`X9K~b^E1=&3E_64Y+sm;D7Py?eSRBnIzD<*CBe#06yip?A8G=?!!^RyD_zvH$h2^ z`@1Ce-ksD2KGvl}Xo!CII6OR-QXM2oGl$f~Bl^M`SVgzm@?-}A6`@!`Lktd0+>8=N zgfLGQ4n@u?;Sd5pOo}UjOc%!0TK3Ims2gg8Vxe2Z{AmnFsZ4#G*BByXu*!NqTv%6u z5V(i1^7C34I2zz9J)6y!tn=IMkW2k?WSE6jME0PcRuA%sEFMrKDxYX`odmV#X*|#p zT=zz$8O;F~4%zK)k%<&a1^y>kyxr~-*xqutWa!zO8=#N{%I*)^98uJDtz6m-VUTct zdy8)Fp$czg+F13i;= zzLJY_P?nn{I66qGlX>Mz?T%ZK=9>$Inq4~t0LKh zD6RgCD_xpk1s#jexVc8M@rx)3kud0%imNny2=o%wTB7-C$-wpo{pm+D53G?LxRbuq z5M0$Bm;|!`6SDiDT4oWtMTalLNK_la?JicY-H9zv$%*>U!fTO;epLJXnQVI0r`E#R z{FI!$f6W96!yg}$9x9~BU%pwKEBo9`kRwO(&0j5-xA3ui=a#N9m$A+f!+c_w*`>4d zsbO(<*Q345!#lw6I52$4RIHW0Z!VE9fNCp?S+2Ij#c;v$@WMX~i|t*Hx~H`ex->;J zNBk4c>_We$fBj1VbyPjdZeFc1XwrX`*$&xBZGnlhiVdy0J!PZj7QV*zZstiY^Ji=3 z3tPrS?9^v647633eQwnL;TaG>?f8CBQ~Z18Nz_(mS3}OB6k0)Bdvxv_hL)LYKs$N6 zUsL>T#z|D0K4L7PWZ3xmXg&%^G4OixRik@*=$4Lz2+GRDG21JxGo*Iwv(WXUqGBIY zL@Eue@8&!<;bNOkB1GD_BVxX^%%8@3F51*)y1TyB&J-L)!&uMb1KLaps@^-j&uRPH zOqsqF5544TvIxS6c7Vq^4^2Q$fOz}RM0^_vvANbVVn0_lYTpZf`zIGbv}o?hT9{qF z+vX$835#@FV4GHW!S(>DAwT44$iqSnIfNgq_T%;Md9n2Fp^4BA5W|Ni@4498!{r>D(as=<|kgJy?%yqw5OUeSRVMg}(gj zJ^!KIR^Kmn$pxAyN3~dVKo^xb^#IUc>ez-f*JieGR|Br>iT7UT8Fz5w&(_q_YK^EI zg{Lc_fBP_YhdrVlS32PxD^;v869hrh`SJ%xtk;DPN;Vd-oSTL+VT#oZ_JDx0msFh% zy1RJ9QhZDJ@hG%-Fw(>_9st5jRTxqZ6FU8k!9Ztt95;aCgUKZ#4$)mhG_bN*oidZJkk{@zE@I=+}Tk+sXa!@(|_OEcqXJ^*@P{OyKr#^^F4z20DBjP=0Tm99tgV*&x*YajX}! zT$@P6xm@gWZE`8rl0OBt={Oj^XL-2qQ^UMpS9n_kx)8(!ZC_6pWuDnZ*$WEOURD?S zXV!D)HXvRDef*f=xjRoMnU*XH&nhJCibc}R4f7q)g-8~#{bwv3aKBRb$6ZqSu zG=ydrOE}Z&$6u36-Bc$=ACaimfrbyddpOt_+0L?kb4{csKj3-A;i5^EJYdb)l?oVt z{T9no99s}(lD>7%vUM2JF<Tx|3q8T*-^*tw8+aM z+qp6v`4;|{s%!T5P#x99|Ri$~vm38xuz zkjhtD?GeEH5iAWHS{t_^q7=Y=7qbM&boRy_c6>>uiE%s5~QObVYhonkOax2~5 z^%=n1d`?&a+TTzwyJ#GBA6So1)1^$~{|c&2Fu>09Dc*$0t4K?-K7@qvF_Dw|VMVUaa%C ze5@s9V%&UU9R2(CrM-o=%!#S^lV;aA%1>&OXgLv4eo$*emlIJq%1mh@qHy+X6om2@ z>5_z^Q2^=EvrM}9zLZFphdX~(Ya5qMV@^Q2V3$c3Cm>y9%cP65UCqMJKEoy(i+0j} z2h0oVfM_ls5J4RHN9|fHPI+HF5piSz)-CSZB#WL^u7A3jn%t+h4AS((I9$Tc;QKf%y~5Ww;8 zkJorfE+a97nP2{LnV#Y2zHg*z( z{fBFGz)X~pll&h}I;4KArr0+e!AA|_P8Hf8^*?e03ER$kExzbX|E3w4=>8!z)xQjP$^r z1eOI{RX$8|Xkm+x%>&Y3kyi^AUp80uTM4QFcOs#MhO5lX!Et*tj8Vlr4e6Ks&mww@ zQ}bEHsa33E-|K0{sICDG=>*7`e|i@BUa^VXTGgJaj61!>(topxrSl-%n8nf|W^w9$ z@J~nezghmo9S@73{3VUn$L3;_rxHZj9*LrCPbooKgcoKK-*6e}SFf!}cM_8A>} zP~iUsTz$2MC>BOF;3_e6g);H6OunsC-2Ln1-CIKUjy%76Cu6P!ys$hL2!t=pJ{eH( zEUtm$&wDla5@%V`?=^s1;;4`{7D?<-$z~Jtf#J*3E_CJLXO|rBlk!4tba%ID9ml&= z4`y~VzVAlkj(;>X+SqvWklhjkrdGQaBzUhx3VCOyQ62D8kPt&5XQYFdr90K=L5&3^ z)L1xuAb1)}H+W6tYb?b)OTY_*GC2lJPZW|U61|fs61sud+>S`C9yRFBjW#kq0bfvw z|MD;v<^1jt%4ubHmzDIcf=NH4D^{z4;N79!Vf4ubXq=;596peT{Rni6WseRmtP>&x z&=7o|?bLb^;Zq}F@I~_r^Ig?iXut!cBWs75S>%09DBKu_r-i{L4r-uu{##bH zwY;(J2%;PaX^V{ZUoLy8A?s|MUHf3J`t z%Fax6>Vj>zXtE^39dH;y9{5XpDb(!bG@TSCt5X#&rM6{jc8iqiI$=Oy8u&I_ru~K(-9ykujvIFiE<>y(U!LAEafGe6HmQJcfJ!W%mrh zU$`C`lbYd+0sLjE{#;dPtdp9ZlZ=_t_r7tjV$ZB*f|4eT0eUgPMC5+NMu7f=pvqB?hnFkyFYTX!Vx zxEHE3_ZAU>+oHLb9|nYos`{CGh3*p%N?VgOMYy1e@zVOFnW7WWiH~nC4^INao5An` zBC1gPJ@GvpJ{o1#-XuogPG+GX8Oy`F|13IznGj5zD0Gd}wyUR5gYC~5`Uu;u#75yO zt)fioC^%kPTA^*G z`Yo;YuLMWAN0`qUZMNT#N4a-d&vl?he6X(7ey1m^&4jwLd0XLlYHedIrE1iEqGHtk5)|jf%27Mp{-0~{6QamN6QbxtD99j)%ZE_$ zpM%(N2t~U9V)hV9^jgcq8x2`Vy1`%-ThA^n1s34Tfq!jlC&JbQn92e7g7Bf+u0zO` z9r_D zmwM$mKU-K&WDES@fTW{4W31H`L)Oi^SvG*rVe%Cs1P?llw|GwjXGA1y>EObe00KX< z!#Bimm{MEp+KCu&q2iYcTSD>Fpq#DI^pt<6L1=`*$W%a|Tnj*d0O;9t-);c@l&@qF z`Zr4PRjv6k&I`c6Aph6U?N6r8v#P^k88csl5?AGply4HMuUg?*gubbFfK1yTsb$&r zf*%Wy+dmzTJD)HM3o5E6N#t(vfN2e(){+gM-62;8&t8vSSW$r(bO%1|)Rs_ObtG^q zRtRJN>nH)bcAMA#iPDYnnjqMMejT6<4Tx|`;KO&XmD%pPS1_kENzL9k5o2Q_twzRkcs5sp+Y3_J#IR4A>sXvxdp zj5|Z(C|`vJ#E{B?KhvWV@kY=OI0UwPxfz8*VdCl~475utCfVGvNz9nvQ2Z&_yQL*`L|j2knp=k?=w+Cr#a7 zlN5ics3b?_Rsr;!5cnJRH9*hlsn{n~y#nkBP^q5US`ovweSVEGSwaxwUo7nq3j-T) z)fkCfx$iMru}viIzBBpN_L;t2ksIr{&I}HGJ%t>x>OSx zUu(&kReA^k`5OoKw{ITCSKp3~jZ$7PypwKt>p1yB^8>5Kngxv52G$$R7gd zcL(9eUf%T39mhZBZS>EOumZ8O30Q?2@NT1lcUwfoXsWYziblU6 zL0auY!lRU(u`O6F=$joM6~5GURcB3WJ?O|J(QWmga1Z*yVl5J5J|R~Y6>Cvg^KrRm zO);GFskuNt^-(dr=TPToGhjI9L(y&L@8D#EEgn^vdJCj~J+@eD?*#7n(FZS)Ujx}V zH?>{;N6-nV^9Cpv3;R%=sZF3pdeD92ICANC|dD^p!?+V@Ehu<#FG%$VQI5^ z*mg4BH6-eLwbb=wsB8OL6eQ~A^6+#N1pP*$sL>O|nnW|i9|S7V?CJsnnrM>&21QN& z{3*s(Uoq;qn)WND>ChZ@SE4PUY}D~CKBS?<1$fbMJUp$Xr!AYL4raJ~xS z1bEK`qWw@0Wt<5ZfD+KE>SW1nQZ6676${=<1XsBYt}=dZ)PV$83);Ahy0Vq0?PEfX zJ?0c>9XEj1F(OeHe*`c9g@=03semHjWEdS{xW%p~|K6{$r=LmD3Nt_kiqBJ#J(}~p zAqZ!%IUnRv0#Hk%0s$SxGx!3p?6Z(KygmS5K8LP?&N>cClq*F9YxkskT=fkRnR}G9 zZz_;kJ?95}MHPNX<*Koz-q?ebllPn&{Rj3_2EP}JP>&YbK~w=c*f1yNT?r7z<0=wh z4Q~`x1B~MnFMjVJprSGiVRy)U&Xxj^zrmI8ebk`-o8ugqD{yrPd;m@T;Kt-)Q0{!W z{f2*GRFL5#F+YgP!soWc`wGV3>cbITR zfh?L&G;r-_5e7D<;;X7+W1T=iP1EhHNy1(%`XEQOrUIcdz|svTEG6FJet#_&{nO7WmlC{|R2U$kxrKnNpqSts`ZqPof(VEoMh<2!CW^p<`arSnL|0Vx0r zKsWZh8u(^659huCXFf-R!yY1^$j%yLHDd^gGBKaaO2B`9e$BXZR{A;c^*wJ)YBQ;~ zx{d(y_37K-2*)!(dwJ*wIi7KO!RYwXQOA*tU%4js_vAj)v6~cdzC#MmcT6xIlt2x| z>SmgCgl!B-#- zh0Sa-&Vm;f%Pl`?qTG)Ehy2NmU5|%2xt( zAPCYjNx9eZ20h}Er{k%Ykix)@_8mplDH1ub+6%&l+uyeT6etX3oxR?=7oO%@K^TYt zo{Xy6CNw&cidC&5Vy^$G>~ot*&*zh~oEB7FKry|8~0`oSRD7V}-_2 zvC1uxS$m^0eM1QYPc2Op{_c?B%Z^vZ5Cgy|UATQ30?us!r)eI$>!+8I-d7!4x)F%j zh1Z0LerP+hD7b}zFN>C_+@i;>7OOryjOM?&yWQ^|?HEk%kwqAch-(kB2Lr$M?U|U~ zG;ao>&lIy$cEN|f=CQA0lTduGchcX4KMS6#%``T<^ren1C=WIeBn-@<9$Gsf1W-Uc z+6}~`uaZBcHcO-H!P_SAwh!P5-UIs74xmrnJGJ;nm^ROy+gf8&qEyU=gQn@#xnv=?qvd}TP{d_c0|#h%Y)ifv{@@y`p_e-#c_ zM_?fdaOHQ#bGQ@O+tF07WO*>~nxlWksy0jI=ky;V({yisC)y&yS#Xc?s2D`Q!tZh& zfm%m+SN6G`hHSUi>xgWAZ@8k@yHiq#YbE7*BukInL#5V<;f!GE#0N8@inlHYJh{U!~75ZVx4*p_VcKo#QC1Swrayl*-+@J4Y9#x8Lop7()oOei0PV zM@p2N0%!+pYt?N2@Qo{Z`VBxCa?HKUg}Wk%8|xxR_7-V&keJIsB^F zz(!`YR`$NpUEt0%AF6ItuE=8ZHd9Bd?+!qL83K+wdH9hq;y{17BRLrvr-~+=2a;%N zQK=uN&m~m_c;Ra;CWzA)G<*FAj&LO$ zS8=`j8`5qupMUKZZ62fmbnO4k*7E8ojc~RTflRW@YQK}g!0975sp3_P`)H_s8wNqX zwE*TW^pd8Vzd5Rt>@l?9&n3YWlha54;w_8H7q*G!w}lgyH{L94lxQ9)*+MAq$$G01 zoRnho{H1dLkl}-*sya50+)^^&DOId=H9ubJKn%XP`+1`RG}wzdFM^OlAZwoj&r_@V zXK7ET0GkOBssm~GN;Pm}%|>DVneLEbgba{gy4iByZu=TMl%V@TDmR2)y~UVc&%iCN zdVy+Ct)z*sWiJ6b)%d|^G@&{Z#@$;~n!xGvO3gI$j{?$>#^xu1W_d2lJi2szHd9^l z!mUB^DtJVQ$%@&gTe|sGW^wCf9q!b1+c!}@9{RP>Y{E57ezIbfYbXY&oec1_&V$1M zC1*8%rGf^oYGp_|I&x+A*$nT5LS%tFnerB>F!UKM@q*M(|Jh{x;pGj31Lbj#sO8nJK zXX$s?Di=EP!?}cH`G#)D!BoWx1~80+oe~QFsaXB~Hd!jRTbzoGX8%aKP*%~}+h?*C zm(CfD+eF{UT#qViL>2bxG{>Ym@^j9=8P5( z?_UbxZ5DQmW={@7<_97l_Iq!iPdm%Ll#*$)bhGHD8!u=hi_$&s`VyUCJd-0U_b1Zn zvgnrH!2(B}c$iX^`5Y{0K#mSwAeK^AL%t;c0>K-{%>jn0zJH2CJRXm~kdcrQ*TE_gj zIlKPpa|5~1gm}bg>Y>#>8Nr^Ov*%aN*$tb#e_=3_z}+aY|0pc5XH<}$N7AyQfCtrq z8~`DNKKEu5?g$vH6yL*{-BEY?W1rK+=oDk>PZqJUb!*2ACLi`Bsvnx1(M@I!7TCY% zM?NoPzqdyfFNUKd!Hml?-{qE@wl_4kST~HT0)(c;!wR}-SHbWB`>8qWA8W^rejtyd zZ}fmuec%h;6&8@bE+sy%Ll7$kdCU~&H>?!rGo=ypa`9W-;=yN>(^G2l0S{bt0tZoV z9FTs{&g>y4r4#K>Ri{iYTBhN{>bx=6l$dz(z4o8)ig7 z;5&%)KgfNNv-CFooRtp#CHYK`;ZP_?0PX_TJ!g#jl-*1!yQ{v%{p0z#@jy-|rJ!;O z^H?gpt8R(vFR=fcUtq_b-vjQ2(5GXj9t9#&vQRRMj4{MSHK}J_88_Zu2LeXBfRXbJ zyPVs`jj~-GGh8U=NBcQmlcpzOmv3uhdTXQVjA=P-j;jjK&*{fA)N8gec;H#Fm84b7 z8A|2vg8fK3SL=O?yJ6gDgn`%`@-;Te=G_8-k%hN*Tn)+XxBf2W`A}J;sBodlB3YFO z9uy^i8@JzN=mZ&{u&VBtMy7Mqs4f2K(yaA;u&3Uy^aJ;!Z*#|t4crVfk<(;9^}w*J zH@{23owuSpW+0xae?$osu?Mkfwx!I{IRkB19LS(^&c!nn`h3BA^J_0 zJ(Y8Y3V-d_*u%EE7Ouy*ohvMG$`q$f!`Hr}*^ZA^h@;SzOcMDr*nexlX=yMo=wRz`) zBYTaZzg|@ZYrjD&a+(}VXQ#3n8LP!n*cg0_ZG$Ma732+dcu-EG>?Pty`zhC1K>KWiKL<% z>RBRDK3Zh6EZwt7eF%1r9Z7QZPQ2(HcTtCmMOmQ|;1=OmnGExM8yu3{^97nFk^y$h z+GHy_FgITGthe4JD^w=x!OQ}dN`K!jp^WxcxYRMEBHq|65sCZtxkxpp1$>pg%Z@Cv z_g)X5?T)~i1a=AWNpH7{cb-&aFP8C2A9T*D6-j0koLxLlhI2`>C#5rmv+AKp^BgBz z_Ner(k*w_@$|g#y%(H3-(TswzOJrntFGZ#*ZH3IL^{!@sMsrPu$vw7$D2{Hb?^(1d zUw6Z%^++zsL7UONIz``$0F!|^0cZ(X;Alw03;X432s@m&j4Jf#oSAzh{nzGI?`;?^4t=n$Ul@ozo~J%6=zoHLT+XjytN?fq>;5c*N-A`@MLsdB*MODCYEcpfd+SID)Hn z$4dqZD9_TnxvOP~w*SZ3pGPH~y$|5{lv7TdR8Bdi!IYVmqrA&;)I^xZ%F5Ep%F2bx z8k^K`nFM63%(P6Y%&c6PrW#92GAGeQ(A01#nHI$YSp+0SWD^h&_})I}_uuz-&hMPx zACI@-b)VPe-sidZ+3zK;It-!EPtA{c1Lrh|j^?JKHW#L%+RkCA?^XPxe7Kk#F6Ao> z&<3tDF~wS;7&L~^pH}kwF&}9|4(AjTMbX@o>NpR^zxiS+W%E@4=TjZ6Gx_%O0S(+L z_Y_(bbr4Ar9=A^8jZt@LD!8Uj1>gQm1>0Vyf*m@}hk;)U`|p@_ngzB6n+so|??csB z5`|DOV+)UgS&OxPFpwEwAKCnsCjnCDUj}O(Q~(6W-{wJuyMGD|^QKNkiF`%^_87i{ z*ogYN9sqk(>;(G{ zBA+5V#;1Ir$af&X35Exo3VktOfnH!txUc6A2ME7L`^7(Qaj5U2&pVB?4&twn$|Xx< zaIHS&>_|hXpY~i5J!azSa#V-kMEXTgZl=?HKUzE&o~GF*Pxg=kku=|%+1VTTm~4dI zMHiAhS`OncxRww5CLFIiXa&-79#DVGu^-8K{^P_b{U^yn0_K4AJCF z^g3&n+ zJGCvVOe-o?AGFQofhY^YO^~eU&g`6k1hDi8FHD-boW{0H1cmk)o^~mM*}lLiq#J#K zsx_gx_yO{$#o-A^YDO075uy`heHHis%S4}hzp1gqsgkt4NuO81kBOE|4cu(5^&7!c> z##ZycG%mUB>u!afP_)sFEx{Tc&x)TcBViy+i;9eN46(4z34kRhP1Y>Ov#(toX3~qJtU?c*nPSDuzPN>`h^Y|f%PumK{pD*nbP6g8&cc`$f87|-87Nx(X_4)` z+XNXJmn|xDg2U@+;iW?+V{1*XN}=yn6JEKo9BlxaE_~)&a~A@b&nI_#002yUEdT&u z;=kQftXqeCHlmF=*+IuqNmo@SFU24kopC} z{mfowo7LF%_>Q7)1d29$qi11j@! zs^erXfV@=7Nop!Z?!ve4P;6SxHAY>+y(J62%R|4jZQwMppR~G7z}wHimA)JkkzqYe zl__k=)Aw0zJQu@t}n*mG*@RM^R)|8P$FQ+1&*>|iC;JB7;$0nldXr>SW3 z!C>D!Q&GV_K#e=bcN_u?9CWnQcGf*E(KLIx$cV}wG@@P)8Uy?4RG7GxmM(>sLszM$85POgntLyBptL z2KL&kKL7x`-JMwtHvi<_Ph8QVKJ`56pb>Hg>~G~m+x7J}FJyzw>#|%is=alj2rNY4 z0RX!jhsm)+yQH7Uy?~N#f#cvNpro69Z^6uZftmFJGyCE=fVo5o8Tu7ctg`?a%xZ~E zL*9v5++&>DT^C9QHXQbn1`Z}K)$uzu)iDBQdY9ssfNYGt1lDmIfRS67l(j!8Or2ke zi3NyBI`OoT^b0+bFa+9$0m2b{*v%pyUm&8`$YZsT3)g#?HmfFlY_1#M@{8{P0A6pL2>@Vw0r>s2JD|~EK%?8$wRfp1kW*zvUTC_b_TT=DB-)%4 zHsLlj1Sk?S4(Lp9jL-fe2vemxj_0eaRjYboRf+-+zA0kKAPZgn+Ko(^$T29(#@JbMS8Id*2PEdp?&zsp65=V?Qw6*-q_HwCn#Ps-k zNIW3GD%AM;WL368I7aEjAL%o#7{ZG9PV@-|jjLv>htBiDRf{4Ynui8bnE5&uqxBT$L#v==P$A9HW&963!K8p?_;trUP*) zZ2F>Od@jJsJ#y5FECzh?PjZU&Fd*f_EqSM>^YyXOTWz9Q`$(@K7`-K<;L>e^0DLm z>N-=>a!R4py>~$*#)~m{S?-cF?XklQ9k?LPWKKUG0D;erMYC%E8J+YHy<^=cg|m?8 z%{f?EpZ0DzxpshuN+XKLTW1M3+GjRT$LcVx>9&_(lg-24*^qn*6T^}+tnEzBb z77bmy4g&7ZC4Z*-acop$1aoDSAJ^1ZNw*Y_AV)i5u51F?hz3JTycC>Z+%4xOw zHrpGRI+r9&-_b#xDSjdB7~AY{oY}2so=5x+Ag@5vNhjs?s7LHS*LV*$-(_|T1;|}W zc{c(bkDVjWV`cUiC3%kfb`ak19+(L=nPGx6Y2DN@H-^pDgYvIn6 z{gl21viX6)nc%(-mX_}N$yPk32rP*~y;D)scCp%LDC;}t=?ri8vj?lmx$a8GNDpI> zor|#6eI^V#P;aXgKt*tq$1+!(G<_TT%|XXXXPiA0Uj(vhlxiRJ@T9YDc{A!JsL15o zM|mK48$jkx&ty);$TK~r%gUt-5{Bh$AG9=|&l|qPACk;4f$%xwZN==oujb!jP`~3< zh~TJV%aCwJGwv1C_^1rTVFk6#@Jq}0L8MVm0lVu2?BpYBl%0EoJu^mT_bo96-E*iI zr>HTaTRsR!5pYLCHQD!xuST&a)vKRUtx?aZo}Xt0b*@YRG1qBVV}a$UTnvJC2}vHJ zBHkVW9D0xolj zb!>g09q{04F4%t=5Y_x_U&^;kVfT=@>5#x*(9F)x>!;PuW8C1wCici5cWDK-q#h8L zH$QKXv&bdwY8ZmEC^GG8G=j4%GOg&V6&9X`3m;;256r0&2xr7JGF_E<(vV(XMPNr? z;lBy<%DC`4+S-I{MbVbfk*@5(Kah z8`JDd@xPm6Pl|Mm-t%(xwXj~1mje4Cd*F>{XTR#-%FNHzVHhIEjU|5~lp z9ebllIL&TvYmsXtJ%K@-Uh7(V`p>0-xPq4ON940;c_xBQV{juLfOGJ!|_PQ*V`|FlPb_WJIo_sbnu0kUZ8B|F(;b~D&)Rv|Q z=y65lX_RDofiB-uXSr7$g|1@0nuXW>Pp;&xr@oc-wLfp4Wu{9WPSZkpa zelBfXLxt^j&<{d~3k}qk=Jj;wePd=h;-HYZ4}UcxLy_L&q`S=Y!gRUO_mB#`b>rG< zHM$njw)rQ*9i5zW(m6>MDkSd07sSX468FQdDR4hRiVh$Xr+R!?|&#Xt*FjsbdBx` za}Acdmvpy=lr!Wi_dQcbdGcA|y^dP>BRBHaJb`pNNL~miYQ ztY3b{m-WVu)7H}G%GX6!{uDD996ynsQ&GI1R&kHmA-tm-KOtWcnTEfmlh@pI`(3A5 z*W(GLASb#&hMqa;f5kCLH9}I01)h$(;sL+Okge?N_7<@Bs>0)nc~J*!!@GNYz*b!O z4+7^eJ*M9AT>qz>&**YBmorzQTMpn0BXr}-s<8=wA=-SKuzlnS@nvR6m%B%KwS6gf zKgr?}%vpxV)#^IAC05*4kdv z6_0F7n-$&UdzPhDL6lnETyCW|NKx-AK7bBI<9mraJ+5;iMQ`fA%Zd>Vk zAU6aK;@_WypL%9{I2O;el*ApV7HPBVz`XU4K4Vzkc|neQ9_(EPC?`y?4|R;dyoy^z zN7rWe`goK-v=_&WD>n3XDXzLZeg$uvK9rU4*pe@GHTaCV>r__YEpfsd*Z0Fky~JnM z?}MvEl7UjzU&qetAwH&rrFrr9pQlpgKDOoGV=U&a{d8zhIJ`!E3_rdTx9tMB8z3(|FVdVnmq94 z$bL>xHpq~O6^Q$fn3t9csJGaEp;D;o$)QP(HW<{qYX)|TEv(7Q0ODPOhjjdr9f0AcnwK6 zrx;L@O5m@)&Qr(Org^8)lJVe-=^1h-p=1gnS3=ff(mr>!xs^1-ZUB?@A063}^UUdI zpJNk_nj*&F(_Q2Vz-NdqXXcM^RJFyo@=#1HZH3F4LPdEs&08tz(sCYE#phSi*O^XX z=rO-H+A4IHjeeYP4`MvtkZzz!rd)M%L!L6F#N9F{eAvXsgjD+_OBC|4<%ba34Uqmg zvEVFux!{@`?VwfnOOHR(%Y?iyaI8^k4+jTOB5U7Jyi6mJS*)!#bnkUDMm7^N82p=l zRCAX(4a%UxHQS-~Auz^Ii~u|C8YE~X+TJqOlKjW?7nm#z2@Otr`fM0*q;*y z)QUOAUwT@&YK4nmcXJN2tsOh+m{*M!%HF$8Q%zf&+E)lAx`>{dBdU4j!o4o+Cq!cX zxbzCKW2N~VK{w`84Hy1pSsa4BA*HW3p*XmpRkSqiVfp<#Oz3_QX&XM5C+p_jB*->E zyNBpLT;x;B3iEhhMue~>AwhZ(;kYzb##L271Ovn z%5m9_eo8Eg%`nkQII@srjIG$YZtMePFEC%*54fNo3p#ytfVlSvhtCtWm}*!;ErK&K zBom8DtTKO(VvJ^L!wa?c0(1Et82+-mZVGj?6n21=&yczLCHzC|un);CglhHrrdR9m zI+7fUzv`2raPFxuttPKhcf0E@*|r5_fdlgs1R%pSoOyOq z@p#3fY_*%t0~-{y1FwycFEdiSfKv`cF*rfHYS1+#!+Jx^anp%ld!g=xS6TAFc_ z+%w@CkA0wpqfg9r*U7Lww!n>0;f;_Gxs&;XDr&Fw5|daQC%uWVwv0&`YopS(PS`e^ zw@ipDneC%Dw9oXbo2Fp>v6Yg1fkR{yw9hin4#dPzLKBK(DEnk`x5exd7=+lZ(ig1fYR8Xf6cU(i1qD8) zxg(T0_#*G|mSTQRgwAr~wCH+FqZbwakoer5ra56?WR)ZZwfxI`HE~il!_%X|}hR+(XcEc?Mcsw2`(Zgm)tvB%~$r4hj>wr4?1+AX(%`zt1%PrJLy{lbkI9o7jXj zz`Qi6P`3cu9m9(z>1N0y5QIwz)CKbf@1nl}u^x2FO4DE^ME9$~mlIvSmo1snxb{S)PW#G{7|$ zemc;;ESZrX3?=qk)!4dFR`!_p*byboKIWc`$kX}=P!Troz`aoWuA=nGl-KOXy4RJk zZk{Z_JRW?SCfube9c*c0w)^_A|1z3=Z$<@ucT!<|;^xA7WRz}3imx(X%E_e5F*5B_ zqkbV|09C|(p#A*`<0+L|L-T<=Gr2dhURX>|R#Z}U<2%v`EsGN!*q&nkBcu#>HDc_m z;?w}7y78?siSxb$_oGhZEkV01F7e+(4R5aZ-9+k&9R7k%iwMBVWA ze)t>g1C+i(_SD?>+_Bq$7Ho}ti!JfV{K*u=EMu~2N2H00*f9*)`8}@3U`2Fz`evvo z5e0cGixnhVjp00>!PJPP&0!cX~&(OprlP(3y>_n6)O%re7 zND2eU>F5{SItr@kwdVvH49lhHMvN)O+OL{KG;}P(6Tc4z@h{Dg{go^3S%#cWm}ZQz zze+d7aOeYLb8-!mGcN4uk|R!e=&#vesTLD)x>ZDBtrCYqERt(&~p6GLR1 zJYs+-dlT3gjMxb`NKB@hK89hoVV za3M)%SMjg&MVB#MDm5#Kx{qKDnWzu%HDW(G`-|!;waiBQoq^^KKaupXM8IOWIujvD zIi*DP?|D2TX5?Hn%R93pSd z-_j5I0<=yI26y0ey_jk%um6*fYD1(b6^XIzg?vjV=-bvnUIu;?=zBTUwjT5aU(M!Q z&VnD++CcVfFqA!eN&^{vF_$hAjH6?bMHAITrVSl}3Xj9+Ql7G2K^#{YeR$n`q2>BGVmVI zc!36d7tp{7&ruQo9PHzqQxUI%=Aw!i#Qjgj(Eoq$3J{g_XChB0bEWs&vXGln6p5`_ z$Zeo`m4z(N{F9&p$tQhzR2A{!U|;xE6>&9aE~$v$gXXe|xF0lyD&o(e$x{*MgZJgD zh`T@s{jLc$0&NDL=c^RqDXL)D957xm!v9~>Q(nVQR%86+B#bd>N!^OUMN}flC~CST z&7eMEHE$6X2Rh>m?}tA^25&PYgDfp>(Lv#{GIUOF9c>V>OqHj zhZTRtO%^JO{oUZ(z)qG_JhAwyLducbY^7Ce8Oo+v6Wy_Pmu-`WUO=J_Sic-O0*3+1 zptE^47g}Yp{A;t!g?AVK))Y@IdMleKHtAR3?Kp$zhBL2!dH@%eP!4oBe}tmo-wXam z{jxLNoE+l+VE46wXjaE8nljcA+Q8O7Lqyx?r(hLc&75j-o+{hE6y9Y87FFK_ATvzP zsiwhYP<7hm7P+!&Jz6^Xhi*JbKJh{Bbw=yr#@$m1qZ`|Tb->L#{jJ>KUy{W5&-^GY zqO!c1#tG`W6V*ghcg4sSG!g#(oW$K{omCkcD;%Ct<^7ms_K=4baf?;@+Y7_JYitug ziiQKsx72$XmIV#!!*p7WJ*nyuTZY#yCU8s3wkzf0=^dvH~qQUd)(PSskNW^O6{;6&w9M0O#wHN<1ZQ*(;; z7W44q7I{FV+ZddpB3BMq3MdsigBpIeP5&TIMZg9QDvYK<78a|`?&q7vUt_L0ea;(X zC1LZDh$2wx+BE(PW<+%Wsjh-%!Kha1%IhK^c=f|E}LEbqYVf)r6fbEh%% zMGa#;L;bLVy}LnuZ|Im+_{qR8;hWx!sITQX2DrK3dlS)L#w#YHCWgK682e>VO!qo) zn`hJ1o^R9B?nI0!1V@@kY~K7N*#_lZ8XZ`TnEN9&DPEXI)F5G^dVNo+dH}c1Jd@jf z-?Wz7k@ zTcJly)ypZbd~}OAGUYZyfO#&ws~)lrUF_Ys(u91%T#41(0umcO$5!(Pxq$kJ<;hLo z2_^5xM@@Xy1)cs+Eu%grhb0_Wj4h|w)8(G{i=G(@*B+oS;WrDfMacpZij$s>VP$im z-AT0l6No$o7SViZB=Be{vwaR;yRILeKJ~|^`z_QE-6Af~u07Gf^@KDp&f|R~-r%l> zbS0J-htQ&-HvlSp81y<17gp&g8B5fCPvE_uB3BV3C+>7RmtVs+u7*2~7}nv>$LhXd zZYIfQRCaln7gK{88%AOrPsirz<|fHTMeESlxY{cMD?#qnl;f;(fp@!)Tb8q@ocm+I z%^Tf0l>Mw#Gzl>BBwQdM|4fZ#y3jYNMd{2qm8ke_DI!)#Qi- z@kNBkO)mREHVob!&b0ikn}L<}^Y*jt&vlE{T~fM_=p7}$-SZG-oP5CG+1IVaJVM&8 z=;i{oI87qgz5)@s6ALp$j zr^CYkg{7VEr9HMS&-?fmI|hFh;^Bx>IThvM9*|#cFy|*RJ`$sgoV}|Z9(>%q6ls6) z>#|Jdt2s6=_MU_r9NZ4p@YHzea!ldM`Z7yh&j^xaeX_0W?0y>nL|{{*qOq}1HX3Cjop|qmk z3FOztsj_Dzw!sC1e85*AqD&NaNqmaP6q2w-;rY**R;0)+E`EPgc>LvP-PG}(Dr}8o zp-JW{Yza5#SMZhg{h-84pY>);(5fiEdjb+$_k)|P&#MF?b2Z-uMqbjdh5%?c=}Zh) zAA8P?z0bPPnSINX*|s6UzRm0r&Mt9fcCJgXMdGv8y`{X?c6j_j@`%BM5|ACiXUk$A zv1h`=@Gt)z^Dm+{-e<9{W+bB}EAYg_a>-CWnU#?j1HVZaXC8v4*%#w; zs`TMpj=UL;6pKG;zn zXPNn`bP>0dRTxE?(O?fB3oU}+wo>}hr+l>`UB%4PT06`hd#>XbCfKz zhzi0yU#AmTHI99@Di6Bmg0XY6Rn~KwmWg=1KvhxmKlDKID$xy|%w7@T`1AEt;{!AS z^>2rfc;pzt9(RnudQumPss-^YzYJD7j-;sRo8l<@O>U0ryP^wHXzguvt9I1$H0qkk zL;dDoQHJ1*=!9XK3B)8)-#!zzndH~NSAhTcr!csjEbB}Uo&J9ycwKk;WsCdB-lGb? zO=x?6FdG-y(t?Zt8V(R8k>U#$dB8`}at`liIJ3RJ`SkS2ksjA_bZo+LQE3Q>C}1*+ zbpJa@d3RRs5!Luwsaz)#Pk$FIH9i<@F0*9P>BORl&s!NEZ1<6ASh2RXZ{DBwr^5DN z)$h2=B<5?7N1xAVOk(+QV&gVCf;lP!?uv>Cg6rTvJ&TVz^fi|JRaVQU9aIkNh)^``E4=8x*S3fj`Z0ms|hbOSci(p>dg zBtB;+l;413?ip8_f#-AYBg865S`@2ugL!s{>9`H^*b)pb6O+ArdeaS;=lU6V@QI}4 z7g60YxYab#HuJb}IVI1P$$F~uio|$h2U}X0?V)`?&^slhAolgoil9cPk?c3~dB8Iy z{_2c;+mHS;{L=(=MrAPuqFdnCU5edDO12Fhu6zP@HudAmn%8pEC^7h4{voKwa^^V! z)nA1zO=KRe7&j+gR+$rX0f2Cf`<=$=cZmf1;86g_3HIAVVfyaiiQ!rL02+U4D8|GQ&O$+*Mzt9s6 z9AacNn6&TQWFh0l80}{Zn>H4%RNE&_-xLA|${FguGiuBLZbv2RC@LyFd;+=0>|z?U zB&SdPTFAy-`|SJ%#R)e5v^PNt#XPaM^|UxYW>2lm*iKnlS*%Vwq0EiYU6ks}Mit?g zu+uI7jNBVTjo=D%wn)7p(Uo^#etetPYaiVOvL6$AQDwWL|E^yFcpvr%4gDB1SX=eW z$5Iav*Ld_TW27;jdjup9@I59=fyDSEs8W2}&b-Oz8rD0*yW9ohO7gfGo%+mXdpvx7 zj4sDr`jB_Z?#fZAPX@yWq_|#DjSlwEay<)L`-t{VXlETfr&7l*_!kR|I+d2&QlqJH zF;lKSRsym&R&wTzBlhdL!DlG!s$2A!?=_8Dlt9$R5G{@eh(*t~q&+cUn#yUz+cdbPrYTd z51@1Wb;Fmfwv)K66Dz8S;06c#rtyE4(-CAgdnQL_pVQ+8Ze0?cbJwjGsp%cDx~VPl ztk8`ecFzFX9KNA@QI*WFqne>C0n$-%aS0k{TWpf`t$RaN-f?ZxuPqc^;~U<7-c=d8 z!;sbD-!`i$vs(AUkQZKq+HD?xx18%E`xcA4T;T1aenxr8Y$NV1o55@3^36E z-!~Du*VYOD2L*0zg&vz@)T{Qm=Cbwmm`CQ02ly1LL>O-w_ z%*CGvWm|M5J&Yh?$v8l~t$cW_zsINCKZ-yCG06BGy5%pTf}zsN?4*Z+N|bkocM_>f zWv+j{2yZ;n&(|kin3thG+oLjnXiL_C_~XsMG+e-|5muu75g~i^dJqUui3$a>GML@K zYEqH`a|7FWyrR0^kPQxfa@qq@w{}LJ_aDgqvVK|%+BZECIsp9N#|Ws9;RSfx($Dqm ze_jc@m&5Tj83eX_S$73v9mB+S#qSVa<69-m8M06O0~j^c6>-?1-UBUvWt){0M5xj& zF$%pPz`<3#AN^z*#HzmKhHG8mC%R88keYgu`=at~(ftmv04-4}9}hPA>k53>kEZ+z zRshm7D{DEv&>ciJg!LrlTJ)%&0^jg}=8#v~IFJZvk4MOR8S(u~4z zW?R4aO+r--@{Bxq;!c%{J1Bm;A)6UQ+i$%EJf&WS7xEzU&CgMT=6^lP?`hpZp)r3j zX}4pc{~QGo0CzIPL(KWjdWn`?O9ptf8ND`~+mW?_((UnXj~>p3ur?`tSRB zlq$rlZc*>7Ec@mJ>1=9{HC$d_-%sQ9&Y1v7oU|C>F~>X|NZ*Wm#MTw`WO|Ty)N1ns z8!gW>)Q_vF>{TFFGa`<10AEnN86XS@#@)3fr(LS2`=F0G+@BAjLJ`+M66q#}y6iM6 z<7*BNlpJBcCE&~h>dAnJ@dw5rx^7E4#avX?_<1coZ92Y*3T@hqRNk2|VB z%N7OVjJx`i9My<6A-g*QT&Uvas3qoMpXAtVmbCrIPZqHzu24})H}M+Kr&eQwkm7Qq zobrgh09=hncxcf-u4g+uj#~-J;ungke8tBYQ4Gbmh|)T(y_l2q2XiE^N2RwdPm*<0 zZ_=OX6Yq~uunRI$1tGEBjs=AD@l|bN*xT2GW2tA zg8M|8z>P8V^w4#%blp6>G0vcv-WQpcQyOF~0r$?aZ($qTCHjwOt-CzfNb%v}T5C{W z6j5g2$a29>I>O0FTY`&YH3&8N1p5MV4Lvbwc`c4;l9t`07voG#YQ$#Zx+ z7ui|w^Znz3-I|X&L~}WA*PTJG=&EWuyc+SkyHhqR%g-;cu`RYd{Fd)e@Tft zjkv_ZexjSBXsmpPimct~7;L&b9=F-BpeQZttbCTSb|pPMFFYk z#B1cZGjaJD>ffs&FyN)kkBAEeK{wL8Uf0UBv`j+`>NotQ;`?&(t)7aT9uCxi?%&Ls ztzYXAFk6xur5_C}-Z#!!6nNO zpQ0?nvFAb&8gaqhIo~7+!_859thHH|KNp64XB}E2ygijZoH+G^Z4u%(Hul*QSj$>{ zJWjP*`+J0CsI()rk;=m7Pr92Vh{=#Irgt!*rwxh-W1oHWgq{=xL2hGb-JZ<*QkJGT zaR=5K0tavKo#f|9EgJI+@f^zw&j%P%CKy!}~leJwI8` zYSeEWVK2nAso2XI`B%H)$!IlB*Xlvb_80y_)E2TPO?{ z-8o>nk9mM;kC>c?GsTW7G~xqV+%Jbk{=d_*;uj%EyDdfQNY=C3nZk>l`Uupe=FEJE z*h_#58}QED$(~_SEQUy43x-2+bK&AP#Cy7Jr?GxyiAl1I=>+i|P11}IhZ7q^*p0=# zWP|!LLu{sYy5b0Pw2~9#9qG#5hfx;^n!bJSsp7(iomR~v;?MO0`_Rit)!~kolO1K6 z4TwvoTP5~ygmICA+Xn{9dJ6)@B;0qHr$5?^DI3wTdl9)1u_un;HOl|z4R3{Z4e>jw zq@v^@jO(W_Jka5+StPg}W*Iv0P;`z^bJ;s{2W39&6`>|VbT`)WHUKPS(;CaINoyKJ zczQeP0&ifky4_i`SeOuNk(y7iEt`h>romj#6CRGS%wg75Ha4AmLlyfmn^}|mG>nt> zd*mgmI5fs$yzm^I8Kzw$5NrnnmN?;(6GzAPBCXt-hjK`1yPIDE)5^2@L< z4p!M(oca)T(V2gY-Fcz~qIPT%=&FnhaF@xy#G`U~Zr2SGV>HiM^9_mMJQ}E(KGRk# z>Q$Arx2QY(alzkHvpVcw@-$+|du-MXa^~zNsQZL{k+t2ucPHxe;gPV$`T`szp>-aP zSu>g3+il5;7UTtHwUdh`m1#+M?Ylp1E19`utm&~bMDr~PLw#(UP0Y9daHcO`FJ6aq z2rEH_S+d(1wl5bpSuY9--|BDu>lg4~FyU3X^oupbkG zY3EyaqM8nj#A>DvX)WaUpzJig&G`#NGEwjz)|%6ROQIY`|1G+NeTVV*(KeM(?#z7$ zYpWnUKwT{JzKn(3G`!OXK_>oIuUJj;E+#t(w^8Q9|AVzfPZFG^mV{Qk=G_U$t;yIb zu<%jh7ErwXVjyG*BeE2)|6e*pm=~@Yki1v7Cx_>kYGw$oo?siVzsE`p_dEESm55yI zZ=--P?{}*FPqG&==cu8cwjU-|_)I`fu!vijPHOV1$~IdRU{I}MxUcs)(!7s3%fwm~ zCBC$w_g}TY6rL``zK8uy`x{NpwTTCOhTG;p7do~H@(5pI{dbC{4q9e2spQ#=tHJ-o zhtCZ}x7+=@Ex!ov9!BIQX%->Mw_1$K=fcVZ%sE`i2E^Un7N^FDaR5Qt)_y0uK7pOlukS-XMB$p6ZFyJMNN0^OEGiAhrng-3Q- z2FjkZ8X9p3z->-b-v|?av%LQ4JsrMIcn%_2$*jLQNzlB0KRk>0E&c6!>sjS{$nUPE zTZ`1MI2sRO>_N*v>-2Bs9r2A#Oq{!rHZ{IcbY!z-9kb!@sqc{I_?cZqz>wIH#q^Nj zWyJ4b?IAu9qC2r8?lt))#UfZ+1P*&(l&2_RG4A5Nfweblgk$fce!7FHU5UI{mV6~v zzk>}iiJxAY{Gg6tH{AL^oTzJLLh5Ls#BjDFacUv*9Co0DRd?r%U6!fF-a0}gv8Ni95T5rncdE7U-O1u0$r2S-Pa!8b_EG;>B6!MSK zB!OB~@&D9f+B_$f%{x)$GZbxaQ9TOOMZW(q0_Sdvj2=*YVMshD-J5ry?(P`iN!~K* zP|TK>lOfH$#JQO9mBL$wwJ5qlH z)HF~=h!9O}8T-NZ*Z1oCw7*3;0JZUYlQ{bE?ndi9obR>Mq&58)tG+8q0k|>`>l82B!`Fn5Y77#=Lm|+;o!_TZ;HA>o(hf$Ad+$ z>`RFk`S)iB*7aTDNzOJpW5vEWC*k>K4OEZ=5hID;!lcHH-oeCtJ{|i^z*+~T$2Y#H zB(fwjW^_8CE!HjHThpzgMS8rTe!e5~4W>Jr#L&Lp>r^f7C-J-PjD$Jx=yd(UPw=uQ z?c_y9e|WLAiUbZM@xhHoDWNMEY~e!Ue;%Gx(q>6#Fv^MY$J8= zsS~Ao#MCz=eb6YM0ZOH_>h9rSwl4>@Q095E5_ecFTxnZ_*uu`bFj*Y(5c7%v=wl!> z2$JxZ2)TE_3W;FPqNlD-z(k%9n8{;xqxyiCaymo!!JgCS|FMRx57$!XDeF$}_ zw2`t+v(nP?;Y7>3c0=pa-5s9e{NPx`r6~LKPVw8K$+D_m^2!Xz#4(h9{-}4|8|pji ze}KS;mG_<1_eEDuw3J8?xZuOo%=)s2sB=|Q)0Z^wxDU`F(MIJ;u<`7!gF+GOg|r}x zsy;20x`RDS{Wq|NSYFIaha$o$LGhGt0t1W9eZkMkR~6J>1Y6nZwUarDlPnj^ebj}J zDPo@Hd)o%2dU!{iXo+t6gj6d$mq(2d<+vSEv@iI$rn>NMxi?SoDsk#N1>?Gv+hZT< zewoxk(0miHwQx_FqSro0ki#$Q&A#txTSGk8q**@-kr)exo!*$PnVFagHDKyJem@XQi~8rRc)XLG0>?#-%ZEvoS6m znYbb@1H0}FMi!5|q`zA?86L#Vp}vD1tH_~qH!uxX%99e-DD%2Fhtw&Tm0@gCg!X&k zZ>Yw&0q->HT(r?+E zWQ&*`A>LY6&=5I_~;0yHMu=}(~UX<8r zGDlfeYm#hRsfZ2SrE=HIwtV{2_C54(m@w!p=^OPujOgbVn6`P7`cca=rZKRI^=0I- zG7P8@#k*8|jfOJ(y_YS<<(#`)c8)7wXmuw$x4oM@{S(Z^rI;Si9?_jbCMq zpIS(g7Cp8tCMjVVzJ6<n2^RtxhW;$=)*-K4Wd z;tljH?HzF9UU1V)DBi=`TIz6fVC|eVz0at7Os^gbJM$`8v|V(8!CpddQ;IGF!HMaj z{^2>@3G?R1uV=F#Gq_+fv@y7*5u$D{(+s4%hlm3}Nh#ZhPQ{(536ub>B}J>b-wC&g zS}3PQ=c~SyelX0NI;F$mj*eM=IOB*t19!ANCbh_+W5BPJ6kKa5)D5YLe{|9vzd z1yhg-Zr)cp*y;a%H{=}z27cc;(|-(D#b<_imc{(1ZGM7#w_z(4%;GE3WCf?nn2K|@ z{Q`x2nyJHm*+txpK>knkTV;FM(h=)(c4k>zlsk07ONiTN`NeL{CJkF7DBr@hHp@aJ zGR`umFEwSI;vtaFaVXuvKulOem<&C8R|(x6E-?i(-N)_GZJ9n)0`+IyX^$6+Xex+ zhzI+WyEAYw#gZ;>MIk`Cb4teCK-8I+#W$hXDDH5(@nLTY(-SW1e|i(uSJUQAjdDlg zi35^iPL&f4fwTs3%b(=%0!x$`*Q5IM0Ks(kCSvH%l4AW#fN4OlGY726)O@4J1^8cp zPgj8fDt8&%ccl0{PpR z4pqxZl>PwF_He={I@8iK<;RZrieHpu$C-@}fU~64rwxgdnwjVltdJc?;MjTE% z&32|Py~Z?$JvJ!aov-XQ)r$y!ZUmk?96f^Rk+P4I7wZ3D4fI7<51&vDW6}WusAH!z_C|7Qk z{+9{b4$*7d2JMV9j>~zCE4&jpsz5Dq6U;rMVrBJ)oW`8bVX1%7Ud`K|x#kXx=*=jY z1=0Z?Z2&vUmZxu5DN`p?8>yRqT|x4(D$)`U9_DS^{f@e(=m>2==9du7H)r$5{zkX@ zMh~3bvwJcTcj-u(!> zUS^#2%SDr<^LR$+Xvf|%crWwh*W>RP@*L;Uh|fm#G1hFlubD|Q3=1t5Ty!*s`mpw{-x4}iyw|F>MfQvV#-k%NJteipA z|I^gB2Qt0?{}&bI*2SfAS>+bWHJ8k#QmKT5R4#KXC-+%w%Vo*!q((@{txh+QYlm6p zHin&s)5+b8nWn;A7IWM7d%gR7|M>l*i|3xN*Yo~(K40&>-VffDESvg!DsZJR5BSQM z`{rl64Xhs!^bsxP2x^nE3o4Gt(52tJYLOgJg7+98=JFu$$hlzo(z9?(Tjn)?53n9DV~#9N!#)* z-4w;qhXkj6pdCpa8FON9F2B}TP>LjFO;J8w#TULYFKN7Z&N@kZ~oMi76#b|25BY?4-f)wnU)0_1WDFNR{ z!(oy4Z~DfG!~CpL6mz%Hnf5-{5wHBmX>y|ztT!+aQdznoG^Ji#dBi&c%| zR)TLq`g6Y~iFrRO$S$A#3$YJeWW0PCNhu|&E(%;fjOCMMb$XKr>Cs3>j0}gP!-q`c zcA?0(X=j)p=)z0<`k2)rdUx7zg$l-J;-(mPEo$RLI^Py}j>C;}E)pHhkY?Tc;vTVuPe)_B(<>X8k< zMoZXDlgjncPl2tRX3!TnbE>slWzuBP8foTdYk64$aYlz=JN=XMx^kaYlh>z(jxYbE zGl$ih?Uwd(RV>;`aAe<}Qhew1{vzdsSPgy=MxplMno7*$-+_c?nQNxw>Jz5XzXgl6 zP@zTp$4YR2TWdXow#>}J^=d#q7=5cEVNE_}Y5LZdbj`I`Lqx&h+8xE4(dP2>b91i- zs)Spt*0^xUUK{Vc9HHDGa{(8zF~DqnpZVJX&9QL@$SB%$IP3I{@$-ci&37l*cfg2H zE6_4KW@+`0mYJdPc(D?jJ}Tex0onj0hZO&D>C#8sh6O6u9Ws^-i`zmHD~_>d{mu=r zUOhid4Pn-qJZIb9P@>L`UO3F&he`WssXg=4_EHG>xP|p9lpjVYq_x}+5+eWeK{m(^ z4Y`iY?SWk6aHqwkK#3whkn*yKn*O?!7%4CKsR#+qUn@?wxv-X4TEN2I+Wwr_*(-sH zjMm>N&+#9RecVV)^8Zqv9}PGlB$QS`x_L{CSP>XFix>ShQ2Y$s%p53c)ea`68Ycr~ zxT+YQ_YELaKs&r-JG)^)i3?>F3!Rz3&I`*Ex9#Y^!wLrS-J`X=q1Ku+4JFDn-b4sZ z6Xb-=tv!6a%g3iTo3#4x!%OhhQ?=t4BY(RrMq;C7POrUD?@jV|kPVGTuL~Fm(a8Je z=cPL{X4?auiZ2i@%$=f)FFuQ>N@;akO=pb|2cBY(Ls=$6)&|N1QT zdSF5&FnPolw*~e}9^Un7VEwA?!U(wOSM&Ywy{ljp-ZQx~Q0zC$`6DQ+b$g7MG2L^$ z3whhjC&ITPeNFlPoh@TX!QUyDFuz)l*V5 zc(?*k{yt+{Dc~WaxiE6KUAPrcuYm<627Dw^H#>4W+jG@B%tMo8oM%%G-d43YbXL{s z`7gi4{r#OWB4OT560jGaa;TrA*|C^Eu`-PF$DNF5iaCCr0b#vP_xTwanV zJqq;1ukCw1vJhdtc#v+>eZ^h#f_2IuPXX4_S;92V)XYtvm=jeCYl|+4a$Ay(72`~< z&n##JrkS@3D6erZW{nc_2UBfJ1|CitSakfBsv?WHbzPT)pSjcGUa~z9ycbr7sl^6L zUN(dEw=JtJs0^T)qAt80HxzsrDd{rL=m`WTJC}Frv8?m&RXuw5^UasG^_!n%U#*X= z$H-Wn1!v*(wwNtpAXNjgOh3oK2EiEWgDF$0Pqwx_X2-l=lML+Tj)${|Fgq!xI+*0@ zmPSgj7nl9pK)RLUyu4kqm5o~6!WCWJZ%lGsNnco&ZPgSuT~lP()P`lPS+N1Q9Um=i z%P%=u3hVkL!Z>*~M%*;4Gx9}8^rOxN#vU*rgY^?_mIj)xf{u}|m}M0hA9z_sxN!98 zvMOfIVR1jm#@iU3F$z|vBIn7=6N|HL-F|8yBKr0kgD>Jm8&3Pi{m)+QU>4@rWcuUP zI{I^*r-h0LCUs4Nln_WySTlMJfOm7iZL(sCR$$tiB*;-UkYK!jnj&c_;8*Vk9-y7d zH~g(yNK(a{Yn}^-P^HX5Qu4>H6_NXV&-M3qx)=YVNYU~HYZzx-d*wnfy8|X z)8&hB{Nlt-VNc@{&9O1<3%duu#`ByCT?XtqVG3SQO|_5clkU-DW=#|JkXD||D!#g% z>o}1$AMj~mYw1SS2cxtnKih1hA4V^j1U?*TAuaw%8(zH!dxfwqdXWrLls!lQHZDS^ z;mQ^;R-04B0^nw>l0}Mo?IPjj{o4y&VB7 zFxT_A!~E8;H!I9n7vug>`WhGKzcf#g1oa1|mZG@2vC;O`zlr#)Z%@q9JYP0^=I#$( zZ^nqb`%h4JHeLd-oL$V=6bcyRbe3AFYZffSK)WM7&z@Dc`L6JeM~>s*_xE`s9^I_U zImgeIYkS7-1k>I;|M}|qe2`L)}DqM zqb%{?An z;kAy69&@aU_PndCb{|GdZ3gfa%VmA_gnQYS;$UWOD&+>pi%jM}T+}_0rbrvB?RwKe9ij0fyE;Bixv;z~*#qlS zms#PW?8qF8zWR@cxgF=HGCIcadC~b#IuG(pZZYVOgF_uSLG-2E!MZ~-Cql!hq((Vn zlQFgg8KB62#&+w}Ei%ZqY7w zJh{Kb(Fu#9XzwN z#lzG6?g`ZN{)m^zm}4lOS;Tu}gat~ttp<7IWWU)TMg)f=0S8kwjR=m1rw`h`GekS6 zDop%DfiLG`DS`t921g=xRouQAaLKDW_F4E}mnx8V>!$iIvy_-;vw@mWdC}85-MAfV zG-rH*G-~GEl%z*_2%?1)cNnN=`Uo5UD5fZc>G&!P26%99r zN#(-zvLx^!xOgntmU@o|i0dLv`3}2b+-Y;YI}S*>XJU-f)js!m0-y-FZ`Z6CLz7;-9{ z4~L&^vBPcp?RM@&nE%W?aOedXZ4Q@-!oR{9pasW03XMfHQyYXCB;T;JDnaz<6fC#d zpX(WcU=2jmf`qETt($vEg81;rknO<3ax&d!<1XkLJ6QR0aF z(+#bge`6`oDmTe!-~$wMChR(Dtxb5OW#RD2uek{p|JB1a2iH-^j@+t()-m8IF$2r14Y?p?$gu}Xq3s*9SAGIT{2|5ec@7j&-%9s< zp3u}nsZRoU7tr#Q*__yHu&$L8T~mnRGa<(9 zg%W^28}Hnu8Xj3leV^$@x4;{*f6$D8#hC|aGkbt*7%k?}8b5Y*43qmWgJ_oy2%OGD zf#50ZtnFDVIB=)nt{F@C)QG(uD10TUpb~KwI6g5K%i6mnUQik|9gUaj%|F*a$L^|F3HEFw4aik2d9ZqF3y_tn>Bbz-UW@v8 zkA{qbpiTGi<>`odjh+)>8u>ekmB<-+cC9;A6hBHZs2q2IR!qRM9H6lDq&2EQX*QJI z9i3P<`tPS4i!GYkVdI^2VzI@4X4v~!?&+Fr;9a&d7ro}@EiUV}^e^9qF>eZ;diJMh zI4}I&*}*Cx6i-~PK=w^vW?hWfSy7>hO%39|qjne-fO>89`M}1>26KoG4Au{n>r$Oe;$#buKVzlr#3h~XVC#XQ{ zL>eN1({vg{NgRdVOYO*qqGXU(KK=wm$+#h59Ey@=Kh92HYXwfVig?&b)K0 zCIPe786a_38=(bI5DEXGABT$OcZ2XrXZilhk>ko!k0s`fk;2o zV{?E{kvi!@*f6FTL~%?DT^sVg6xv)m6vdsiLdUM8j>UJhv0jMlG>vaCy!rs5q|(<@ z0n@l{x*!=iYOD?xeSUd-^7a)_3QsSOJ|;gTgXq&>5740KlV`7dfTGWY{W;%X%%cRQ z@Vt;HRS^*+%?8UA(B_EHa&%fD7Fv!(`yHFKPI_^1a7;S1TrqK36a-WK7F6`<2J>Ndz58Csl~?&@eG0!O5Bbm2u{f5M(bn(IC0IFakq>84^*CA za|f@fgS=bWL25FGu!IyFZww_HDK_KgRCPyVZJz1i;ryA*je~>%KLLN4Y8?KOQ<-P# z9r~bbbKh}T(3-VpPkKxLX1EQz5Z{HzLm$r9=b5Mj?+)o85l;^Pf*_r{er3o-l|Sf= zRBUX}(iK*Z2~BY{)Ak^m;Kx~m7MfG9Y+6ZB?y6O$o-}hZddF#xJre$MDLjC^q6?|m zjqQ*HWsDB`SRt_PY%6^{D|q&tgR%6=?a(=!o)gld?3E^n(wf~PfNH`PHYXk`=UAbd zZA{`Wf#r3*Lp?8m<@J0+5tqU8x;`9_OMs~#8{9_%mXBZyrx#Sk=d zG(ZsC)POPu4rB}{4cOAg&=4suZ(}|a&w~(; zFxP!P3*;V|+);JFb^QsEyN3vJST1p6C$SGa!c7z&7+x&^ars!iw~t5dQGk+Ivp*;9 z9ME~wZ4S=Hq)8oUa@>IMIIr)=YMw5>?Lh9R5d?Aaa^Xf0(z=n`@DV~vZe_t$O+iJem^Q23AFk5HdMexpdyki3VjDC{%Qb6 z&&K2#wA;@Hgl7=Y)zK%oLDtkUKr2OTt?+`Q-EMQr&j3W^o{dEr*AUG?)d`Yf*BV1r zM~b~%+hv1?2N{KZumRxSGlxiks!mueyIZR3t&Yc-G}H!!b{&XTh1NGf1?=M(iMVat zHU0LOZ~&r2vF+1?Lr;UQWh4_DqO)|0wK71P8GVv+5M6hRF_Z?d){GIaP7`E`xkGDz z3#C7*27D;&0-3XiHs=Xt&I($g2CxvrekuM_p?d@BZJikQ1v1)^z1#@xE!rq-7TTMX zT4j$E@7v=>7%=NdF%h+8@VS`bd=TCIPwbpGSU2hsmcJXyIxlI8DH$N^$OLedPXo!} znON@8ngdXJOS|m_Pqz5CKK2-Q9SK2pR-UQDq4X1+qAq%n1ln_%XTLthQ6TrTz6$pe z*H?TujZdzn&32o8eC~p12z*;`!_29Oc4j3&UGZavGhQ@{XbcDLUXVbv)CAjl{)lK~ z3S=otA;R^5w^gfE#;G+L!A=$w(>II42VHC=GNSFo-3T_Qi#0@9$P{AydQ?2}d9WtF!G>f-5cq1>a1qp94}C-t4tcU?u6si!09l2N z8eDd$KMD<@d=*+yGz5BizFy<`P-T+go<9Wy8Uwxsg9Q4&789yW3AuyP8lW-}atCD& z%Ro?&gxrsPjpT*18GE3Dp_*r!ekA`egp~eZ*ZOqRmXv?foAWi5YQsz)npX*IP}GIYM9Ea1C8l+(A^6ljcwzyGyPFokdXh; zf+8VH7}91wX97z+^J82u0+whHL4}=z+Ve}aeCc72JkTrF?&6M=PJuqLKX=eg6A1aC zl~k<(ge0`m-5)`GO}K1xf7I_5=^ia;1}f{O-dJA8Us(HClecwLjjUTWI>r~F0TRp#15FKO*s!8b;LAE z14zUn(?_$x%7gw4IzE^KjT}Z2upy3+L|(L+Xvnu#d>GU=aJJ(CXZW*?C z0(HN;xg)KRMDpDA!;o*;}l6+rG_nL!-X0DKGENm#>SizJAzHh1I>#P@67VDBNIsk1c200=(x`f>{Gp+E-B zq(=?5D16z{<}Gp~hV77IA)*=BwBgKQd7c6U4NtJ#BQ;n9$Y1udYwe%_FyOA=BKI$Y zQNzgO=aVci2&-23a}eiDDxlIjs89F=m6n_Al}IQX-(!ROa{;h8&*s=e_4+nD?u3S1PMq{1TMuW)bJfGVQwU zaLrH1`?487@ptpr*Z;&wp{<>LdYrl`NhzAti4u!ucAgp*rc%sOSo1!We(2O zBOU3hLZ<3Lsv+& zTXm0m>u;-!m?%oEW76~$i!HtH>-9^OAKwwueIE0!7ugZvuclBsx~;B7w011+HWTX- zN+ScuZP_^D7Id66h@|9o9A=DSMu@0?thl9ldxoykTQ&~%zQc$YA&_G8?jA_d`O_ZA zyVbK?OUjBmdE717OWVWp#MiP!g)6fNRS(OvsPN?lCGg($(&R;(O&TWjn#^F-q&Jix&b@$M{KZZnMgVNXfp_rsDnmX5+v{&3V zbqukq_&sKul^yai?gHVSZselZtX$5-M>);Pt`U^%1_t*lu%jjVxf_6VBwem+Xya)B zQ5UTy`yUsgGyq7=B65QwwpzKB<8i8M*wnG{UB#94+t9L_VHX9?6v2F=-j(1@uhlb2 zTB}DWtyh*F$+_cpH=RZ9XmUZW+EV=}E2HEbt)A8#oiu^knp|q3wFKgQ{T9R&hU{8f(!(WzNz^=9{KVbOwRDqgsA zybSPrXNEy6ZOFO9#I0YLiCUMK9aDFd8-?w%er+aZ{lRSg!ji2l94291TEE5mt(oBL zQm0%)@h5`u$| zS>*f!aDsiVd;TtKMEQ0%F7tLlwW%h^i7Kc73FhVU<1Kne(+E?K8{`078h%b}mKq*< z29zJ%Nnc7rxCGpq$dOGMwG$XoR;0<+ZUqUrmu4dw8)opG!mHo5*MCx;EIi5LK;2qY zcFYSl|Lwx(RQy;X!YTIw!c}QjcP%Kj+%mE3qanV{GH*0GV?&ua*iVX%Ur38tTLRIbKjtP;r}surYbd*MH!1xgidp5OmVd(-wF| zWkBfoDL@bUG&@T#ZXH$&jI?xC^0=!3>0Tl7BN-<6z87? z=!K@g`)?$57%<%G{_!LQ1XJF0>}1T;g11lJ>itIqQ#bHjMv=EzoRtC*D0fSL_1sqtq9Xn zy^N0aTG`B=6e-Y2Gop^W%r+g`45Fy7nxve15OUpsbk0qfah%nP?*t~!gJMpYi6@{PixZT_gJd%?an}2P6qGv@!Ju}$s`*ct$Vh&$O*Zl=;GoZ+o@%iGil+q?>^Rq*9Q2>F#~%K*N=Ss zSUS~Tgzrx*vn)?uprr-{?d<)lo=_bg*?hwEaDG12RG9dAjTV54SGp1$o!R@gzA35d zJx08u=Vvgr7GDk(aCguF9R>wFVZuA9f`X-p_Z6imeD zl+}xEzbzLgPPyolna5Xo!pT(5U9$<@(rQx=o?MZ?Fj%?z`Z@8 zrlH|EmV2;fSRH^h&(EYNWeBX@V=pU0V9nGpj1Ixi#ynyUbVe2G!)TBGVc)<94<-TD zaPEjQ#2TJAIDZ7-wTak?(vJr*>4a3ke~}UF%3;P1YJ)Y}Hs0AstJD_<RT9!k84wCA%k^(yPvUM}oP?M_d0bD@h>zOG-co=Te>(Io5WsN8pRq6=J>T;&F> z_j%bb?k0VjlxFZs@6k;<44oInNy*QD#I@2lu43%7(_5t|jg@K$J0v%VSu2-6E?)Vn zUdGD}IpaD zESul@{LwDAanWs$c7mUO%PUkgiRtI;20y(m%0fuH28L{nF~*$s>lWe3AL7)8+ zW?Ht@xfH~gM*>QW1hk_gTo_hTuGHz0Ij=?>6^I>wf= zlY#qSK4|TBJL+n1fhK?$vZP0o6ERe@Yj9*@eyY(zXlh&WrKSeo4H2fL?DT}CoUOv8 zrku%+bL40p74R$oz47|escQ7Yg(hHJzAR5SI|fIwCsBvDrgnc;v%Z3a#XOlD_?8L# zP#={PZnwB4=FiEM>`Yi`eO&f}Pio3Un;g30*`_!JAC#ExVj%*1QxEzdmswApn7=0t zR`*jHXVk2(A-VUMEZKZhaad)&jMZu6%z3J#i$}x7`f|(`tBc5u+f>JE9*&6sUTiBa ztw%kYdZ!gi*N*u#xo1_9GB&D~E*njd0O&#zpo@8FYVS2V$$3?Y!uBLjYjYBMo#{U> z#x+fjjFFXrZ5`zZ^BJ2Wl;!9oJr|2@h}ZR~;wc54P`X~sL%{GVWlT&hT`pQ?7ve2O zvdIIfm%X`*(w&l6=@JaEPxhqMY9OWDR;~Fwa?XiaF}Zd1CS|Tut=R#|M>1#RWLiy+ zax4z7;Zqf3nfV|>Om#R`TG+paqA#t=Q~VanQ==X2;_@fiMgT3C6w5V%WE2CE5h`>1 zP5lZf+!3gdFd3z>W|=ypCq7<=5pQxtPM@RByU{ThSGR)bNJz~pzF4Hag45l6;>%JX z%jh_?rXQ7#(^`9#S{}dP2dv6UZOc)|ovG%hh9eetZ@!-FDa*8eQ@{9p;cKcbzzb+Z z&gKmYLy_F8C5>WE%MHe<7HF-E?~OZ+T#sfd$feto^|YiJ3W7Y}8BuTvQcp|s6{TmH z!mHK%mkXzm(s4JCzcQvOk49O^$GIZ6#@Qn`A5K;3L|Mzl*&ufcvL~mEs3?kHnjEHW z(m`&%R`3A#%53$_(zteAlWd$Fa)+RBazTfRs~i&C#FUtc2~Q(`;iqsevoSiGb(12S znv_L!rtn5}5beG0Kl*~d>bD79k%kFVNxr!A%w{c<+j|8Kld_vKlvY}%(7D?9(L%Fq zzywZ5Iv{(~I(2S1(KZ#e08dqQUJ`0Y-ByTm1ABBtTBJ+~oo9QrHk&5*ZpKgg>r(9~ z0y-I{t#X|V(+br)w27oh?85zp*|cF^uKxHWe0fMmLF3({Cy&mZM7Td#!yEt?l8ua9 zA{T4bUE3q@sBU<^aJ{-Yc|jr7U5fdW?j6GBOC>hD5Q%vUZmE8x>hFW8W5?Bm=YdMD zA#2`DjcctC_L|&w;r$o@1<;|@NL#utBSwQVP)9ZbI{tXHV(#eLht$uWiDf}5oZ}Yx z|DiRk%8ZFoMNm8zF^Z}}Zo6>PjQsN{#m29USbxq!@^dC1lubarGXW@|z=Yp~xMRqNIn(~jW zY2J#h+Jmj%N&KiqtUdrnF=!OK$QIP8(Fa(RT?A3=d1%SS5hb(sJJgWF&l~)}o*fP8(JhgOR>2 zoR(FbnXmAqTY?&kFSN^3I5_Ib2BXhfTHy>dNMELnDRxou{z8o!q!Ac+U&wVQKnG~< zdFXkyXmkcBz4vH*u`YH3R1%+J=MG0~9zFR!uKrZ43*ueea@+QaiHkMMvcqxbSpmjz z4y0FW*?qjwR0y%_7Vk<*X6ven&)wty?RLodp} z#%e%(Xtc2#U4mf$HfZwG-9s`FJ6V)J+hda^e+VuC4dV`?cq!1R#FmCnf`;{ET6r-f z=egWblaqrO(l#z5bBcY{A9W|gjjb3noFv)ziIpXMS{snod&n+blSiGMj1cY zkFmrL4q`;{o=X_Nh_HPTDCY=H#;fC9J*2&E zF)*GT$|2UmhOO?;DgoTiQK8X;GUnP6b6f}W5^|g^TE-bIIBa7=yj>wp3$e5~|nrQOs)JzFS z^4+t7Ml)xupfk^Cb}M+2!TyPH-p1D9p`743$2u7`xL8)XDs*VK(Bw`Vq0Ohx`0+&8;0P#*#(&h+L4hpwzmC&y!w^aW-)Mz8P!h)1Mg26cV%HbE|FlL;{*!Vzv}hr1t^q9SlukrJ#|upG}nL810tNF*cV9t4x-NOYwYUamj zf({$K{5j2MP2$c2N#lCx>)Sy}+f+wIL;bDSZ4L=Ko=4o`G@XY?131m6P2!-l)(G}M zJ~TWXq;bceJR)>0&UbOB93-LI(%I z=@sEc6TvxYfqk6-I@`6S&7mRH;_~40P`2RZHVC2TRpyO&)`!ZPOEz)i5^oNQ!NB7| z(351~860cqNwQ)?w3RBDt`-}iJN;)QB36XS?YgT;yWLjt-iTAarkkh#RNOpQ1v^x& zxoPt!eABkd`cSokzJ6Pq=KVdbx4q9)nI5X%m%C?D^`G=b9Q6KTnE3d>0;GB}9 zdtNFf{a4i{4L=oMC39uxgc-V^;K9L_FI(^2@EtE+5?IBwz+#&i#T#G7=UeL$`76m% z{Q_`{lFfosPa6y;iHbbVjCdFe`_>J8*>FWGR`lL4O_ku&Ej>aK%20{hH)r>Hhp?5 zuVp}^#`%qV7N*h|@a0oU`OZU0|1n({<#%SU{`ez|G52Hk(hvU~^A(hVfEuGWjW!GJzb0G_V^h zY6Dig;flEh9zAn6>iyk5_Lu;CSKfS0WS*liyq+_Z_a7q%YuZExA8-Dr;m1dChrxJD zXt2LRSWNsVYYz#oIy`bTs`PG95CfPDXn%CUYy257RmmbJq%*26 zz#ZnzL;I%q4G)-swffjHQmW3f4gw$&| zzaks;=B{Bj8hy}xJikzD&VG6FCAK#{pnh`!J@OkVjo4xk~{}pU6W;?*dlM`=PhkanF zU(SrV;%eZjome!gPOuG_DmoL*(pq_IYQA<@**Mrad%A@Y@x3zfi}wCt>zJ>dSXg4W z%xOO{v)yIN)qbL%8rotkX6>JBsFj$$Fgft{FYE22MZ3g&O{CzXiXC5l0(Lw;cD*Mt zf&U^}q|YI7{bE&=;fMCAtRc=j?_YQJN9(Ui2IJ&c9O5a{-uJX=4&XIvDeF1jb7lHV zFZsqptLBfJw{yeV80Z(UmUZ;E$ZEc2Fl(bq=U#yKVBD5DBcFq3SjYBn?td20wi0o@ zKq7y-)qlHWPYP#yRrTJV3go8Tax&-azYP~iE+mm>)w5;(h~pbKhX<(+-Wxl-FA}N|ztFipm?c8~N~H|HkYv?xErzEs|{a3!VumAZnAw+qXox#o25ZDeKgOf_5 zmLfkt15s>!6?JLnghzw9e_a2QX)rWUT_wx?Sj|VhAS%iGVsz{JOQn~ni?|LEKq{vN>U)li3D z6-Fgl@6^n>>#1MthE_fU9lBMGZS6xO<$W)2H5nj#`~ugB&-ffpuJm!QY`gkcJ2+bO zL%Y9f-Nuf-@kF5Lq!5x6>I+V6v~OYN=^05vOI>oy)yK)c`K&1xCERotv+M5LGa(0q zVUjZ+eHwdHv~1(;D5*5t@v=%U z>Y1;pOoFI^mD1=*k-*R1+I4B|6~ro?x^!{{Oe)$pTr!Gv9m@~KdP??W4Cb^o4S|ac zhvUHqWJYgnt>yY?*Xg!YlqY&t#~zM~m79HdI&rLCe1+0O8S1v1IQ3YM5~Z6k@=agP z-OYQ@cSvs3&3CZ!fu%wH!3Lz%?4!b<>iogh`2C3VIkhVdTi^Q5oSz-B2g`?bRE&#& z<#nQ1CPNBvttf7k*=`oRsu2FN?jRu3ogEniWb>^R0U*A3zNVan*lLt@6n85i6RR#v zd9k8@nQ~2`VMQE>Y+Ie*+ZMmS`TiV9yfz02>D5uuDAM2?ecux&x6JB5Hk!(&1iB$w)s2mIjz8a%2%2(-YmNEJQ7ad*Q z3S2y8h@DrpyA8aJXAkhOW5FApSKx|3I;Gi>2%xKJ-$6K7ciPAFS_@D-tO2`K>CZ** z9+fjC(DqWRf)rXShMM*_#O<7Y*!pG&{~071kF+t8h;+MIM^r`Ph%2Oczrl)lV4ver zeDk4w2{YA-JAtf#X1bgWc*AUfacP@;pu1Q402N$j#c<#;Mln2 z5G!d316p;trngpyqc7a?^xQPqQ*%oNfA7KO6!vEzzFSrKRv^A>aI_R~`{Q*D(m!WF ztXBFcR#BFN|7sQ= z^^lY>@OV&oJb}N@8*}7u2apyEA2+U@9FG16Rk9Rkq4#euxw3&j?QJGMj{93{DVF-6 z97fgk@sku-s!Q$fxnDl8f%EbdkXoKu>*-JRihZ(Kh-@EiJzMl@WJ#&Nl*N6s&wJ%W zQ;(o?agDxMB{<0wZ>rRbUpe1jK3?+aTir3NeX8fQST$2ni9Z z1sIUQfB+lBW`s9{jg7njVplfMd#~!1D`8Qp9>j$0-7|B~^!fgCj*Tl25>QuN&(-{< zr@L?W`QM)TKFMoN_=6K(aPoM~6Hj>lc+Eo}{D|?gyN@3H#+BbcT?gRI2+}6EQcu%)oy#B=gdh}l_{iAvx`=i_C_<(s0Yk%DSa3?&`mT_x* zAN<+9e&6%|`RjS#815Ux{|?sxYQ;9}NLy;%NHy)F)0a>F%ld$IKW3iCQ+&-e?JsF7 zd>kva1@C|1q&MwHk>zKk5BL+v87r zf7~7y;|kN14EJpUBwuaW*!rT;YPKVACIkp45J|E%7} ze0_B9k6ZuP-p73Sm%WdBx7YSQ?%!V5`*_$S{nz*YxIO;{dH!S4|G4x&A^lJGKKAFV z-p97ime-ss{qyAY=l4FgdqMAG|NnRIWB>o9_wkV1s!E_uz@dLQTR|Mfo3-A{TS z4?pkyaqBMv;<2?`^*;XW#s}NFb$`vc^>5ev*w({)9}kc1eQf6Oy^nRD*!$zwe~P^3 zPkO&SJ=^YNO#1WazeM_fW?o;{eW|?uWzv7S^#5G?8>IgV>HmfF|5Ey|l>V!v|7z*~ zmGn1C|25M8Yw5pM`hO$+zm@*$r2lulugCtI+Q&7pNsj&Xzvg4d{=7l<=Z(^TQ}5&Y zfAg<-KYvrNhkeJj?{m#>^EH6_qxbWtNd5T`2Bs}|&F20*=3joNG;aM9Tf;JL?X~E% zW!&0P=(GjvzE$4yZPI_c^iP%de@E{h+SWa-_s6Y&hV(D*eZ2p7r2k#%e^2_~?|r=I zUU~k<(*KF{e=7Z-N&n~4pL}`R-Vgh*2m^!1*7oatJnY~5+d``*Xf-L3ag z=kL+`c%OSp|6aX6ZqFal`*?V=yyj`rf4cOa(ffFKX76KL$H;4rmHu(PkB8%Xf86>f z^gj0gHNB7he|_)cJ>S&(*#Eak|CHXx!{*)}xBjWUk9E)OeXM)F^gkp09n$}-^e>S9 zh0_0A@8i5*B+u`X{+D~dy<~RZ_>1(hpI?#p`RdiY5BO{SHQ1lsy+3aK|0DhV4{T4g z1y9|k_woAM_x`x`?;-ss$ZKBI`&jp7@|sulKF-}~y^nMEf!@dXpCPaRaPQ-NzS#S% zYsUw#`HHOjjo!z$e%Sli*3Wt$+gjAWtiihb_x`x`5AJ=u=Jvhcy0h)#^>^scWBl(T z{kxji>$-UT-Q@Mlq$gw~4*L>{QpNGl*JY4#Z=zUz^qiy_wf6!f$24X`lI*rn1iuk-h5QAIpfxU?A6pCyygY+`q%Y7-v7hDz`7rib-yJ2 z?@Rx8`VYlX5AVhwkhi{@v&QZDyZ6_i|M;tUeS695eRNFpd5p;)%6q<8`ft9P_s4s3 zY;j1R?5{`voZiRn&S#~6vGgzNeZ0>udH&1t{5PckP3iBJ{@?e0dz;zu?(6TXhrdbJ zfaX_ohkX4*zy8Oq|82>|&wGFJk%K{PuW_{nuQ~8)UejJOTX)?0hxFH@e@E#*O8U?1 zebhHy+&+xk3;tTx{b29ocrWdJyhmF1GFkUuW!*zL8C=D>*Y2+wx7Xac_p#ka{{rhi zM%I14^#4}+XZAkELB}E;%NJzb@Af|S=Q{l1aqGKv@tXef$>%8!8|wUZ`?`3|^`(CU z>EE#Tv5z0bw5TJ$BIFPp#5r$F2Wr>A$b{+tYU6ep%0Be=e5oe(}F(yVrF8)49O&-|PE~{`aN7 zSB~o_Y_KgjU;oyhU)lOUl-C?_o%TdqaBV)h_wi?UZTzyHAGbGpTHhA>FX??8%iFGI zAMhIe*|+|Tl0TQkYu+yJd1~(?67T5!aqDlDzW%O){;Zro_e6gAvrfFf{;d3({B8r* z-6{KaiS*s?0O0lRcRX+`{2htb{gs}t^#0hlOZ)!oYw-MKGPak?F@8t--|c-I%lCR; z-v`hC$ULvF`EBcCY!A3Dj(Ymt?19ohNcsm$f3fthCH+IBf2j1YE&c2CKF-B;<@uXP z|1f#YP38I9N&oh}kNy5t)|P$mzwa~VzH8t&?;1ee)1Q&)&ocP)3%us=UT1JWbBEqX z?Yc|vk6V9*^!YPQtgAm$wO%{%X?qn2hYF8Jg=|$ZR=xf-y~!B zX6e60`ls|huFuWVKUH3{Mf#^n|J~AmPw(SgoG#CQMEcw0H6NAd&z1gpz5m;GzV;pO zZ{8fV@0|YEpHtL5{k*TAP2A`GaeMvwrsm*%z9n_(+vYXxCA0Yyb#ITn{$H==^}6mg z?PK4*Bis6}^uPBj-0n5q&;Jgu$5`q2GyHs-zMmPl*MHxPA>QYA+TUx(g8q`;$DiHj zQ(O<%lh^aRn)Ler%=6fvo5{9rF8y0v&3^u8w);PEUA-R0?Ev^)lO79R!|$5V=XX&0 z8tvm;yYHj)HT(Mi^>e|#F~90D$F;4Wk@bGweMTO)*Wc3A6THuZq~@$NuW2ut)k);# zNO}FEujci-?ltXW-yS2|daU#x_bc4)HQmqu4zI^p>316ZOqagX7`NB2He-nQSuf-K zhvqfyB@3>H7s>1S{f55gm(|CPG5C$_eC#WPsiUg!N0?S_g~T9e>6Jtd^j?P=h4Tq-+S>4mZ_sB zKL(xoqD2Rs4a0)(`_S+{n|^?w__y~hj%VR3`(J*kj^7c5@1>7kd^Fw%3!dlkt$)b$ zw__G9T7KSCr^m+MnOXc(Zh!gYpJ2ez;MkpGE<#I=apTyz^?BTBee4NZavU>Sa{Rg; zT5{|YT5|j@4O((scWB9RC7~t9b%&N5*9}^7lzOz}m_pH#<9RlBey-OXEvtQlJJn>IsBezRt1$#32aE%_~) zp(Vd%Gc@nVH<>PQT<540+nN8-P#=!#ufxNRk4&$WMa@wg(2}!0Bxij{en5L~wB)Q0 z$ypzgvpyteeMrvwkeu})IqO4m)`#S*56M{{lCwS}$8~|0ob@3&>qBzZhvcje$ypzg zvpyteeMrvwkeu})IqO4m)`#S*56M{{lCwS}XMITCPW@#0C_C+O=|^zYBlko8lQaLx zng8SmwC$iJXa18j|H+yE}pPczm&ip55{*yER$(jG;%zyIhwR3}(ocT}A z{3mDrlQaLxng8U>e{$wOIrE>K`A^RLCujbXGyln%|K!ZS_44@u*BX|kKS%2D`DDmH zt`q0Xe{$wOIrE>K`A^RLCujbXGyln%|K!Yna^^od^PimgPtN=&Xa1Apc{X=`uh$$c zt}pPczm&ip55{*yER$(jG;%ztv`KY2T~lLd}z1;K?R{r(Ww8kU{m{=m7`2j^NJs!zUnm~L|N9TUbH%i1p?b@+U8;?a{% z`w#Y;-+yjgimCwj-;a*^Uy#S=o5>5?f3QCLoBkP9W8vqYNT zMWbU+biQ}C{)x|VzVfSl|2^k8A3qpp1=pYVy|eXCwEMShc71za+y7CBmLI$RlKu}x zB;Rp0zP|tC5^4Q$|I7EucU_Hd_(zVP-~VO*bk)9R=6-y)e2wdSU;Xv&i%vR*=MT@E z(i*O#ZI9{aZ_#s)Rex*uwU4C_^#|*}t-pB5$5&3XMIY}`jnjYDLLy?a@MEhs7+|ed2g1S_h-p@f0ms0XUTbg zmYnxz$$5X4ocCwRd4HCi_h-p@f0ms0XUTbgmYnxz$$5X4ocCwmPkeT}G$srDovoq1 ztY6LgaMA*QSNHMb=`)^OUt7BGn)$-|bXxZfPvH6s>(jfNV_Eh@@9&xNXpgwoC+GXM zbyvY>PtLJlY|b$fXlehoKDqU^J~?l1Jz4Pnr}zG*Ck^AT-f6$b$p%c_&Vp%ViY|IW4kJJ%ViY|IW4kJJK`A^RLCujbXGyln%|K!Yna^^od^PimgPtN=& zXa18j|H+yE}pPczm&ip55{*yER$(jG;%ztv`KRNTCocT}A{99l2yyIK+ zCJS=%zSeO6xAONn|1b3Sci&4Nop91*<@TS)w8rl@_P(pHFaB8ZEnDcr{oiay+UwSD z8=Uta$ytAr@88}JEjjB?@&nttXvtZBlC%CK$C08XXZ=ae`eS|3vFqDgPZsRQ*4A)- zw>-Iz@1o;ptHICczWOOMU$Fj}-A8NaFP@!$tpDNe+vua=`-@|m@%q?yW?z3ae7<ugN*TCg=Q`obzjP&acTizb5DWn*4@s?9q~QeofB#H96$`KU z@6NTpJJ(eRr<)-MQ9x=WW*~3-%&k#;ODl6`m%DZ_w%~%rAz(!{bsB` z>)+egm+x<$)11rrql5GQGCAu{a@L>Z+~#xHX^*?i_Pr0|^W?T3k8k`wKRy?<*I@j% z97A7te}7@$htoX3yxch2Ka&f`zsH#xa}KgT~D>$mS*x9?oH@BDzaO*HJEbFKf* z4{Gb7rS%ViY|IW4kJJH5+7@7CA)?_BG@bFKf*wf;MAyFOX4{}=WC zwvd14nt$h-f9D6ZZK9>^AJ_~nx#r)!Kh|S&-gvU$H5d2(+T(ovbFRnlyuamSA3opz zu;h6E{_{S}FWA4GtzrJy!S!W+eQGn#Kg+iE_m}x~UEekyHhgSw&M(P%e93u!lXJ5t zu+tuweSCiV$+`XH+gW3B(1+h& zgzxG;ey;Z~ci%;q`g?RU?%(P&`ugH)#YeNB9}M^ZCpPD@=@VRk`1@taSs#tk{pDO&PtHA73z`sn?UPim`9mixAej^}5`4>-Pq-%ot~59vp);rcIX z9j?Fj)ARmE;!Dn^59jw}zEOMJaNfNCsra(<2j~2poaa9|&wp~B|KvRX$$9>h^ZX~r zk)kE%`A^RCpPa+`5_a0-9y7klIljp`zR5Yh$vM8sIljp`zR5Yh$vM8sIljpcZR3fS zocABedH<1|_aDi5|B;;cAIW+Dk(~D*$$9^gocABedH<1|_aDi5|B;;cAIWdh&K+9v z!} zpPczm&ip55{*yER$(jG;%ztv`KRNTCocT|V=h@u(W&YFp%ztv`KRNTCocT}A{3mDr zlQaLxng8U>fAYiH_@X6e{*yER$(jG;%ztv`-@1JN@n`KAxNQ0iQZfDei+t{-?6k*~ zI|k?dS8~>eqBzZhvXdAm$B0x_nPrd&hbso@lDS0 zP0sO6&hbso@lDS0P0sO6&hbso`kS2fH#zHXa@ODE$Q@d8*5Bl;zsXsDle7LNXZ=mi z`kS2fH#zHXa@ODEtiQ=wf0MKRCcjxbH)zRk-V7}{>u++_U+d-m>oEvRTh{mgF><~C za?bqY8gtJ4CujbXGyln%|K!Yna^^od^PimgPtN=&Xa18j|H+yE}pPczm&ip55{*yER$(jG;$Ua(f=07>}Z(Z)c?vER(zKs8U z{{AXC^PimgPtN=&Xa18j|H+yE}pPXa*a(3F|me0}pPczm&ip4I_2m`pw8w4c_>=Salk;u=f}Qrb z_H*;&o1CltB|GhL$wl+)C+Gep=lMy_^OKzCCpphga-N^$tk20=pOdpbCue<5&ib63 z^*K4~b8^<_vM9}=j5!<$yuM1vpy$heNN8$oSgMJ zIqP$B*5~Am{VUmNk2@s)eEL=Fw8!-q&)0|KtPjceZ|{eeoSS_$JMD4&`T6Z9=k}9x z`^j0Kk{{Ui2QB$Q&CrswJ|$;;O3wO}ob@R=>r-;pr{t_p$yuM0vpywfeM-*yl$`Y` zIqOq$)~DpGPsv%IlCwS~XMIY}`jnjYDLLy?a@MEhtWU{VpOUjaC2!H0EPusLd)#I6 zpPczm&ip55{*yER$q#D#iE;|}@#CVZ`fmYn;SoaZMwH~WX|w8w4R=l4H3x1XHbPtNNjIj@i8ygrii`bdr= zMN7{4Avx!V7Ye!Vs}XvsN0BGuoF9^Nen`&wAvx!VZs|4B1{u=v`|^cjyHBEICU z^he<7$@0+lU%vm2nIDC>oh;Z<`uewV{U^=*;o{@B(`P(-g!rzR58GcQzTs4^|ESsc zJW_nwJLdgS;(KO(7;oulFRgRvvrzYXu7fB$EQulyi=`2F)|itjc4Eb%QL;`+nyxBs#D_+0w% z?^phb_^z1`*XIkwx17cGhwJBs;;TPJKl14I^kn&i_Fo?V%1=(6|NqBD&7MDf+2p_f z@*)0V`2Rz$=Tq&GuK#~W%p>n;4Zpv?=CPRd@cVni|34D`uGZj-ALsq_?%RXExBI%) ze*O1#U;23O@9(~I=ELuQWB<0chUMfZaQ)%;`;+h2UXPZX_b-aj?@pZ1_>s-gz`5|r0(9-zv{xmu7Pm}ZhG&!DUbNk2p)3iSCPm^D- zy+2xV-k&Dt{b_REpC;%1X>#75Cg=TWa^9aN=lyAN-k&Dt{b_REpC;%1X>#75Cg=UB zb@}~e{$wOIrE>K`A^RLCujbX zGylnPUeS^>|H+rMb}pPczm&ip55{*yER$(jG;%ztv`KRNTC zocT}A{97;gpJ%k|0Qa98pVaeT{LJnvexLPWxPN_HGu}V1de^+aUHrZtUt+Ff0DEQBxn7xUe4c#+W_PIUcvKM9Pa_&{A7Rr zKi+-SQ@nqo`<3+3aD8CgygnA6Ie&e8M0`8F?BA#Q`)^(2x4*snny1o->kBpV)2(4y z{ItP2za{7Om7LdC^63_*HTvxShwWke&UO3Fb^FeFePjQe^ZHKC>pMBG@8rC`ljBUF zCFk{>obzjP&acTizb41?Y;OEGzozwGIlm_7{FugN*TCU3hwS>WgN{<^38`r};l?_BfmT=VZ- z^Y2{q?_BfmydU!9{GHqXu=E-J_?_$VJJj4H<|mw=^IOCGck(m){>l7> z@8&pvZGZQ$|9z8_(eRr<)-MQ9x z=UU&L-*hw@p{46b>$_WD>$`KU@6NTpJ0JB0`}f)2-yQPrT=VZ-^FQwk>(>Qs`>0+gXA3Tee{_EP z-iPCVPg|MCck+At`sMS*&h{FN-xVAm`TKu3znAnK*nAAvU--L@N{Nh~a7w0;^IM?~bxy~=nF%oDPKj(+E=h2eu{NmQv`Ng@; zFV3-DHs?COIM?~bxy~=nb$)SvgSLNYX?%2kaqH{+;#}t!=Q_VQ*ZIY{&M(e&esQkz zi*ub{oa_AJT;~_(I=?t?r)IL?{9M-iSBCsM*Ze!z{5#kDJJ*Ze!z{5$W5Jh?tE z?|;~OtgnC0_4u9Z`+uSTVa;*={pbB#_{wRr;QV~CHOwE2kMHY`27g~O&OaO9Pao#j zPfp%t`k&oqeoM~dOV0C~oSQw1o%Xo#W5fP&eoxNrC+GH)^ZH88>nl00ujIVGl5>7Z zj*&o1en>O4$;xbD!BbACzA`6W5$m*kvZl5>7Z&iN(zjoPuHCI8)K zXvsOhBtk}($KlXLsYx&7q4zLFo<_6IHbLCw&T^ZH88>nk}%0xdbOujFmj$?|!2+T)7z=f^iW z$2U30H#x^QImb8oL2ZB0k{{d*EjhK`A>duI}Wtu%ztv`KRNTC zocT}A{3mDrlQaLx@jRP5zs!GHpZQPD{3mDrlQaLxng8U>e{$wOIrE>K`A^RLCujbX zGyln%|K!Yna^~N<{C?}scAap!!p#54ng8U>e{yc~5_a0-=FiOEeDpNzVF{d~rKowB*-nhL)W5CwW_SvRukedt9{x?}+>M;pa2SIljp`zR5Yh$vM8s zIljp`zR5Yh$uSaW$q#9UmYnrHIqQ3J*7xMB@5zyCwB)Ss$ywi%v%V*1eNWE%o}BeP zIqQ3J*7xMB@5x!;le4}jXMIo3`ktKiJvr-pa@Ke2<^GQUe&_B(4{2A-{QaGC<{#IX zbLKxe^PimgPtN=&Xa18j|H+yE}pPczmj_29j`C} zpPczm&ip55{*yER$(jG;%ztv`KRNTCocT}A{3mDrlQaL;<^Jwyd`Z`rjX#{9|C2NS z$(jG;%ztv`KRNTCocT}A{3mDrlQaLxIi{Df(;lxh_gBd|zR5Yh$+_9f*=dhkKRbVZ zlXLsYx&7okzsY%ilk@y0=lM;}^P8OKH#yI5@B) zz)pKybHRN6lQaLxng8U>e{$wOIrE>K`A^Qn{vtc=aq)%o$Df?XpPX;|C3f25cJuzp zx!Nvv+T*&<&F^1w?q71ApX5A0$$5T~^ZX>|`AN?DoSgMJIqP$B*5~BcZsU!X{5s9h zlCwT1XMIk-q`fy}^)ET=Uvk#JtFIE?R=vp zzg{!6}^)ET=Uvk#Jrp3hEuTs-^zwBhGd$+`XH+XI=W5?% zr#-H?WWIhSXZ=Xd`r&=}{oBoLyZrs!E8fBF5B^s1&F`G|*NHDaW#0cze7o^Y;_EhZ z{o(I-zFvIkTj%`^;yaDMQT*h$&9DC^@x$Lf?{600J@a9F-Xgy7RIWexDdH>4_?{}h zb<6zv?+{;e+PuG0d@=w10{Qz%Tg2DBXMX+D#Fx(ge(`|`o|$m?&_2j~4m;#bam*uM+KPd*AfBxVJ$|&ik9>ZPm$w>)>&{zxxgI^(Q&=pPczm&ip55{*yER z$(jG;%ztv`KRNTCocT}A{3mDrlQaLxng8T?p3R+q=0B~E>k2J7^PimgPtN=&Xa18j z|H+yE}pPczm&ip55{*yER$$R7%oWG54yz2a`yZo!W{HweCtGoQGyZo!W z{HweCtGoQGyZo!W{HweCtGoQGyZo!W{Hx=-U}OH(UH;Ww{?%Rn)m{G8UH;Ww{?%Rn z)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn2S4I;<{#$?$BMt7z2Vd$|LV*8ANIUsaD381 z!}`uyACj{^Bxij{&ias?^&vUyLvq%KqBzZhUBad z$ypzg<9W8_t&c^oFV=^&KI=nr)`#S*56M{{lCwS}XMIS{`jDLUAvx{NJf+XLIMD^&_p%`jMRVBRT6wa@LRJtRKl)Ka#V4Bxn6d&iav@ z^&>g!M{?GWps#{)gY6OwRl#Xa18j|H+yE} zpPczm&ip55{*yER$(jG;%ztv`KRK=owB*cxa^^odo@aB{7xSOiXa18j|H+yE}pPczm&ip55{*yER$(jG;?et6*j5DsK$@1>`{3mDrlQaLxxk)&#RV>@W_MPkY zo$L0Uv;L&*v;HJ!{YlRHlbrP@IqOey)}Q38Kgn5tlC%CKXZ=ZzF-J?z`jedXCpqg+ za$NUl$ytAr<9RlB{jmO|^;v(Cv;HJ!{YlRHlbrP@IqOey)}Q38Kgn5tlDFZVEO1;4 zSk}JB*B|Gaf9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=bC@#nt$h-f9INi=Xee+ zoqx^0TVM0;5~R?$LDra4lk4`9Z&b&UOEs>;5^{{c}Fuqv`%33t0As z{d2DS=Un&Cx$fV*e|!6MkEZ*FEaLP1_)-{wX={pOW+bDLJ-@mK-C6mYnxb z$$9^jocB-3dHtoJDOO81UEji{4wB)GSXvum1U)9v8+C0aMt(a zS|8l{S|6NieQ-YAqv`SETAVDQzB$AQlXMIi1`kI{eH96`AT5{IcNWq>YyPX({8z8}uU_+Cz2?7q&42Zp z|LQgW)ocE%*ZfzNWq>YyPX({8z8}uU_+C zz2?7qJ0+7v^Syo>=YOAnb(ep2mw$Dae|49Cb(ep2mw$Dae|49Cb(ep2mw$Dae|49C zb(ep2mw$Dae|49Cb(ep2W}pPczm&ip55 z{*&W*Hg|rR|Fk~ypPczm&ip55{*yER$(jG;%ztv`KRNTCocT}A{3mDrlQaLxnSbxY z=YKjrA8dZ}{QRH1=D)6A^IyH@zk1Do^_u_cHUHIX{;SvgSFic6Uh`kQ=D&K)fAyOG z>NWq>abDSw|LQgW)ocE%*Zfzn`LAB{U%lqPdd+|Jn*ZuG|J7^$tJnNjulcWD^IyH@ zzk1Do^*-fKzN5jh!k2xV`5*2Na2_#MST=oPaQ=KHIqO4m)`#S*56M{{lCwS}XMIS{ z`jDLUAvxqBzZhvcje-iP}GI_ks9Pcr`tzaPX{Rj>K4Uh`kQ=D&K) zfAyOG>NWq>YyPX({8z8}uU_+Cz2?7q&42Zp|LQgW)ocE%*Zfzn`LB-aip^a=HUD+} zn*ZuG|J7^$tJnNjulcWD^IyH@zk1Do^_u_cHUHIX{;RjsGg)wscz>{WmVbYLu;nb) zhlRgCfU(4)Uh6~kS|6&{`cS>rhw8OHRIl}+daV!DYkjC*>qGTgAF9{-P`%cN>a{*p zul1pNtq;{}eW+gRLv_{$Ji6e{$wOIrE>K`A^RLCujbX zGyln%|K!Yna^^pIJN=VI?+;dgiuqr7f3Tb{-afc~f8d<;0q^ge^&vUyLvq%KqBzZhvcje$ypzgqBzZhvcje$ypzgvpyteeMrvwkeu})IqO4m)`#S*58j9S13K;xw$0AZaDR}z=D)6A z^IyH@zk1Do^_u_cHUHIX{;SvgSFic6Uh`kQ=D&K)fAyOG>NWq>YyPX({8z8}ua4`6 zZF%cgulcWD^IyH@zk1Do^_u_cHUHIX{;SvgSFic6Uh`kQ=D&K)fAwiW9_l}Q?WZ~a z`~0iB{HweCtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQGyZo!W{HweCtGoQGyZo!W z{Hrtjcx3+7UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH;Ww{?%Rn)m{G8UH+GxebxC_ zcllR$`B!)OS9keWcllR$`B!)OS9keWcllR$`B!)OS9keWcllR$`B!)OS9keWcllR$ z`B!)OS9keWcllR$`B!)OS9keWcllR$`B!)OS9keWcllR$`5%1v{EzG4-MtuGIs1Na z`1wF`=07>}pPczm&ip55{*yER$(jG;%ztv`KRNTCocT}A{3mDrlQaLx@jRP5|IB|{ zpZQPD{3mDrlQaLxng8U>e{$wOIrE>K`A^RLCujbXGyln%|K!ZS_u=zD9ryoRPnn

(LmCW zCd~zsCN!xMNUCbml|a&lCT#?g=3yNv;(zB64{r3g;AdM$+jnaP0b?08IDM+OUz*4U zx%ImQZ)sV%KR07yXb{j?`IYwy5pxEn6~!sHJ!y641*Xyn zW=LVKG=iB>n2km-RfXyP9c4<8o(+W=Xav*$fY6+81T(BKqm5wZ6=tpx%;+)Y4`879 z9B&H?uSEUXqC#8&L^5erlQsfLJ?|5|-RJ6a(ESbEwq1M{$W9K1&~&wBh54l*Ta)vuo4Ca9)V$rr*L_N>Ha?zkAw01 zmW307XTZRyzXLDAH!~G%xJFrdz^GD366qU}q|rc9L6hbJNpqT12_&__<3U+h{G?=y z&nnCYU{d)rsxaM;Ct&=#FDlFcV3PG()ueo*>^=WN>)r?^uP}3gB4#wH5=dIoq$`1> zmJdpy8-b(&P3r!?w8}wIjws9kV4^CkzdHa|Q2k8Is=+t?!S_FS=-8nL5550m;-7v$ zJ=E`iH}>Yw0W;^;W+cQ23*jKfs3pF`_2xV5>nc26NOB1Q`a9E{tt0E8hVPU53@eoH z4S_xbJ$_jDDXqBUcV>68puk0E-hoz&k(X{O#1~;!7oP0uvMcMAWvBGe5 zyExT)0AP3;!8&*GVQy<-)$otwu2TqQ6At4t<>N5hoGBHG#xC}(?Q6NfMr>#$aRGvd zd8qQ_H?YuQtgc_YSi5z67IisdF1VEnA`o)auZ zlD_ucG6nrJFGqpL*Is^S^^FKoT)|>WGEUL3`yM@vJcUDYO$5+uy~5cBCqFO4DsYLC z2Ul45U9H|$#y`FDQ|*7){xtU6)QY!&vD6IwYgu~(Z)@_-djbbDn=OuQ6d7T|1>0&P z(+L2{$ehp^P=7rNAu;%e^|nafm~kU$OHoh@xeC~!1!u|^klI+Xm^!Uf#UYWb9FQG~ zNp?^qn<;+;Xwz!(7s5W98j!96K&k~+3E^>uwO;_v1ph1&UpodTYq`n4lQNKX$e=hX zDEBFRm+iGeTe4p5X#+l04?fiN)C41i1unw6@>_D`AQFbWa^6o#HO z6egLN#D4L;D4&TI+ZF2!VAtO@xpM9HR(8?9iu+?mQ5tvA*S;1f%6NVm8Z#;zi(qfL zLX$XcL39JZgV%G ziM#RUZbCsK3_6sUOnDKx8ry`}s?`YcrjVsQKksI?dffgvP(bJyIp&o}QIT`If=j1g6uhlo{5hx?mHY5CyNBg(Q9y z%>dk?Dcv%6sHlMoNv9}Q6x{i?+dxUCd>6XZICq%Y=T1J13?$M_2zQvpaEH+Nm*5Ut z1i8aXg}B3Xa)(XG-LggWb=)C-!yVWQzCobOj!5zfETeTnBypwK3t{mY)1z@hSymO8 zA+i@z(5$g)?lzrSYfi!_NJwNa(ohh}=Wq`10db*5YEn>9W&wwJKPsW|PxrVGppHj` zKxPIqk6mzUpgFK-5Yb!Ks4OxCNQ`OJ@8TvKU_L;rx#Y%=Wis{s-S23)85@rzXjp*yRMf@n50l0H7f-Q5WADK4e&c~EH zH{v)=oIA|ybEhwi3?$x-6yXlD814`n{}SBkK@_>eN`<(?baJPcp&ShacZj}@JH&6e z12yCu1j_7)B(K1-J2aBGTzlh7y8{#!pRxBM+V(~mG!_OxCI!vfo9{kx@DkeGALA}j zlN?4tLV&{1lZJxHK8L&U9uOCZU}3^xW-;xJ(D@hF(T%3XiI1%Q#U__zmtE60nMMv=g?L&kNkTn ziDSAf7C@PvDgQp>D!?f14zMwJi#-4@WU_!y{EN8YJc|c;hg~_ zYeClZohXUbr+5SJ0X{(*2LLLfWF8|*LK8z%2X&x|ga@x!ZD6Dz5&30}Bo9PC`2hX& zfTURRP#%~LBn+UR%q{v!+(ti{ zO>~71&=n6zie=U0f$2cP0J_55qASF0bcIQNrIzp>t`s2!DN8ezBDAX%0qQD62++?U zxv-D=JcZ~j>q-@w0wnsnaz%9Ivki2GX-Qon;<&CXB8Iv`@$+Z8N^UmNPb9Hm`+`pWoUI}o-g?Cvvm&d0h+;? zvq%xP&f~$bmC%l@1gK*xAq-o=M!rGJqy~{>Dk2}%NRlSH!UyPz2PDO^YVyEzAYlMq zVQ$eC;x@X%B)?JKkb;z@nMx7bRf+(0l_CV_9|aVgW4?i&l183FBr0`ABg+V! zbIcc1tc1Yi2K|KhCa(ws&z1pTq@Y1%FLBz1h-Ei4A4mYG72V}WU>H}-=PC&Bc{5SNJvWchnuLry6K_K;6&W8 z`uNr|D;lc-8>PpBC1t^CLl#7J%~I4a2Z~74Tdk|N&hkfhey07;WmvM2dE`%oFgq;a zoe`Nbtyz3n!aCRH1=I;(bw`Qv?y<-`@|_*zR-C9l#>K`;LewpYMswpcRnfPVXIAh6Q!< zc$^=_#O7Hdj&GjDjdim^Ykenr9QGcYXHV^`b)v@L9&;zTR|=B~2Czero`%^B_XzE{ zM}Ru+5yEf}jOQCC>Jh_!3H+4q;&AV&;wcFJRJ*1L%k>ne>shdm7JEHUAt#Z*tf=94 z6P!mSEu3?d*Az9#$&*vKC;btWUi^?}Y)b!MBe@oPM|>t@Y1wWf1(!!|;6CLWyvD!s zyx70K=OX(DyN5OG+SfsK5~b#*-hx^hrTR1%wEaFH(l<#e`07vHPSZ7+LeGeq=VPY) z>vfap%nnY)411>hYlz)33Mr#B3NcGEzH$R>Kb1@fbum;gC=3&dMXnzakE|bI(`b^q zMy;_ChqSbg)*(!w6u*b15L)hf`VnyX7+z>>@(i_UVt+HF%qt|?$N%z%+m~F&#~bPy zm76-+Tc|x9%do$75Cxbn4uy!}5eR2zBN^)!2daQC=M*j)*?Amfm!>@RIT*j${l}Aa z0~%JG71LU{ilKYbl%q(wY5cElc7MkUJxniE+Ed;a*&-`Ygckq?f94j|UOcycwp7 zo5{FL`CsF&*R}_=ZBL+WW7ABXFDb;2U0iQyPR>bjX%^+Iq~0)oR&>R;D=k{~LcLvi zrV){eojp$P8WY*jzciX=T90TLxh1U!tIF)y*;>+--)TjlPSgrwi+sh%Q3}y47C^u7 z<96c9z2mv(GY_<`K&*JPTJ8!SQD!cA%nTIl)Uj<_>ezp~OEI#bn z<{tQ%=}x0wFQ2Ytv?}*Q$=Fiw_Y{0V`O@ZjuBP+)w}5QA_$JinezSigO?~d)FMC2l zw$n*5Ky3plPArNPVd>O;Q!U|OOxo@AMAoDi@J%2-%kV4l@hDvJ;pG@LIdCea#Aox_ zC~OwR6Ta8+d?x>K{Kwf9{9QtU)~LEFc%!A-35)?u^O2tBo_(30&FjRyRf$?I{@#2~ zAub)!?4(27SP4_Vd7_ovbBNLEcaKB-ZFWdo$g=0f3E_~EQsLw<%rro1r!b)OjKXyr zGoF+=3-&wVFCS8`8xGpx6-3<#wN?%mCgRe~=_dB9PLsXi?zNMC(jzm(VIe!E2h6uF z+a8c6e>0h?Cs$Li$GHkD)DBc+n!*lLum=}o7e+vcFiBoCupb~t=?6$^AY4+JZiGB?E*6mq#XbJjAfWM1}Y+$F3ZNcfSe#>!Rb<^!EtO?KiBNXK5^ zmCr!&u%o_jvr5i`)t5`ZjK6Zx247WGWdgc_SNQ;r+n@d(oI4$%(B)Hr1#Zm6_G9_u z=8p%8o&NXppdS2Q#n{EA|BA-Y*F^S=eI@W;=f>kvIW{k24>t zyg~}N6g6cW@Wn72xEB@o6@wdaio0rH(-|;uZ48j>bXXNKpiSuW7_grV*l&qkRU$VG zk!;=SPB%fMGJppPFx?bjKo3L*EXMp0S;SS@@EAD~q;Fd|;?P`}Wmvr&7 zlpl|&*8Sux#wsfQ8Kp39C~UR0cPB;AK3pTit)|LAIXW|vxNq!Q+SlC1b!}faiiAA% zinp(>7eod6zu35a1&FlmOB--Vy>g0s$lwMcLG@~YJH73e6!*w>a9_K6HQ9D6O5}_o zGOg`4U_g4?U02+T+hM?FZMP393A?bo9o*N>+-BNtK`C4}6mGHY&Rk1-E&SbeX|GMH zROw41nid2!*=Z^jAkwzibd{hE!rtsg{#Neqg$qkyMk9YKK%~_#?QlZZsQt#=U}y64{1;45(KFbvUmpk&XS9b)~Q`mBNO8i=3C0{Usc)$thn6ab6dpobu&6 zrZh_uy756?_LpGTpmTB<84O>AruG0TaxI6Van|#hJE|BQ@TAo#zyPHd0mpm2Qd0U=tmRZkK*n&b?Ija zGA!Y?BEzT$;&58luf^SdE&7q|Zq#BJVLyt!8)#1uou`(4`=sTqCt6UFd}0WT)`J<8EF?|YQEDwsC_0BaV<;}8E#8)zJ5>juqsTLm_@kF;jjgilFX{u$9*Gd~3FhVsE0#{!B(5SF7^d&16##@XOVz zVu22wC)IBBNq`|w4)ZXbuz*9Roe@?>^=|5+AqPC-iRi)sWRJ{a)6I-a{jrvo!K<{i zO!;O=$+b2Nn@2PFb1GB&F~&n!Ffq~kERdk`MNlF3oFS)x5>3J~F2pn1#u3A~9%i>Q zLZW~>#yC((>wEeZ8Xu1Y4NomXSXsC2&PsxJ3*zxJpUa{1FQ=WGt zinBHSG$tG$?lQ)CUP*v)Z}%7%s(~i~4^fO2^=4O?W_H#IN9vrL$RXI8b0f{9vrYZU2c-$(9*Sq!rki@K#u?cU~?H7@Wx~G#{iDVC0v;LQBJSFu^yU?~t zbHg!1F+o=Y|DVDi8j6D((b4CX^=%F4tF#ebO zI&uemYme_`h}R$#21`dDEZZ&4@RiD)*L95jUN!M<%qH>!AgtV$CRX zE5TZ1eAo>)DRcWdIdRHy;sz3OIIX;nkmE#Ff#XCC%{bBQy1e1)S+g(G+|WLDaiJ|S zI8&0`fN@j?jCukP8|t0~cK%pRmWW~FP`fy<{V#i&rvjS6t7)SGX%V9WNxYz*n#dEi zIY^??uFxx02FY^t2=bvZKA$Q4*TEK6ujD+uxNi^1L#*aJ=-py~Nyx%YESFLI|P z5z&oD$@DW5cUNH zUpL_G0ONiclJ0@)mnT^Vs?DI~AOPp_ZoBJ#JhLi&3}GnW{Vi6)mF4c2wbXtID&qKn z3okLuJzrU_*M$$hxe`Q^s4*poYmno1_EjRw z{{56CNNgm?QH31>EWy-?s_Q`=>O}<~Nq{FzVk8-I&6f-%o+g=}y4^{pi^c3WS`B-j zC2hzG)juak?rA@|@NVyj(~AP>9c2fnq$Y1bYJ9z)(px2tsoaoh<0j_x#IGX$6%)UL zznMqQ3yiDshUo=Nm0yokD&sQ3m@=+28;)}&4WJPKQbBzK>j$`c)8~&iF{&YqvwMB< zqiriFU=0p}R`POb4)6PF{~0Y9T_h93w(zH*kCm2J#Q9xN)U2yLjA>3ZAV%2Bkiwwk zMJcL>!jQt9A{vdPNiJbAXlAFg8oZ`OeAmE@6`*RK8@B-3=LU0=8|P6bjqN96wf7 zD9c7DGz?pAUSE$)VTJ0sK^pIRoye^en`pdWmx?{F*t=jMLh5?mdCkc(@|wL*lGi-^ z!p19eZN`+%D82oL-c}_0yuR-ATGJa}cG@sQBH4_0K-B3+7Cmk2AKn4j^R}6mtRNv zFv@x3K2Td?T&KoiP4}Kx$aLbMzYxkI25&c@K!c(N;n0+klRsPoD32#!Ylc4K8$Fqi zUNkqr{aUqcDO3Ifz*+sK<1>Nxc(ou>shNce+_$U%uoBG88)?okv>DPS1wj+dFMg$82A;$uXQ_!ffjRXmR=#}BVPt&Kqr9vvq+I+ zltgBZQ4*R>QtlNYK;6w4gg|Bnuu>{GS2$(Up;ylpKfngGiU`>8024|`@#$Q`dw@<> zk)Xna#5X;eMHYN|@E}9niiER5oxdzeGFrxU)JNmTQQyAWI-w2zv4M~N+`g8~N2-~} zSu3LUsjK2WKpiqag%sf;vluQC+HsKpbzCF_GLwrP772X zC)Rm|>i}U-+BMJX)iFB7^8)vSxMSDY9^MGvak~9LPp`uu-K>ziOifp zj$K^tggt3Pt~f4BY@A5@ISE%u-Bdu2*?gVgV|RYC{ZHh2r7I_8hMR;%Gd+phCs-+& z;pPM740R=|M4cFiyIJtptJtVkYy%VDx#|0?t1eFS6gDfFo^s>rC%rtYnx`8zH3M5S z$xXBWX%BEw0S5rc`V*^kym53r8R2anP$u=$wI?w->C@sL<70E+ zE=#1^C-9;W)e>sl&Jm^z|*QP_C-kV5h%zfsw;GB1+no-Q^v$6P1(_VEXk|1yFHfBYakU= zpHEf_1V~C#yoDaXaME!BAzYG01k~{@%aR$me1Te@*Hc60V>9Dt#VkCGkgW#0E1UuF z+6lAARoSTC^n}oEmWDCy$k#&^E>c8XgN9&SU(&zq^ z=fC4|f@ttFm|D!%8})XiewoMH-S!*xAfq9(8Rcm7?V1UefJi|RhY2WxE)suXb+8R6 zuvn6!5A2tmJ~R@&Hi1Ozi5a|2^}+C#+*6}3t%O_4o$JT6;x}_dN@7j&w!E+Ln+VGU50Kw&SK~SNCSZAuV;nAw~QpX!c|k z=(i~=V)LZnWaV|>b#1p2uw%L3r`Z-CWAdDD%}G_*$T@EgwJ8D0tR4vt?NDV!4`5e6 z3F}P(amtl?cz?Y%<1E$yOW5^jN3g*Js;Lo9o z{5^kw33fHj6YLy(EV{AAA>+tFdL4I+SzQdZyRz4710ekNAGdp%@wNLt_1JSPm|LNw zv0%FwS->%NmGMwqzGHYf^2)j~b_ppF&l{~4LZw~>2R`O*nG;zNf-~X`#D%tsB0z;I z3_Cgn+*?U4>c{fVvcRWA++Q0S`5{=XaSOId^bi4+P3ePT;^FyG%bk9O@1<{Ot9 zNx4xwbkfX1W#sTqV(>}+tljRwv?19eJW)F$7)56IAI)_9=+-ow4J)81u6&Xynk~0_ z5}F;ge8F&Q1(D!DL1umny&hi0BOk{zzBY$vOgB7%zep#3Fyi=B=OW^{D+6xq@!E$G9dXJlnY?rf zv6&wtY>p;EDorQfYUnBUgBIcjEvFnUJWO(mCpGKjvUVfc%4(C_>`0gJjQOAy^l0cv zSJ26m7!K^|AraDfNR?FrVI(VgfV_lMPxS`aE4Crd42D3H+j$=6vRyy295M3|(Z+LP z33ZS(Al{PO6GC7Tw{G7b1QUiZSyn)2U>y&cEQ{ea17!l_J$5W8J6f;@(Xm691;xFn z9?~6!!iWa5Im#fzu<40KVuh~wC7BtOEJ_-jDiOjKh?4wbaF#{qQwju~Zhfjp^~Oxy z3FsN)sLb}VWKO84sKOH}pZhE5Kzu?)!95;_dCPIcka1Z-2HFsajiv;klU2mVCsG&_ zpGe8V;Cno;-Xtp6_zd=Eet(HDAVuo5Z(T+F zyM*b%|J>xGb}48EuZ$)N1ZWOVNSjKpd*0N2KcEMvXc%Zl&T|e1T);QV$Il9e*oH5m zWBT-jl0I*$3DVVN-Czy(pK}sJV@RcNbIR12chf-;Np$FGNMz%NA!$fSsv447eUd79 zCb~v1&BQ764AY>{vIm#X@cW_M?TpKk;r(_p&$;?!85rHidSBr6Ifp9p*BlwO?J=@U zE!q@U3~`*_k~TKbx)ipDrLu*t8gy-;I!E5xfZ+t&B@xAY1h@LKgLBd)22|Er#;j->=G;xWzaG)%0q;%DuC=F%Gq6 zZ8`em$I(fMn1NNOy#pV+v;hr0KNQ&fuAOsCgxZgZ>{L1~mD`9t*^vvbjOo+t13}V4 zLJ*W)ozOUn1niQ5wfqbVjWp7rEBC{~`j*c-VYLyrC#-p7Cyx*$goIC9uQHY`X&#{w z5*wwNf~cAP901J-=}|OsTht&-=>WvxuZ<2)era&>Z=}qzvyyrh?7`!`0OX^`&K~Sh z2a6_*gu6hLNEVI%ncrWh_(7Dgga%&y72OO%WnfGlCwZM2pZQu@3pa|0n5(6ULH_RM2U3A7gh$00K*kg{YCLQ&n% z-b#3HW}o7WU(s?!&U`e=$LXDQye9=B`2~i#{f`+E z9@8}>kg|jXRCpNye^J4Y%Dhst;7IZ_fKC*wOC69p3S>vZfzI6+SD$tnpQ*q1Tw^)aKtz9-~pSDIO==M+wD5(!sZ$k%<;& zGZQ_8CI*>1BS76m44hM%Br;>BN|l3XX6Gw? zYz#(Fj}jOdNuXg91kT89E_#3seM^DN! zQAwC%xg%Swmkzp}LE$kz_0k-r9Nq{IKyWVP$60n%;PgiV?abSn0RGS zdlWQ++@m0aKRgbZM@zXIx<+hMMB3|-VM>a}FrxDrMw}p-(O?*}P~WL7tUJpNMWBmOotV$<@$DNko;W_ysieuq@Tj#WlB zkt%gMAl0Z1n6cedyWEFy1MkC-J%!9jDX!fSg5{^Pa5n}{HUJDf6juT~^q7`Yru8M5 z#taeDl5Ltys|+PL$yO0@GS%gY=#*`=Cp*XQ`_6-uYx!s4Q2U$pjY*vRijTDcr%07p zRZ)1=L1!T!MTMaMj8*-&oTEPR8Jfm`uVfQm8Cd-<0ylqPBHJ;4o+7}IXCBuYi`gI| zHVdVQW+U^sdO}SZ3}%P^vmlVcqCMFq==DUoqQ}K^4Mmx>FFP#C?|B_KlPRxoq+TJT z_+Q4ms8b}zbHeMm#@p^R#@GKwMcMrgV#DfpiWbosg2Jcy87wyvm&&I5wc}GoSS})bTvAH)m4N67KgI>gbel1*lV#Ly}qAtD0lVs=sS9&+#LkX!KgZ(O zfub{Kt`a)7);`xz-v$~i^${&NqEbhTbjxVP?C4>5Xn!91&8z#=Y!h6}_U#qiEXL6Q zIdt&iZEB(REurRwLCwz~;P1%fbC^<+jL3mUCNQ&S0ZMAjCp@)b56DcBF;CiUS^&Q) zq$JK(sfyPuLuWvkYwa6-pn-kv00R^KB52?OMHp_mL6hC~0BF#tV>R)ciZ{iLi!qoC zz-nq|@qL^2PwWZ;M6e2?hPcO(XI&Dph z6`tQCW}iv*>TOkuy0q;9Ey;wM5xY?`RG2IS=ez-}`(8QKtlbT2h11vuf=KsOS)9is zG7W%?sNwX<_}2ekIiZjoMnNtD1%n1CnC$bYfcJn5fq{hykC??M5}})H!n^7s^T<;u#fQ#fn+K(zDgOpjVm&Mi ztNkjXeFpU*lFG>%g_M)&oQ1iAVeO-sZS?ei3SVYFd=RC5_$R+8UuIt*6iTRl0PhLF z!PgP+)%poN2iUIfq>UMU(uNQhU=Db53@IWzW;3!Qw38hH>SRX(;Sr%7j|fo5BSN4C1q5IR-@v0jjiiA<?U z6SG}27Pai`98dA+=YWw#h93BCx4NM`5~TA>`<)*e&9`}iXu}18Sa4r8!wb6)kVJ$8 zlCr8NUbT?I)-R;U(JRUeK6mvMke!&4Xp*$j#ge^QyFx;?>Fl+Yqv7u39X~x)-|%q)3&eINlUZ818Wgxq@PRF zoLo}BkDv`k`WzwGtB2J(vW2M32)PZo>`-3|PE51%^--`3vSARlE8KX1_c(j(IEBSS zvzXy7SrIqq^ZxwK&$R!M+}81>lWztg1PFh7mbm4JFCRc|tl)Z~+k$5SE&U6#h58o) zH0obcoqzT^neva|@8INr`U4RTDp0|DZr=u_LCws`MnaX(qm5=T8q0^M0`kFBOk?5X z_G618DVlsjwG(>Fd_5aDkfKif;E z=T^J%0SyhaDP~H@Zt7!pJ6@KxIqUS2CRI917Qym}j*}fH3rY!N=2X#>}~lQA5ZuplcUazohf^#*gVk=~%sST30~1bsxf zh28*N6e`S2Q>~|9L-9iA4}xS|@ii1=8=fdgK6jbt8VA65Z!j^BTU}a56(upSlcUL- zx|xwLeBz#0c7Ghx6h!dCC*FF=ml|i@Atxs7)8g?KpN_u~82K|`Ae)rM33`=*p|P!y zg6RqA$lw8y5S)k8SF?)L19TMT2Gh_KN%Vy*A`hMC-{{&$6eeb7x^qH$oxx}|-QG_X zWs_d5sw?)|S9Q+SgdnSe|-Sn2Xn99T(%C_Ox_wc9mCt892we4p23MKRE1rSVM7 z@YQD&JHR?KNfl%Q>cN1o>>%;Vz}aE@E9MqEwo{Or6eeGS=su#b( zCxw}4xtS?!(}TpmYw`uJjZ}5CHQ}`p8YAL1ZADLoOt38_8hb;nifdD{ahrwPn8c49 zXup`)Rnt$wn8qfj$bALDS3#6>P&66<{>XaL4%RbBw++i`*cQBcbHBK$_q!%nmG_lv zthc{`*VRLZX-?Cxf4wVL64p+XTTHdA+<{x8;1>8;GJLdpmXu;-0*NB%DMt5=&~(vR zq^U|ci~32HfuyrI56C8*MQVJUGBKN;v?l<;S2|Rvoo}e*ZUbVVp&|^Q0mFc=v>bS4 zP~$e>uo)wyYM5Ga@e~z>DkVKdR;Q^g0L%zYkNX_fge7S78t;agD)E#!heI61CXM&X z)lWI-2FF%ZG3$5JnaK@RRF}+K?bSx<#ETkA=P{TQD{b9+VuhKhOw=>b5?=}|MGV#L)6swpmNNkCkwM^qB>NKS;Q zJe;`Pw2YCau5mbVnL{eW2^oaMWzMKf7*Na7ptwlmX5#w!-*@7&M^vo1vPKms*my(F zO-7sa31!6Oj;J7ARe(K5Gh+I4Ec;t)=XdOw^vO;B-(pv=`aTC4nWHJRX7*@`&^vv4 zQ3aa=XNal`REt2(ookgLo}#82>7#% zPnH>VGoFmuS(sTXoU3F#DHWc1p-671Y$RNi0aNA`geY?b0e|5#2Pgl_k;(t+bC}sv zIXr_BSzFp@T*8u=wd-fe6_zJ$9QJ1kFQ8$WarmXsFs(a21}Fbg^o}Y_RE7!^#l|2f zU;v^lU`NM&E$rd;S1JiY`jA@*p-$2ek}42VZAeIHW{9W~gy@MFY_Op$3WgARy!$IN z3HkRRcisAVHzJifWAw7TJ}QlX$yK}$g5*UB&-TtE+h%Orc%%xNM;>{065xZ+RV2 zv{rzDme)hd@L*w{8u0uiy+cYXqcCEJI&etM}} z<}wx#5Lq@tb{MWF(75>`J=L`cfXn(d6b`cZsyOB5qb0n?PIZ~@-6hU*p)mdO9YUTM zAer*zJCY0Duksz(*BOpoTs&BJu4@D-;3ydAwxed$EvV?i2OG$a?wPBy5o4{ z503@&2v)P$H)33b07Q^SP!2}kgE0{Tnap8Ue=>*pk(bf8n@oxnC>V(%o6zC_tM2ZJmIG-92*nYQjAat#%Fs*i3K#*HFXa`y z2O8Iuv?!us2CNL9JYtn)e4wRx@Bh!<`#{%`-F2SNv`u859jSvu)L_VKS_x`!5}9@f zHBpnNM43D%3VEG~L;-D37;R9%vncSIRY1u+t<|j5k_KhafO;^X9z2Y)>p4*d?;Jhj z0on2PDB#0U(>@tx$7feP$9q;<`|PM$??lab#{2!=U){RDdtY7oPnvW9>vX$*zp8Kj z>QncB-KtkLX+FV=)Z`ED?3PLXj$jZgkmg)3uaMypkRMmD;GeughAT~7Kto2G&6i6y zlYT2)jb1G|?G-ugUUk~Zt7Ccg6!YxOTVc%}(Wb>EH**Ndy}uO|Dot%e;|`m9$fS0= zI;cso1ZbQ`Gij+l%7jN8+6ioB4ZvIPHnMF)6?6!*CY4p)M6+82~q;I^~xeV@=a&+?%( zzmV2?l%+lF25*t;_HZu#t$IZkU459Q{V0~vqMMQF>7o9F$hq9sJ2@&03T8%;Pih*6 z9Z9Zp=W6 z*y6_v16C2g)w34{sDx~(%xx3~NN%HW2mB|GM4F~7e88Lv?97F^almdUy>ZYFCy!Us za-I1;imELcX;FkOJ;8Q3DlLYSv}iM{t8`OoE7l?HnI2aX-n|Ly& zrU|L~`>ZC7&o)5N+0adovxRkLpJD8i{^VOm-L;j^V2AsXMg}dQ;m1`ewdTT=0pXkD z;i|Bj_=Xu*e1oM4d^L#IMfl}7rchBN<{>HIf0(>x{6#T&5m%szi#W5Yt7Rzh`xC$S zS=nAnKE+Vvg%tGZrx|z|8NSU?^+^k?26!hA8o<)@qf2N8lV&D9{_#2^Hl=2TYPFm8 zx9!lJw#yOc)JPz07Nrd}1j)Pnw{|ftpPqjl3%u|i?H%h(GVdvk=O5qr!b^YgfuAY= z2`6+}B9V)a7D2$b-k3Bu(|y>0HE-hBpLd&>IaeQ5@6%9;5<8mxjsxnE;hG#@AXhSA z3DQ9o`;3dT5)N-`zu$7$C*CIaEEfiSck(A-_`f>Z6Jz&a?e@lQAzDk zlDnC!wLut-Uz82l&Il-HSFqq8j;F}WSgTq|J0N4Ma6s1XON&W^S`;bWv^uq79UOW0 z6^vQavZ8-q#kb7=6iuykJ84t90zDAfSn&@H9oL{e`6ax8I0LT@TSL4ivo38REM7sR z9$rpMU8Xi;wMrVc2`W=vGnH0|2JDcYGuv&>0j*<+mGbHze2*7IW=*e5Js|;Pz6YtW zryYeeO@w(wLn4IzO;}oFP98-v>}p<%omn05U+(I6&ZNCXgPmN%KHQ$i6AxH4j{PA1 z%}Cs&ee?$7Ylx|&HgQ+Y>Z+HxD_ZIjcNwcy;w~9gI&jNK+(jtT#9a_aUaW{j#ig!U z(<`rGN20DEJzq-Gat5b{*nc^oG9zxvU}H+H!g zy069@w!Lw2{G@4O*kg}z$IbtMU3Gm$ei)pJ$qxok^5Y>n6l!W!Rx)>xNmE#0C8MRk z1fjDx6*4z%_q@t}*>uv>po!uFM=%GPHNm`Jf+U->Ll6WZ5}sIyWHTd~Aprf#_p5eQ zbv9_@KQOT_1t2X*c=d&8PyOqhu)w<;6hl0vBBrg(W#0GM%PuyLn{WB6n>W4okw-B* z*fw%<5Bmb7=pe%29u3<~c8?PFSvvd3E` z?33A$nekQ;Thn^hYaEQXR$$y}9K^Vs;I13r+{Lg3=dshUMfK3Q`OIO98Wtp_%1()3 z6fh66tL-cf?)_P+T&id)OggLU%I9BCe=m;!fAjkLWQN;}*vd$m^!(fej1&=n9Nq3q zZJW>Xg1*;l^INeFW#|>?@BL%i;Ygbt)9aF&e5u7Nh}6T&X{qaynz34SNzzTDzoz^z z8`J(8wP7A>g4)2lILUAxcQJ}6z9>p<$ta!E3mL`P4kK@?*>ewyv+;4w-W$AT@6G=O z&7SF>Y$Kv3>~F8V!#5A>&W%?o7ONj!}9q7O0hM>d?&FHeQ~46)*W# zECl#)V>1@s4H4o02)?z*19EuHoZ-z6<}q)6G|)#+XYzdX++Yiin_N~FfGC%hGDb;7 zYY?dkTn<%uN?70mW6ET5qy=Xnq&E-9y)wSi!xD1!?M!i_WjA7fPs}|`7H}Md+az3X z-d)Fi@Sg{<4L<_{ad$&%1|-}knM|RY5zOyPDX8ltsKCx#n8}n2Fw)7CWjOh_O6jh0}=5K9!(~e2Wij#2`Y4Z3<8T{&>J72BnoLT3=F)_lk(1R`0!yZ3r2G|^C zUBUKUn|Y)|dL(89-?GdVX+|tz1u}x`%`5JBL?)g-CSzxu0>WI!7LyU&Ey)O~(~O{? zE+eQ&G6G3X4`l1Ik{N)N*JLHn!c57~*z1YRVVMfX;C2Mz0tJ(Mo6#O)CsQ(JlPF|} zfK82KJtl$DYBA4h@hrAvRvOkURSuYjs+0s(YSG^sl#&H(P1+Uyn2S~TjLf7Y^%^rN zww%dvPMiDb9B$1qGOnenW{D5nbju7%PfL4u_6&;om<2|=*r9;`k+;Li_bt*Hl*GTQ z85H@r)XTR1rn%vQ9qJsLgVghf_6$WyoNAlknI+>d{GhV5MyCfmDVvz#@< zOtyD}^pVSK`Ej#%74@&ay0y<+NzgF)#47W~td zqZjM4D+kr4aB}aYa!`%`q?Iz^!l5h`C=pzkR*Fqo+DdU>)Q&!`OQ(EQI;hggs*#=* ztEWn`VLt&_OH%2&I2bj3gTUIibX>S!<=<3 z^v#~@m6qzAVM}GsXZqzHB=?cfhFO??F+cuIPBp5x%l42{9F8HO1zhuos`H_gNU}H+7kxfUE zc!=ish4RP0jKd?g`J7o#ODk{a<3ZHmXLmYw8kpLR259-+T@zd0=AEAC@eA!={^U$u z{Q3R2AHOK^$FU38J8O7Lxl712{xJE6n0$k^iErOZ6gFW>)7_b$b?4(!{gO&}plY(p zkA^;Cu7S}N;fDofnPI9=KEHIoJQv8k zWG?n!ZQudvYO-=xceQjRjeS>3 zJ3xCXA1zG+6~%+KtAl;Xj?*Re20MlnlmEy(`Kq?!nZRkK+Sq zHStf^uB$&|BAK7i2jtvP1}Rgg2)hS1hap{+x5De%o2)e{Q8xc}aVt)$8&r52&a|K1 zEnW6J8}{k6MbWS0Vuct)xh?ND<#tQTtzRdGzwFO%j9z1r=Wt@(EM<6A`}K^17XK{C+A-0esYjHCtpZFL(rv z2H2ji?Cy=l%qadK?yM!&KFXL{>aD-}891davmV&ymIu+QN3&3B%qSDU}Z4DL& zUswc%vgk^dOhjkku+S7$x#XyTy_I44DIH*u$HDc^GM04(0iywK--YFAE;nDqzb3XR zslnUYc!1-SHmRNvH&3t$M-+uk7La z$x34)_-WkBH0Bv)rExFQxNq0ydyrkp3HWJ@PxNM-EYfHS5lsT~h)K6PY*P0DG+^9X z*R%4wbHk=AA4z-GHuO;=m7i4Y7|U7Ej+r?=M&!_AD1(O$O{;3e*tv2f>Ai1_eK$JS z;jDBRWI7y6I@i{$&UJ|JfE_}YIS6|Mb_Mn^)43i)=W5m>NuV?T9^+PYt`*a{4kexI zF;{-Ghf#=q%=Y;bwO`4&y8n66-sFHh*!C1L$#>ytCb@3}^R3#*7k4e6Al0n6^ z`1RCf5J#Cs;B-}bIA-iE9X}UdY!63-R%G&uTpbYyESVY>u&u36xFtkb@#}w zP3fS}5M))~c9No&v?BrAV0VGK1NM>10K6l6Wo;2B(WNTK5#%EaSvx96hgUhcHdMDk z&Diy7{AQi0gF6Da@N#F>)6$kv;!tW(+?J)dmE}s!A5jltMD2fXr{^Od{V?X~*cO*2 zRynq=8WU~N8Q$wjABU`%BYkcg%GNqNIPr8KQs)+P^1M;SIdq~E?|Vj(=feTf}@&)b1F0da)6 zB&j{+yrb&h}q;${|q>+jHP2w`vQXWI-fzTEgaI7|q8pu2IwJpwSEC zI1T;n$}0RE`X9`EnGbB@HU#%PU8`h`B$ zR54VDGfyJ2>tKI54U6t#=FKlXZwO@UWtKS6R7_Q&~>cV1%Sfl3`v0r9k83{t+M_SBgoUFyuX_3^^ z)g)S727R_J+A0FYieAzNZ6(p5^t1bObNS=z!V}gvT8lUyS`~5N`9eK`!tV&0fpR1NE)O?_QKO? z&(2;r`BaCga_CaNTKh$BN7fRY%qn-B{ND6j@C%>XFL^@VXn}AGLWu=M#Zx3rbW_qA zrg_Grvbn*Xk#lX>U6tQG78MhLFkq9Kt<# z&IFx!y6IuOVcnc#a?%H|!X7Ybnz!YE^0986u1L}@lb-s1&3C8Av%M83P5QGgyddaj zj>rS2?pDpDxzc>ZV4=SDr_{%ftWuv`j#OSy7J(;B_bLtHcLo7v{z8I9^#5%io*<4c%KKYQ; z;l0av9pDmMTmH{+=a89{FxO=6M6!!2#+|rC!{z%>-JDpZZc?Hj>i&#r#sRs3$8oe7 zHux>SH&4-xxenR9)+aLd5WH$<`~VK8fzO;r;Ni5!0vJGAF`0#`;Mfj$=z8>8@~U zrhqdxm))6@M|)ihJ*xMs+jZA1FBGm$!WZT=?qIsW)>AX;7i za?+`UvQWgib6TexY+bNB%v4SXQi*HXo_4FLoDPiFIhE~>}06hp=OkN zwYj2V85;UQzXG<`R%X0_^*VHR-Wqu*pf zb0k4cg~_A1RX(uPM%MdyHH{Q4>O70pi6VbQ;O=WP$E8|)Q15-qYGJ-x!=lD`Su=R1 zav3--aL3O%PEUf#$dEvAJdzg#)B&xs2S{flDlLK7`AzG$KbGfC3gW4 zTMO9H+(_Z{TS;a>YFsH}i>pQKH;A_r=%Wvr3VZcH@EnT?la&-h$&hV$Vzlr>7-69O zc57O&#@3AnJb{AFsR669>qd6})bh=$4}=CmeEnoieUE496aLwk0}Zru^AvzHf(a;Rpk3aXxe{WN-wZ4>V)aOlRL zJ8Yw|sQqAiVAoK{qpBILBkzuHVK4r9x3}b>a~UEgcevz{^L(-;ywCL((I)q~g6DB~ z2=hD+4Yt1;Z5@6F+GO^#^HiG_oX_K2hg0{k02SDo3&38%f-jB68?j(z+z3;pS8niU zu(1DYSDh&z##uu+1L8f5v&w@^&zhsxHNeMDnunwKFwQ0Joj#1yp7k&e50IP(ZlBV_ z^B|0ml{_n)++|tGV2xw5vWX5J#z}9tsj^u#!oJlshdeiIx^5Iw(kqkY|O0M7=6V z)Swh_fner9v&nN+>5y*LZq5$D_z004CKiI5bsEjX&rTz~86=Q9pJ<9v`TeALXODG!>YDb;D3Qc#zsRA6T=aE4P< z?9>peEB&dKD%2S)Y>4&6{=0B0lp2r^xs&189f`q1XC=lkuVzPfZT<%F%5Q`*S4YhHm_}8f$1V?-f#+L4grOV%^F;J7M)Md z$g}9dQ<|pqa3Ux3iS%S#HF|Cx<5idV*%O~KM8(w0oJ_r})Q@pTFK|@L-%~`!w{N{S zzBTRAIx{aB-}a~D+tG8p8x_AmyEnvD4ez}nF3QloA+8;Jt{^q;4RKW?ju#aoNwS7v z-_k?e54@^F+*`E8_eQy_W5M|N-Y8e@Pu?5lW;8Y!m-=^bO6F=Wc2o4Pca%Hx);k#G zuF5EP82bb>xptJRo)_o)960;I3Ec&=l#6)|?dIX18OA^Mp(Z&=Jb&1H5PQh;WW$x+ zct&)%Hk!9j?v!w?$z5?Hr~d<(8ZLfq4=#t7u_k@s(%kU3hrnH=cTkS!%3JvyT>XXJ!A8)scS@~((9X#YNm*1G&;%rK3TCUW2hJ)y@*yQjJ)5hGK`<%ITSb)Xf<5#id z%eAG$L-KeZc9_RjT($J>#bWgQ5YCKOI>~d^>3hF$?$WM3B_Nd_tBqxyIiI8DnWLvj z@@M+#B|Jfl(2EF-Cv~Z~+FJWHwRfg6@+!01xZ2Ss=XkqHaN2(Z5`0DyeD(Gdd?M@2 z=Q0v}OA@>ZkKG}`sX&5Hs=d<$*UDIe|D&uOTk%{O^0bYI2ws*@`}M3H_^3a2C}-_3 zleI(gT7n_jf%7mdm&wC_N#*;E`W^B7gPaDwBghZg7Ua&+zkQ zV5-#1F8pp7E63642{sg^N%Ley@}pFQE7&Fj%U0-^5P$f?yOIMgwetw~B_-6<)AT`> z(`G8o?+#EWHyDIdc7w?mdkXNwpQ$Y0-$V>QfP%=`2`%r9F~2RUa1`KQvbv>z_M=Z74!iN|K93RT($*Jkf1iw0Hr zyUQXxeYSHJH64y-QNK&cTV+xI-;6A(N*1l|m_`5OjH#=GFuzkXginPfC7JrADTAHBfw^2hl|c^6yRYaG0B z_-uxMcpicY%dst&XYdk!+s`FUIEK$lz%|2XX_6bqej7guka#ywwp6hTN3`7kxoQ)~ zd$q&++~``i;D=XDd}Kq$vQ^sVQGur#@Ih~!kGD$#HF|#iUD#5*93PMyczN|v@IwvL z0hrCxPZv6I@(jCm~0 z4HtS@i;`e760A2tVQL|YLXnI>ApRO7n4&h-F$uIHfrgVnh!YFMU+n~eN{T3lG)Cgg z-6Bvoh7)*@ZV#_!`+GPlvrf`s^LLOgX+lqquVXLSQhOw;uvAT9 znQE!>aFbQKX?Zz_P~Ki?d0D_gYq|d|v%Fx?mZohH5q2mqEAKH$vXmsr4&`N@7q9jz zCCQl_AdsMI*c;`AifDOZqT(Lxo=0ypQTLa@&GVC_yZZj@6n$HoqDdk2swaM;V(aAo zcjLXZ_<0{|xM?fcIOyMJXFXqU9{N1q6mZ^hl|9rr_8dmSpPa$9_7Df6 z!_QTRu{l@kPSMP2RJoGLX};fLvPwJ6<_|ENwVuP~(epWEPHj0mk5O&qfmHtdHOOGp zLV2Qj@!ckWs^H0P4NRV-T&Q%i--hfrkNuFZM9s)oIXr(;8#Dr_auTLsn&I=y$>C8- zf{Kd}EEgEu)K|7`DUxAjdrt|+W12{gY<>nwC+RymUc!N7b?wLN5`QU4d}LCUcAEIF zK?F}PiNACdtE>M$vw|T5Z=LvSAVnswCud(@wIuP^l6sXUeiIJN%zw}%J~wA4K2r`O z_xc(YNx46J0x8E6k|etNu8d@RUz%*Hz)OKPKbJ!d-v0)?A{rH`fb%jHiERPy&+>hK z{cIq5IbT$+eJTyb#47ViJNwR2B)EB4W!y@b>1%G4J@%$H2m>v3eBJG$++xoj*=XWtFyM}6>W zL6%dzwh%i6lZ3}F^qawrTvmqZJsqUC-1+@Goa9@#o#cfkljLjhBu7IgZ|*3g>~cuS zIcGj%%kGuEt?6cemE~G~x{ZEEHdRXxLczX59;|E}TY3XjC*^fDiR`)RJXE>bjWiFF zA9y5Du*FCzEKDT7x^*##s`mS z^)1Qs^$uqxz-falfbe9@?UZv}6>l=~`p-GV`qfZ~}nKC<|Bv6WA z=0LM1nD<0MvN<~hK@cM0iG@fuGm?2vF8#{S*8YntEarLx^Jb>V;arxHFgjTa*dvlV zl=`{z2ILNRz<;@`Z>in4e=E27b~Mi{Hig^4@hzo0NRF+2(rk+KRCx3e%E;4*nFk-$W11`m^* zB2I=L;28xgd1_e60XtSQqKv*BsFO+URT@)gJ_<6yOWTHZ6SE`HPK8zSFG zqVrUCieC7zfoXWik52Y9Iw#MWE0{blJjf)FcP^GdvCSmGD5HR5w87@FTDiph`3v8d zPAioNr7Biclm#rz%+eN?e#LTA=rZH633m2%+N&h!| zKVqtsUBxjpP8-yI%5E4^1ftZ(d8PZm|GmZ)mazZhkVEHAA)Y&w z`nePIf3Ic#_m-spOVn8@&0`>?xwuvTR}2c%a)8U8B~q9u^>JV6{x3N~)+v%JImP^| z-2eR++KpO6S_0B`e24yT(IlNTu}S~u&70V}gs*7lx6}~i^^BoNk70^}>+|U9f}2B% zXLYb#|El(R91QYQlKvvrsorI`XR_)R-QMLld@FQ&?b7X)(66W6UcSCqPCu=Lb=}_U z(jAr>7*F*xuX48+j?`C?<+^;{>-N|re)BH-8pmFX112wrs(mNR%?p?UEdB^q;xfW? zPZ%7nBb)FYl>H^Nl8vp;Cu1-Oma$=Se>>%q$wb9zxp0`oH*&F&gG@}|jbP3UUmw9c zuX@awv%iRK$oMkfV1{Lhbsx+2#BaqcFuE*ESaq7ab#lbo>kZ&i*gbzkh5B=9F2j7& zrq=ALPw^G3K8<6XU(iSUEBI{q?riYbhzy!ZGKlpD0XVjd4ie0WlRM3;T*XsrT%W7F zgV!<)LlB!|1!P5QD_16&e}+zHjsHqkY_ji05FQzqk1tY-a?3!QmyL6$r}g)j!eI=9 z0i=M10lAaLp>d29NNnyz#?AjoUq$1r$L&uIJxMiAkSV02ti$hIYU019pl-l|&>I!h zUllL=qTn)i9$SM-bt0`)+;#J(P(7Gml5c;fCaN08%&X|+>x#7pSXICJ4YpV=ahbci z#p?TpTdeOffUj(e^^IPAzOoHS``tAlS0A^nN98+g%W<{gZmU=@@Ma}p{V19`K5oX$ z7!=QA^^O$_xAH{G8}{h5dO26J&S1PFQ<_lafuCw(OE8%9SpA8g_GoM?u|4h4aK)F= zqy1GnJX8Rv#KET->Qyp8(_BS7#EgA<;42&bp- zC;Z_XpbGCNY(SN(y~*{A^1`Sji7^rg8*6q9k!#MR)iO6s<)cXTC3=UJjggVJT;$XF zlzaCRzUA&GyxA3&aKN^V!lZlALcFk0>Q7Jv^C^dLn0xmV&_c-!3Tg&Z6E&k53#@Fy z0xMsQ`w2ggmH@WvrXAa1K4rn=^eskC^G1}m?51F$WuG&v|3=`w2~NlB#o? z_Y>M6X9qol1Y(W5#l%WEh@L~?NvG4*{e(ew8puw7)Y2$@O=1bve5G`{y!whvCAEf55+gH8e5lL5wd)*$=7UQ%dSbQ@cBWoOEirf1MkF(tD zbU&f|D&J3drvUoXwZU8xi)7ssW??yFDUS4rl=?kl{T+vQxeB)U3RbZA9ft%e>!v(U zB^iW%{g-Sr)Za9kE<>zys<>I?6ht?sUh&-AqXP^m*(Oo%dw<-u#LCSV;el-cUG?Rw%hg zQ+qdS;!ZadZl}rm>%5`x9s^i6PJHECsc&>n_LXfk%w2{%Z#2TY42&Rm8J1!Fbyus> z%E)ARj$H&b=lPl_^;;4+NlxOy+k$fBZsk`aLAg`~RlA$siFA8OU9n z9T3ZhQ^YR*%BSg;lruuv&K)&nI%$?5;(e2TdR}*Y_S+k`=F9!?o${>+-^LyD{eLN+ z&F{L7g-NtSVlFz^+sW@79#unr&v7rEl6Bv|%Fgfql=3EnwcE+>{zvXKJ==w{#2q!| zE%W>9j?aEu;@0`ybmUI?hJQ9TR@){dOE|`t|%4+>XV*6_s;c4%2{L zvZ^FL!!{#dyTeV>RUXAu-?lTKWz#Tm&Nfhw!_C$Hmj?8?=4*Ft2%UTcvhB^78kpX* zolHo>LxSTK8ZsaKLTz1kntqg@TI91ut!y|LU>nUP3Q`%n@H}uCo?QCkqjoRKURvJ9 z*B0TWOIh;&P%j@8`AnAlijjl5&<=RCS1HrH?6sKe^>xhNv&6hm`#;lNioq^xvde1S zF8{YcC1=|t??u>x3B_%n~)UV1bgFzGQ2`8S*% zRm2M3kdUTF@keiurzAYBkRv_(mXw8Kj2V{oePfittsRcPb(_aulH;%4&GB1tkrg}t z`FGsj`S&9R>c=?bIzPgMFxB-|^>uCso^r?S@vI6@JLKOmPw~5uJ{-R$O+FgMw0z{y z{M-7dhk;@H(SR68pAGP2bv8S;AHzEwfAKbtzbMC_gIuRa-N3|r;8~BKGupEa@)WYu zqyNWlFFgu)KnLkjg52k+YgljHp7kPDto$~;^Y(aVgl9Y8xvTQKvIEcZZSv%rP5LZA zuG8nPc-j#wR(^+yx0gOc!qW@6=D9`riM_A08#ig@P=GjHBgf*lNgERnEZo=Q8b&`uHFL)p*`=j&mlwBEz1o1*|iL zy0gZr?p|#P0p1;xzUgr2U1T_4Ey@9T9fwRw-{4bwcS^i8Oet=!&zpGi(iI0OuVC^a zH=s0$!js2@E9W+!+)_KowLvsjp) z$#Pxe*aH5oy(h&~51v-BWv6sTO2`yk`dZA>N%qaQn0=8}xPHZzl6g>`qerG~-D8F1 z!1{D9026mofpyop`5vsU{kY@Anao2tIey{+{v!CP@i{CT$NmiKY9CHzOYM8=Q{CRD z!~14Trr_>l6}#cdLAoZD-%O3H%uVvmg%w;HRi0XIoICe8KYrZ!d&>V8iH0ONF67_xRGT?VC66!||@ZqjoGk6_piyZMN~Uk_LKd5E zGyf2F2K10U^3IjO6`H0loaRvHx%EArwE~!2xpzgrU5fmAQHuN*%rnTu(ARQq@q zb}zAknD(4WS;Z6iviCCjN!zPR@+YsLsr1x-IxAvbLin{a1J$dY<7v#LVn*$(tFl$F z-+q!(ja$KY)U=GYo6_}LT`j-Bi}B&f9P*;Jj)H@?W_Bm9Pip+v-7UY6FYSKvh-!)2 zSkUoK9Hg<$PaK>dATgzY*_0$LN;X^B=c>=(U-R2@y6NRh`i?lfuO|Eb%D3HKpHI_Q z6<)q^$?Jb4r*AJ^ztQ%3rpu&K6Bu~Fy7v0ZDe=-ArQf3M_5Ye;#@p+pyp# zX?uO}yQRHu#xiNIUr1%QYOhVExb~Xvw^n<7Ej<-gry1o8CvLe0U6rk(UAw(@#di1K^!9oNY5a<{*B|{b zTI^+xVDefxc}9Y&|X?MMXluf4#?>^b(O&`U*1RT}u5a^d20Zh+0CgMqxo zNPiw$8R;i#Z=}zy9AhC-Phw$4`drsIb_4&~=U84#TKyc$xY?Lyc}8kip$KldpH2$X zS-Iwr@qXP8V|f9EBunr8CCRb#c)#{KA&eKDVdMnnhcA{)^!5gx0MzCd9hR+lgObT9 zHh7zVge0kbm&+o1S+K~xl4k_#@{MVNNChlVa^&L1&o^7XR1Ln9B34CU(|ws2j?s%> zYaG=i^N?nfSXJ~|oQ#V5n1v+Irl8t`Dv2^u zCi!f_8k-N-CPKJ#BBhB_YfF!r6+Zd6Lhik$-Xu50;-LZQ(!F+g$I!`V7+UWt^bhYC zI{9EjSwiz=NSp7z59#};+spM-e4*&8*-4b-#iYZ4WksyJym)&Gy;P=D6^f))EHK$i zxFVj%(y!thW>Z?}cAgI6?swBk>_-CIPNJQ(J9H8msa?fkzlohhhY-f=(wr2V+v+4- zJ$gLNrXA|hvV>khg6&vEks_)d{n(D7lX|pqSE1jzW9XzFHKB&wPOr(LyLpkLv3I9o z(~C2&*9;5tIQC@W$KqbE*?>fl*C^*5N#D?p?Ax)l*~`0m9M~1;!88xE3UpF5 z`lVTfj1Z;RWka( z$vs`{JkjlQhkWPmiuv>q)#lSf?f5Pc1USxFZ0B*P!_a!nTogBcQ8vKkF1S0=fd&8c zvD>nb&1j_OtFmRs@J0-Gy!uG0<55p@2RRx%ww{m4%FpuN40m9DL2(48xnkqG#<8E} zK=NPI7nGg8h2bY|x1>2sJIhiyE=uA1nFJ#Rh{+Rw-f-HdQJ}S}K!|Su~(fpb{i)MgihXb@IiE)*aRO2{sax zlgD1kn|}Q-FQc3Lf#;CSr_y9zTG)rPz|hQ;PMdPmdrU_MmnX+;+e;*49&u*7GdrAF zbY_<`OU~?eX4#oN&g^w&pEEhzk}wQ7bI_T`oH^voiZh3uIpWN!Gsm1c?#wA?@{4l` z!>luT&6BJ>;mmnwo^w$+)3 zoZ05gBhKVY_!5Q=XBM5=<;;>ZyPa8fW{)#_o!RHierFCibI_T`oH^voiZl7^y@Yqf znN??wIdj~ZQ_h@m=BzX4oO!~T^Ugf!%mrtja^|8lPdjtTnP;83?92VZ%nGMd|=gcN&9&l!}GY>km;LH|h zwmS2WGuxbb#F_2R>~Ln$nO)8-IkVfDWoPy{v)7q@&NR<5ASqCf2i)30XC8CrkTWaJ z9Cqf2Gpo)VbLO}+r<^(C%vop7IrD@w=bd@dnG4Q5<;+EAo_6MvGtWA6*_judx#G-= z&RlioC1@Zu$DBFt%qeHiICIvSbIv^B%z0;?bmoFHPdRhZnWvq(WdC8e;&b;i*b!T32=7uw`IdjXI*PXfT%p1>nub~v->%r0m0ORou8w=>Jm>~UtVGy9y`@5}*b z4m$IgGl!g6aptfyN1R!8=9n|bojK*q8E4KqbIzG3oH_5zlg?al<|$_`I`gzMmz;Ul znaj?+;LH_gUUcTFGcP%F&6$^-x$ev>&fIY3HD_))^SU#)oq5BVIefA!$@$&RY;fj2 zXEr(WfHRw&dC-{!XSO)A)tQH!$=|3Y3`d;V?#vEn7M?IJ4QA2c21PW{We;t!z2kA-A^8nMa)2?#vEn7M@Zu$DBFt%qeHiICIvSbIv^B%z0;?bmoFH zPdRhZnWvq(WdC8e;&b;i*b!T32=7uw`IdjXI*PXfT%p18w=)}@xzCwR&OG4EW@jFBX2F>)&TMt&A!oKZ^N2Iso!Q~cqBFakS#oB#Gt18G zaVCFz%|F!GK4^?Dhw!{VVJohkZ?~LXq zi#=|854K+u9+4>?$ShBf@cj0CkUnXod}mLbr`H{?7sp!<)2GjE@5A;h!Xq-p1DWON z6P|p?lSayS_QiSn-SPTyytR;Lz-=GE_RGQ}GQ|U#!)o~yqb@T8IQoyX!lL+*G(INnOgQ*qlX*nUxXM5cHk zvpf~y`Kgd6jg;@K#Ch;bRNMXx<9N#<&xqSTg6$WCM`VfzGRrd}Jb#8CBzpZ<8Y$m7 z66L8@%>X1p8l{J$E(KK8F;7JgsA@ zJhnPcdLWn!IZt{jdQhDZP7y0k5nE1r!a4CzgY?u<^P}|Q>ABz$&;!9t$a%_B(SvGM zI7O^DMQl0g3FnLW5vZ=|RUI`yN-xg2=n>EZ!Fb4d+EdYkYDzdotT;t%Iq3=KV$a%?A(Sz!kaEe%Qir8|}6V7LTGe}PzH9tx(&bj6h z&;vn#$a&dQ(SvG0I7O^DMQl0g3Fk}yB;eFh^P}|Qoa@-?$|pS#^oE>QJQY2t`h-)& zic`dvlb&!M4LNnx{3yLR=Y~f>4+Q0q^O~ok2UU-7idb=q*mBYn&Sz(X^wd%Fqx9mO zTOI*D5R^jB>z;}pRNcZUV#O(9%Slf-U;d4NQ%B8@(u;F$dj#}APz*V5cq)2ObqS}4 z6{mmSsuLtR=qvl8H#X058{Pud29tavj&LddwIO##PPdG)a zI7MtZ=?Q1;9|fE`YJQYnoRcr0cLel6kPA6GJQY2tb_=J76{m%u8w#VKOTNl!S>O$D4fYJQYnoU`l^&;!9n$l2qm=s|T&I7O^DMQl0g3FiYLr;eH* zr5ESy^$6&JU_IpQ^HlVpx+0t+R-7WXob-hA)007Z>ZtiqdU4KvkANNs)62-P7zy9dcyf&$f={| zN9n~mhdcs$AXo`GE1rrTR2PL)#EMhImXn@ver6&_PaQQsN-xei>=Dod!E(qs;;HCC zbwM~qtT;t%Iq3=K^Wy=hj+!5(7w4>c1oS|#6mpJvDtb_z6;2T=P7zy9dcyf|$f=`Z z{u9DD=eS2e4+M)L=ai?S2i0le6tUtIvE`&EoTL9^ke)g!=072fbIy1K^gyr>a?W}x zdQhDbP7y0k5nE1r!uhpd3pjOD%zr`{=bZBh=z(B9Zq9igfPyz=n>EZ!Fb4d+EdYkYDzdotT;t%Iq3=K zVPdGn+JV;L+74x4E#yOWg0(u}A z4mmG)Dtb_j2&ae@r-&^lJ>lH^9|BGt74x4E#yM9!0(u}A3OO%&Dtb^=gj2+dQ^b~& zo^W=CoH{DzKOu~Bu6hLYKrk3`Uh-7*pgJa;B37Itww&~Y^O?~gJ#|#fe?l1NT*Fp( zeMAog{UPUNPel)^0pS#};uNvvq$iv&RRc~P74x4E#yQtL0(v0m4LPrPDtb`$38#n^ zr-&^lJ>fhWa_Xp<|Aa8kx#1De13@|DyymIsLDeIiB37Itww&~Y^VxqGq^FLG`A-Pr zoLe3NJrI;a&g-6v9#q}JDPqMbV#`TSIA8u;z^S8R{u9DD=e9>c4+O=K^M#FmquaDL&lL3-+_ znE!+@&e`A*&;vnR$hpr`(Sz!UaEe%Qir8|}6V6>Br;dvGPYC0jO&$R~5VVGz2Rs!$ zs16CIh!v-ZEhjzU{Mbm4o;oV#KOu~BHhTp0Ku`!d4|*zkP_+oBh!v-ZEhjzU{L-%m zoH{DzKOu~B7CZuaAZQLbTRas#s16FJh!v-ZEhjzU+#7Q0sF?qRFwWWP5zqrcQ^T z_TbFBJQY2tZa~HPPa{^GBDS3Lg!5CwJ4D6&Cxmg%l1D%f1m+DxP7y0k5nE1r!udeRsiR{4 z6T&!WuSY-+1nVJZpQoY+)fM3svEmf5<)kN^pZ?__J#|#fe?l1N?Dq)hfnY7<9Pm{1 zpt>xaB37Itww&~Y^D9pVoH{DzKOu~B4tfOiK(HEe9`jW6pt>ZSB37Itww&~Y^TCi) zN5%XngmKOxkANNsRzl8-r=kbdMd1{&;uNvvq$ix8sRZe%qhkIO!Z_!!M?en*%OU58 zr=kbd1>qF2;uNvvq$ixu|5CuIqhkIO!Z>HuBcKO@rI2&XQ_+L!tZ<50af;Y-(i6^y zLrxtP^PdpLImbN$dLUQ~Ij1}oJ*Z9#r-&7&h%F~Q;T-+NAU$f=56P z1T!J$DNjWYs#)O_vEmf5<)kN^FaAQnsiR{46T&#>qDMdv1mhv+X-`EDswv?VvEmf5 z<)kN^kA<8%D&{{SjB_q|1oS{q4LQ$xDtb_j38#n^r-&^lJ>mTPe;1^uj*9tD2;-c~ z9sxZN42PT-JQY2tMubzuic`dvlb&#H{)2#1N5%XngmKOlkANNshCTmJ*bWer-&7&h%F~Q;e6&;ke)g!=072f zbFO&=^gz%ba$fdS^q?9LP7y0k5nE1r!uitA2b?-8=072fbFO;?^gz%Xa$fON^q}e! zP7y0k5nE1r!g)00)KM}231OUb!y}*vf^x`t%~R2Xsz*3QtT;t%Iq3=Kvp*N4r;dvG zPYC0jTOI*D5R^jB>z;}pRNcZUV#O(9%Slf-U;b3UsiR{46T&#>wnsn@1jUf^hNq$j zRhMvzSaFKja?%sdCqhmg74x4E#yR;tZ+9Po9the)&fT7h9#kE|DPqMbV#`TSIKMC$ zq^FLG`A-ProDCiUJrJ~ooclZ#J*bWdr-&7&h%F~Q;oKE+>Zq9igfPz8X2}XSaFKja?%sdkNs?ro;oV#KOu~BHhTp0Ku`!d4|*zkP_+oBh!v-ZEhjzU z{L&`_P8}8VpAg153mySI5HyFJEuM-VR0oAq#EMhImXn@v?hQG0RLp-u80T#D2PdLy0Ou(t5V*V4tIA_@-pa+7Dkh8~A(Sz!maEe%Qir8|}6V3-hP8}8V zpAg15dp!brAXpDM`#cprsICa7h!v-ZEhjzU{PZV+^wd!?{|RB7v)?122ZFVbbHG#4 zgX*$yidb=q*mBYn&adZSB37Itww&~Y^TCi) zN5%XngmKOxkANNsRzl8-r=kbdMd1{&;uNvvq$ix8`RO1%byUoMLKx>9_6X>KU^(O* z@l^Dnx*(h)R-7WXob-hA`Hu&jIx6NrA&hfYJpy_lSPD7EJQY2t&I+f96{mmRXU%;uOV*V4tIOm*4Ko11-A?FECMGvZz!YN|KDPqe> zPdE>UoH{DzKOu~B&U*y(Krk0_p7d1opgJL(B37Itww&~YbK<9h^wd!?{|RB7bHO8^ z2ZEW9^OUEe2i2@_idb=q*mBYn&KG|&;M7qu{|RB7bI~K92ZHgC^R%a;2i25tidb=q z*mBYn&c{Md9ToGR5XL!|JOX+ksD_+pJrzBu#)MPEic`dvlb&#X{$oLU>Zq9igfPyz z>=Dod!EnfV!Bf$LYD73itT;t%Iq3=KW^cf$qhkIO!Z_!OM?en*Lm}ryPel)^ig1cp zaf;Y-(i6_kkW)v+{3nEQ&Q*_q9tZ|Q&P$$(9#qGKQ^bl>#Fmqua6a?VAU$z;}pRNcZUV#O(9%Slf-U+xJwbyUoMLKx@V_6X>Kpcr!A@Kp4m z>Jm;7D^3wxPI|)mM98V5V*V4tIA?A*PtyJ#mL3S&L(biviXK!Q!YN|KDPqe>PdLBu z;UGPARLp-u80T#82#FmquaDMDVL3-+_nE!+@&e`k{&;vmsHIa@pxJ*W-}r-&7&h%F~Q;oKW?>Zq9igfPz8>JiWb zK~uVR;HSaFKja?%sdPn3i7)KM}231OVG%_E=(f`*Xuh^L|l)jr`AvEmf5 z<)kN^wGRfIIx6NrA&hgjdj#}AkPA6GJQY2tb_=J76{mPdFb4IdxRbe?l1N?8R2cNe=|;W~Jin^HlVpx`G8bMXWeQY&q!( z=cl`a^wd!?{|RB7vmaX>Cp{3XnU#ujz*EtK>M|DK6tUtIvE`&EoL_l=z^S8R{u9DD z=ODH^PI@3%H7gb8F;7Jgs!LdaQ^bl>#Fmqua6TAv>Zq9igfPxIgsqN~9tc*;_hy^%BtT;t%Iq3=KXWkd2r;dvGPYC0j!`SLL>49L`tW=yMo{An+7q9@Qh!v-Z zEhjzUeEw*_siR{46T&!W6#68LHHHN^ zMXWeQY&q!(=jXeE^wd!?{|RB7a~WG5Cp{1ho0W?5f~TSf)d&{g6tUtIvE`&EoSW|s zICWIae?l1NT)|eyNe=`=W~Ji1=&9&IRlx$BB37Itww&~Yvoqw>Q8E7sVVrXnTOB7o z5Dc1?iu01Eq6gJ6EWjyZ#VKOTNl!SRc~_90Ix6NrA&hgbVXNb$2ZDaHQgL4PRP>-4 zzyh2iR-7WXob-hArOtp;N5%XngmKPwY;~OUK+tPeD$XmOiXK#bSb$T+ic`dvlb&!M z4LNmG%zr`{=iIAke)g!=072fb8ca) z_Il3A%ZuX`$bP<3MgP7y0k5nE1r!uj$$15O zVhJZb5VVGzobqy<^q@KIOo)j{DDvEmf5<)kN^dqYkg z74x4E#yML(0(u~53ONsXDtb^I5Ka**P7zy9dcygMcLeFFqhkIO!Z>G}M?en*4I$?d zPel)^eZnbX#VKOTNl!RyKN@iAsF?qRFwWWT5zqrcF68X+RP>Pdj#}AAU9_fXOE|% z2NiFeg45o~O*utuIq3=K10ko5iuq3n9_6X>KU^(O*@l^Dnx*(h)R-7WXob-hA`6B_Rj*9tD z2;-bpkANNsmO{=kPel)^v%)E2#VKOTNl!Q*4mowy{3yLR=eS2e4+M)L=ai?S2i0le z6tUtIvE`&EoTHBf>8Yb){u9DD=Zr@{4+IM#=d7oq2h}Oz6tUtIvE`&EoL~FlfKx}s z{3nEQ&N+{O9th?`&J&)B9#kiVQ^bl>#Fmqua2^ghbyUoMLKx?q_Xy~LU@qi5>8a>J zbwW5rtT;t%Iq3=K#Ni-4byUoMLKx><@CfLEU?$`|<*DdFH7lGVR-7WXob-hA#kPP` zN5%XngmKPAkANNs#zW52o{An+Q^F}?#VKOTNl!Q*3psUE%zr`{=Unm#=z*Xba-Q{6 z^q?9OP7y0k5nE1r!uk2P1?j1yV*V4tIOnoQKo11NA?F28MGvYG;S{mr6tU%`C!Cva z4LEgF%zr`{=Unj!=z(A;Zq9igfPyz>JiWb!C=UF z$y3pT>X>kfSaFKja?%sdXWkN|r;dvGPYC0jYaRhT5cG$fmpv6ds0M^n#EMhImXn@v zzH}(y)KM}231OUb-6Nm}g5Hqxil?FnRiAK*SaFKja?%sdqamk`iuq3nPdK0bp&&hVRLp-u80Xyb2P7zy9 zdcyhg4+fk%D&{{SjB{>#1oS{q3^{LjDtb_L38#n^r-&^lJ>h&JZq9igfPx&-&!D?^gz%Qavs8Z z$4L*W1Hvg{#VKOTNl!RG@#Y{sbyUoMLKx??Z-Ed_dLU>BIgeny`GKH(Ix;uNvv zq$ixUHwBzJD&{{SjB~bo1oS|V3pqPH6+NhS3#W(`r-&^lJ>k4R&V2eWTVN$0^tY&q!(=cihN^wd!?{|RB7v*Z!b1A)9uMsap~ zDtb`yMK9pAZ=OjxMQl0g3Fo8S=pby+w?tm+Xrr=S0Rnx1fe<-r}IV*V4tIOm`VYJZ19 z51gwZ=P^%352{PTDPqMbVw;}yg!93WQ%A-8Cxmg%A&-C_2v$PQil?Fn)kWbHvEmf5 z<)kN^pD6_CsiR{46T&#>utz`-1j`}kh^L|l)dk@cvEmf5<)kN^&%ZI?)KM}231OVG z>JiWb!BWUM=BemGbyhePdE>UoH{DzKOu~B&U*y(Krk0_p7d1opgJL( zB37Itww&~YbK+o-o;oV#KOu~BE_ej=Krj<>p7K=mpqdp<5i3p+TTXhy`QrBkoH{Dz zKOu~BE_wv?KrkM1p7vDqpqdg+5i3p+TTXhy`B=!QqhkIO!Z_!WM?en*)sXY7r=kbd zm~e_%af;Y-(i6_le|M0cIx6NrA&he_dj#}AFdTAT@Kp4m8WBzrD^3wxPI|(*`9Q#_ zqhkIO!Z_!OM?en*Lm}ryPel)^ig1cpaf;Y-(i6_kkW)v+{3nEQ&Q*_q9tZ|Q&P$$( z9#qGKQ^bl>#Fmqua6Z!WnJ*WnRQ^bl>#FmquaK7}0 zfKx}s{3nEQ&UKG~9te6v&MTgZ9#nn8DPqMbV#`TSIFE*$Ix6NrA&hfwcm(u7P!2h- zc`ABP^$4ek6{mOwN-BZzns#`cktT;t%Iq3=K z%dZbObyUoMLKx@V_6X>Kpcr!A@Kp4m>Jm;7D^3wxPI|)mM98V5V*V4tIH&zeh|Gu3 z13`Pp$xn*7`4DEIr)he$4L*W zBf=?S#VKOTNl!R;g`7Gn=072fbK0-i2q!%dw1%Ag9#`D1@B+gplK;2UUx3idb=q*mBYn&M&<#;M7qu{|RB7v)~cX z13`1h+2X0_L3L0#MXWeQY&q!(=iZQ0N5%XngmKPRkANNsnnKP)o{An+2ZU3^ic`dv zlb&#X;{G5#byUoMLKx?4^9bmHpdsWu;;HCCwNE%jtT;t%Iq3;!ttsHtQ8E7sVVtww zBcKO@T*%qsspvtqTR26mI7MtZ=?UlkA*YUt`A-ProJEg-9th;)cWNH2%Tv*ViXW!K zJed7bUOEpZV#`TSI6u`Gq^FLG`A-ProF$Kd9th-Pf{L@-Q_+KpANm8Q{qkVSDPqe> zPdLxLHsI7zG5-l+oU`l^&;x;doKbQ1cq)2O@xzJWv|n;eIYn$a=?UipA*YUt`A-Pr zoV^|aJrKypE){2=r=kZHKST*m`(@0OQ^b~&o^XD8e~_L!D&{{SjC1yT1oS|#1_FQn z(^CzI>auW(Sk)tLR`Z|mg!3!=c8H4kPYC0jgC=M?{|OJAt0CtxPel)^OTsB)#VKN& zp7ezC!H`o&#r!9Pan2!+fF1}|Le7e(q6gJQ;S{mr6tU%`C!C+j2kEJ!V*V4tIOniO zKo11VA?Jvvq6gIl;S{mr6tU%`C!EiJN5HA0V*V4tIA_%(pa+7bkaNsa(Sz!&aEe%Q zir8|}6V8W2P8~HrN-xei?h()f!D7fc<*DdFby_$@tT;t%Iq3=K=-wbbbyUoMLKx?q z@d)UFU?Jq3^;GnrIwhPUR-7WXob-hAYYhRXj*9tD2;-b{9sxZN%!iyOJQY2tP70@p z6{m#FmquaK30RS{0{`iuq3n5i3p+TTXhy*%@-`sF?qRFwVK^5zqs{V90sNQ_+L!m~e_%af;Y-(i6^S z!lyZORLp-u80TE`2PdJZ;oH{DzKOu~BZg>RrKu``juX!qZQ1u9>h!v-ZEhjzU ze0Ha(nE!+@&bj3g&;vm!jCkEs(Sxd6I7O^DMQl0g3FphXpk2~YG5-l+oO9bFpa+6t z$a%w4(Sxc>I7O^DMQl0gk@Lx)@UCQZM9h6cW*f#_ zSM&c?46dBSydl*Em+CbP~V0&gE+V@;kP2H~(E}dQXwR&BOkjMw{H(9{M{4jL2FL7;h0OUIlFg?D&3z`X=QpW9`M5ls1w{g#3^nh$Pa!lMBE`i1= z)8!wp=_KOQ&Ajv&J>T$tLs~$PT=%pDaD@M=o@KIH;GQ_vGIp74m@MvoWd9PtCtrUS z|4ux2_^W&WeD5k!b*u8)5{^wI{#|{<<|1~<@1eL7WD%uT_t~A2JR-ZvBW`UR`)#c5 zo_v%MYkvs5&YNFFCRLvhCT0@c#l)SXo4oq9G||l*>M}XhzKAWzq1H9C!|4_-&x|8> z=aTui20WbQOG!?+V!*8Bi3Axlj@3cwI4h-N@N^lU$M*9_#XBvhuU0fyWLk$50%BDGfY~#<5?) z>e`vKK&gcE8@1A;X@I+tB2v%gSZqC5OWF#E&U0hM>|`N!EpRKk6fmt~T?y^!A-J~p zKxv@MKXg)5e`@E zGCB#yZVXRpJW}&ro5>}4RR8jANtQy4VIBI zrf#vaO`7%siOY(H4ClJfF~b=?$?%}J_zh4x{j@nnOQGeH8-Msn9#y5^3CfbXSc7Eg|AN_FY_%)0Jx?2XB zc>UdIS$eyZq31P}*G`N*C$XV4{q+2goBY|ryL^K#GtK+j|6_OQ#xA4h2C>VT0uGk6 z35Z^F>DAp*&aA``nW5Qf6qw5Wx(0W(l&?Ninxr6ySRIpl7NLRF{usQ^H6S*xjdD=* zpt*(DIQCj7YX4EV?9!gnnw-+AoDyS^&M(f>m6r0+KW0?^Uz#3iDU~MioFhgG6Zj`h zPa9JulL~fpV*BO`_V9MeGwDY?S_cMGzIX-%7!4HguWNF#q0I(Yz+Uj>n=hDPB?%^% z0+Z29XyeW}NYIGlm>XOxDa^u(p(;!2cXnftSiai!$xnXrt+xEAY@pd@a>V4rXEXER z*ATe2rJ6vTJ8&161w8i{(#sxFevc^?uPZ@b;$UcV?7!Z;+4#m{0?op3C@mJP_rb#& zs?n?<%RT~i?Yd8a93X|s0h`cmQZkybAedhykSx7YqA}_`eqqQAIXi#)%SaxVKCD}# z*XD81v>lz8HA8T=;p1GN)U(FCxx_R%z?hi#PN!$-9QF9`il7o+)8^+c%XxLSq?d1T)u%YSWEkY!z88AQv!qY8P zEd8o%ixNg`4-y}BJ#F%+Hsjd0Oz!ExRz&M&5h|M^L~ZxO=g{|1hh9~hE8WnJvXFC=hjp^jo)FM0P zBpY)**f@HA9xuIDW$5U{vK+kj-AwwV$4Qfa;|`NQoBHo^vbRgJFUOMo`?He$7Nx!% zmil|ip7b$kX&;hgUymhwOIEVqqO{*jb`A%2Y-AQB*>mMcBXcM#*>6$Wx9^qqTP6Ft zBzqy2>~GCV_FI(pd&z#w(%$?Nrb{ixlKt&j$$pE{K7OyX->S5iCE5F9$=;ro?6)ZG z_mcgVrF~qIy&6mQ$Fq`skpoB$c-;`Um%~r2iHy-Xy6v4T?Z!Q>hRS}7xT}zI=zR5i z&8FkSyK|M->FaQj;G%%aybRGRALYdD3|8R6zkn-^03sb{m!`YJ@q2oSSGE}M1Tr5N z$X)%Qh39@lrRmw0DpuD`oF5;+J%0RC*|qsUVY?Zz8}LRAj-UzkLojjSF$9+v82PT2 z5xAAg5E7%ZS2plKI45rZ`s&x8fAHMbpMR*krGnX#3RJ_G7a76&zj*LG{{K@ZTH_q0p3ol=I;NZQ(VCKx9 z-t+Z=?+ieukN&&qFEK)|qm8~RYxvXpaESVvq2z|6Gv?j|sZU0z-@~oECg}3N-5Kqx zBaZj_DpKFQTlC&YOafOAM2P{4OdH8OERv~D-`=W%_uaR(KFH$ZY0Cnoss=zMF#WdH z&p7?GD`_YEM?lN0)NZ9!>kP?6L6(a9q=R7RWym;~DK|9tAhqvP4w}`pRQn)hdoNwB zR5R_DqwEIwaIaLi_BS3Jkdc_$C~IMv+D#3it)3pPluS^$yCEKJwl7B z3W|(GL|sG~XV98a#?OSP-$-TjMB`~1EXH1xQ7k5=Da;a*pEFR#li(f7_#lf;%J>UT zKdmx4iKR>#ALI~^C<5w-h zdYu_j?3K&11$H45;NtuW>)^Llfc#$M0P@wmJJ@fslj0_73%Xk`kOQ!8NbhpVF#Qp; zwir;`Wr4ZUI@*tay0ic-f{XPdt%-xreEaCIEGd>vjbU(ce$((&#S)__NKM`XLs(Op z=ETo}U2+0bF#SFngaNKSQvY*Q8G2?Diy*FgPNgUdpJdxF=aL4?8Qiv#uVPh+T;>Q0 z+I!~1Pb`9_^*3DnF{!PqPC1Q{;1a3vuVahkIIvGYU86Xw+-MqSi{lr*W)awX+-wfN z9gvTioT}ktj^HCQb1qHqgVKn zirGt>iq7f`{dWrJn|buQqF+^XR%htb1@y{rj`kG`qsV~Wmd zs*Sfd-TK`EnUy@5erEG$FHI;JR;SvRar0$MCQYVWCBYdX(Tu}i|2ysu&ksWwvSm~I z`V#bUT!#z#SZV#Um!;aI+xw@tfeckTGu!t$EPdrTL3ZyT?omrBP_q743y(X$LJ_qs zU((Jvm7lX45sTSvMWdUhUF=L;`1`1;ow=B@em_B5e@JFzHJm*z`6h-(Tl z<fj2r2YEmyK8?EG@t}EfbY5e zzBi-)gW>)UKipmW8`}OgZU0z{R@4~_ir_dnEc#cD8`~eT2;x^$`M0}le;hQ>|5bpa z|HoQ%{@u2}&GsYXKlQ8K^?y~{p9J`x5z?=&t=Epn?AP1H8O_$DhD#SMLDY z;;U${Lt1WCTugkwG*JOcNW^d>Ie!KE;&Z#CU%y@G*Wf^feolpg^oMbpty}&pO8;6) zKc^x=`cCejw6#PS6 z?i330Kf&c_m-OeA{I# zYyIEk%lo>dzX5@O{yI>EemDBlgc5X1UlwJvml{Cnn*Xtp?&(h||3`o#^t;iY?LE+! zH>}KF+DPelqd!Y`cF+F~6bAC20gCXC%X0l}y>cM79&f*;xE{ZZd+B=I%!O6lLR-=G zcy9gws%U#tm129-xR3U#>aRyFzo*ZqoUTfP+oe}p_>Y-#U0O}YY1U<|>->avcxkV&r z@9q`0u#S6ZkoOMrJl^18K1L(YNWDd&%!4(#jfVPeKZ$enT`oe$KoPhhrH%weef7Zf zO93qhJ9l4w`qb#debc#3oe4lsJlY0R_YEz#hyIwq#=+i6Zc6cN>+Ho9w3%OsxIENC zk^tE;Nsk}x|Lcnfrr!sI8kygHz(uXr7Eg{&gm$E=2e2b`{J`$#7>AC9wxd`v0Zt_; zjo&Bnn+Fk(Prnma#|OA8BZpZbi3yR%an_a&$i4CSuM?todQxZN%np2VqDoMRC7|@RiD#=NsB$beoOpMgE>-EtKRTQIbicBq!azgcKgMac-KbX5^{b&PjK&AWy1p4V;{qzR#sw z4ajKW&@%1A=23YF0JMow6(N1p#YN8U3v z{0TT-=nf~~3>WY^0UJQbIgZ)OoO=Fw;^2Hd8k~>8sdDHsGXyIrz3Fn~^!@)lBv6?! zgPb5AUmqi{w;|yxhRPkY*qo>`m#wL8+D?wRPK!^t*d3?Em46ONP}N8;Iyo@x#mT{8 zPbWtm!w!F9H}OwmAWx!x5@Yd@H|)Hdhn@g5r&tl%JJk@{dnXUxl#;Df+;5UYmzk37YxzRs_-?NvF;Fhd$yal^6J+kxm!TB+|hxw5+QCxGVJHh-28XR|i zGaeqW$8j$W zKi0JAisaSX{<;x~44aRgeVVq5>&HGRmq)L`!nEFI8_o>LxzVer3M?FWe1=v0Pxoc+ z)knVY@;$l5xZ_@lOR($uG;R%=8i_~-B|4F~1kaif);vFyJAc9^Zf7>x$l|iJUNF$7A0K7?0hHfmdFA_rCT(%Nxg&sV z!1Mzm;1z~|__)blp>zLUZ<5&1+_F~j0z2XcaGN*J3B2{r=cIM$4M9On8d?@&j;CHu ztHUHnA0$jOF%qnH#j}CyfPBFOd6BUuiWzG{+p#7Qn9^Hbr;CRDGtNLr-QxL%)jq9Qr3%Ub!0`GhDEXF4Jqc z4ls>=UPg@wsf`~-NQAaRB0xb%gfK#aBJm1}L~d}CB7@}4>rJv|6^R#6Bm>A^L6HdW zC=y|y1uQ^J8d?@&o+6p*FbUEJ3DZoB1gl*cCAbdDh>eMih+{9TLV2g>mY@mH!#_LNa83 zN!PG%4)kCp&qF@!2|6@~0(VYLp8`|q0U+t~IRUZL zCK!o3m@~jkk5q>+XWTxA@x&~lK^p@}5^1t7W8XYL|1x4sp-w2h&G75V{+>AGFt&R*nJQ*VjeTjH99n(Pm$0;*UAyYs@&F=a7dO^m&e%>4SKNW2QB0AHS&~Vk9ayde%-L zHQe`?f!E+6!bzLJF4Ox7&I9{A+A@Ouu|7O#fMxwS?z0?YiMPG|o3DZ+(zCDIx$;Gv zli?#{2_R|<^AkW+c3Y<%j!gaHeBT{xiGlip{5J2owGAzXkZWXlV9YibeaYx|%eG>?LNd>;$y}-|edcG16xO{yO4_x5^7zmsY5cqCU zlNN9!^p`Ll+Ti*;Te!_=sLWF|Krp`ajC0vf0j4iKW6G{^VnI4f@ce`g*dTHaNDw|Z z+C=FS-oYItX89_$L7l|NlwpKY;nB>H zuoYr!c~3*saLDg(h;TZse2A*BuaP0DXOiqRMD?3vh?+V?+oePFkM=Y~o@J+w!)feo z7S%fq(H~Anhsaq`bsD0Hn`4O9b%;hF!}u)v$9o#0iR+$4$V->cqQCh{bcmQeAAt?% z!qC-jjv>0LLsTyvq7^$t&U@(-iUHKnz91LoXD?PkA@_ir zH~^_$JUev^E#sxm@XAyv9#w~5v5WEmk7An)_NrpnfQ>~EIVTZ_AahQs{2+Y3{X&kd z88OlYauyk4+e(bjXrzkD#U<4&bn8vm)uBFO%RM`m$j}Qlc*jgV!`l zF(b32*gL?6z7T>VjeZpMg+j$1?4kFtmz>=lgnIZP2$lU)(d~XLEYZ8IM2!-9(-V)B z>ujwp9{$kZ$|LEV;bJRj9B|?{d%tqv{Jk8Vyzu*gOsUI?xG9ekX_Sq(NIivh_7|2A zYa?(*{Zq~P5n!}VSk~k=%tB2@n$JfpH2K>O^T#>NEodh+O%5GTCHU<|l!ow_8735dOLm zJ_f1D-&XjyQ}`~t3cvPI3jaR@g^vO3Ed0g;BK)hS_c7sbnR#V}pD5n&og#cz2PTz~ z99Hhd85NP-pZ*dhhYqQTc0Z_Ux!{WJqgHH=!mC2dyB#ot55Gp=?J$OZ$wZ5kMXT$ z7f*lx02;*`tnea{g@I?jHDK;Q3qk*AKPs#86VQX{=5_;hvbn7_{F>1--+E^A&J8&V zkZx{MkMY11=S+>tL_RVsBHxLN9EMEaFVCmqn31hX zxop0Bc23F*r!g$)yqPmq%}LB-v!vWY{m|Sx9(u-Nk{5JObkI*V*8tVm`dd4O<^d2x z1u4u;)MvfH_l$rRNLr90LH&n8cuf7f<*+KFaz7ryn1^i{+L7Ln!NU&-7`P z+@V=EV>*LFTfBlSNd-6AHT3Y^dXpsWSw~(BSU{1;If1vwdd>+C&;){lm^8F3#GIpC z%V~9(1Q`Jd!%vKasb)j+E<;{V|61$sGG&o?19>y*Qu-kqSF9tr9~qr?ZghsGUxU#h z0{-vYeMjrI!}vnoPr^J?Z47isZHNL07T8YKuk@Jb{`BlDL_La1C=(YHO!HN7Np{!J zlFRN9+>fwJP*<6Trm0Ls!2daA8YX!t6Ilu=6YHr=uVi_J>p^zWv=Hhdn=xHPXLtqX zNd-6AHQeNa9XCmG`T^YLj{y%z&QXyi`N4Vvk2O27KE`dOl-G$Mqk zAlY-H{oo}eS>7LlWba_fU_^Pln5|W%u_YsNsZE#1CURL=N|&8!V||gvc%O|O)W(b; z7SC>c5=`P91aIUzN_$2nGwZO_PFwIkedF2|zzN31Dl@a}ip|VgF)yASSMY0wI=fOv zeM-TP9QCP_cT1hgbBY4Vb9rME#&neu0vFdy6oVRx>1XWF16k3Ps1(w>1lB+9?K`}S5JOh-}986cSF z9)%S^>m?SU;Q#0@DPZkNssB^YstbI5ki6*s3`}U2kE55+7Z!uo|INJIm+WSaoiRX1 zOcp_1lXXB$77_4&&ScTF@7@2I7DAn2Go~}Qn*X~!QcK{dxJ%_#CjnEEV#W_ScLU{f;pz)1$KM+mHH-z+|^IImx!ezsB5WorA`F=pR-gw zw-<;UDHO~yFYLqT6>-nsXo5_Dkot;aWZ&t>0GG7F(|p z2G{fM`8t$(2u~tqxr{6S4VrBo$)->B22P)$Ip!DK*8T?9G<2ZrXz1%#a%h%5gwM{RF5;t}+d9i;4Bp0;s) zY<-x2TNK3({sGWi6{I;+*;J80we17t!q^10xk>eyom51<@{5=rR2&^QeXYt5Nup|K zPTmD*J`ew6oT}fuPK%WZm!s=itcQ3s^rS?|`?OfE69#Xr#k$_;^TryG@%jTZ`Mv69@&xoW~TpTn`{Do z?+GhTv-U}FZ*-*33s8H-hKislx9$1 zKPXM;4OeKmIgA1~pXYpv>?gd%Y5?uJ*s+p)%BqZXhm}(zK-RL0V!^Vn$nBeQKcVkU zd)iOn3;8*9y4+8w8tO|B5YZ6NWchJBDmdq-`w8_Nby{SbPIvYbX6Q69rZQ$75-b1u zW2x79o)Rk?U@moYHj_1_`I7dilUR9cQ9mJx46u+`x!WSFZ(_~=B1){}_tO~}xE7XS zP}lDGM(?Np5QZt(Pan&aMZSyUWZ@WRxT90z{|M^(zXSRG^!K8f8@!*8&(gJX?9#JD zl!>4&yElG6-L!Bs>?hn~HNaZh692ab(XH2WW+f4MT7M^sl=(Yex_Z=)feEGed7tTh z?w=#3us$|RJiH3+$QokFZ%|Yr+fTSbd+79ejL#A@)*<6>w$Wc)ZjVFNX&e2?H1pdb0!qxBCh2E~@3?SqHG-_U^L?>ocV1ShDL#y@tZRy=6ilD&$o*F);3g*n`{a z?I)NQOzHVC%z3k6KpA4G_ihy4Nik;q5cBzCwxE|%nTx9lw2-} zDp@#Tme;dAWIIAT4j;@W*xC)8VDTLX@((v1a-!@COfw4vk#_SS-ErW0#8h{`y`E^--SodH)u;cJ2V8z{W_%mK3=0YJGDV#9fAI3RJXw17d zuw5$KxGvjq2>A2_DABwp_4~@t-Nwd#NH-KN!3vo@n%0Ln6U#Ofx-nVL<%YtCg~7r) z@y1)J;x5ArX*6V)VedvGzso=hy35eUujhJN)fPU$jRQRUm+c~?o8e_!2^HndZY7wl zg$`Q@Z?sM%O@3(D@Klq@dv`10O}dr9%)hslfX_iX&##?+8ocsp6YPN1T7P9XD)G zR`IY@D6dP(Yt6t~UTx~kFj^wt|CI5YeygJ+zpdeSS&<6o!yTo%p`2dp$SJ=E=gRZ{ zwfv>yUvM-X#=o60+s*j*yl;!slxnFtuX>6)) z(#nX)#x6Wc(~_5HL-W<&X>BXg z;(MmZHqIVZXjn+#8q6sO)PX|GXB9<1v;2L2*=S|hw)BLw~zMev4#Cn4}32spy) zF=X5MR$R+dqF8N%^$fE69Fgy|{)0vNg&fM3qHGsX{!eAf^mbU^o6z?b5f?uQ$4+zi zKpNm=9)mG&Lyqb;#8g$~yYG2_0EIlkZE$|p7kJ~udj$ff5l}Ne2b~&A>liYG3{v`) z8xF`g24o&IAmJH+i?Wb8hnLdt<6bLizdGJs`(r;U?N1{CXcIK z^3za$wg4VxBmF*Lp#80q_LKK@Cy(J*iah24e%!Pg3RXWReyTfuYRbhe!4q(jNkwdn`RCzvBbrnV-f?scEQnGYuK zn9k9%S~2Il82wJ)j%UUBqxC`iqI+jYi0}LH`lBhj)Q$6@zhY7>edv!T0ox#r^O!5l zsW4&#W@QBTM5&LpIMo53&USs0*Y1ujo^5{+^>|ZBRYk|C3_Y>$8)|*XGQGY*P-iQG zoyzz;7vOUT5F!_Xn)K%*1E=plTEq2p?weBV=I#ikXrLGR-hXHWkY5>el6JPR6bCLz_xE8j!K?32<4sekQ@>j$nq`z)AetGkWXmu$D3Nx(Nvc}6(Z0i9u7 zvQqc(k}Uv|ho1m3S52?{TilTELciU<7=8`6Qhv*)QKoal#~~jg9=o`GNI&M7O`h~f zRgfD#YD|5!Mm9}wevTdfUP}vS;$71oU9C!-kiP1Z9lLTb=(Ogopw^cNnj8PZY-|Iw z`|c7N8^L=u9JAx1GXG?5^dEo#b?65GMmtZSo%g8<{$9D+dfk71_Sx3w?I1g|fiH3M zzR;M?hC;8}XicX2TgVZwgrimd(DwzLzINNtzr4uV&RPDMOa$j;x6waTl1}0jDmu$g zKQ7qzMIO5iY&NmgzON0KhIa?GaP=^CEEIZ|M62M~Cn?8u$O*MseY#}4XCWeF`Wl^tI= z^m+iGzmEZ$?IgtFjTQVD`XjBtB~jqiUXOzR>4(6m@zm9RtsFL$JOwCxFA8{o;LDKd_w<+>phnn)+-y zc935m@ciK|lOLgT@>>QYp8S?@gYshqL_`$Gk5A9?KVN=ZxP!`V*5E(bIF1VY!y1!f zN7@8Eogx904I`>LuPLfYl%9wT;P{B%ubAr*UX%1SDVOC94fF-<@Cn?P&LMu28Tq#; z2K6(TD4xdEBVVG;BQUguG~TWs$9%TUl?JEECT^182wJ@7+jb&2w$$;BBz83;cKody zBx7G_u3kvEaef9S#0F?U3L_|_chro)fD)6SVlK}^hB_e#EdvURZvZ%GTmdYkUn8ZV zG^+ws5d%ZUl^y?cI_J1aGQ>HOy4ov)fAj3K+5X9Oug@=qj0oSr_d>4EM?MU3AbAQu z#{L)9=U=x>uU80~TPEyb5TDca`Tt_sjIYlFqF%>vKi})~Kg(E!_Ew>i3-foqR@dhh zlxBVY9ShcJeJJgm?E(hjmS8~Ae7^7VPzD~@7seU3@?gOD5pR)zMS`bMjZ zR7NVt1h=!f(_5d9P)uE{&tvlAqBO9ai^MmC?yb+EmHS+uJKm_%`dplmuFtcdfeEo6 zbNSq?&mA|J`p4AO=GW&qe=z%Wo2rY&kj!+v(w~_DX3$r8A2Qa`X3!b;Nd}!iTDQLx zzFNdXb4*n1i1Quh-j0dBG2FZ!dioQsev7p(UuuG<7F4v_Bnj|6^DuuP^&l15*PG~_ zz!bsY{;s?WAx>-1l5?2gYuIyP0y)l%*7?x{*Z!nS^DRu{6M8S%xOu{73~!))tiN`^ zFxxDI33phg*Eb33$iU}Qa~nRNJ7`PfAWZ5h=4cu}0j#F+4YZfWXD!SLqus|*$O|!f zZ)lFOae9vZW#ra9$F9A#LmrTJ6X>}kx95#AJ*h9}LL`iQN#Vsf+#rF-?Abpfj`B=? z>lMmjTy^>(Wvm;&Srh8x{p=l}<%k~2%8)iI0qvDPfk;}f&W0#W_^%NQYD%68<3^c) z(g+G@&Uy2tvrtl=Dop4-E-0Hok=2X5aF|y}W#L=m3;37cKX}09Bmt;LFrHN9jUUDPAx}lub1fiaT13i;M7TsliLy}5s-Eh%|4G#;y&dtK9?#OiEf=l zHjnPN!&EYlCY1E*-saIymy$N~Xl7reKTt~A%%h}Bflzg?d=q_ZeaMovX*kbo<`sD! zm>7@|6g{um4bG=FdKx!0kO~ zq_6eg5&wIpU?9`PU9`ROF=>0N*!Gq05JLM=n=s=mWQ4GiGHvMcgfH77Ia&FeB@6Bi zlS|yIW_IAj=v2^~A!NYbR&4>Nu({nkNd26WT*#Zr$)qfZPx5C~eoowWeDtJQqfUce z@EhtG8`h}+a#p74s>)%z?%Ye+p6tZ-!lC2z-e7t%&on-XiYhQDJS+PXLw}TG+HIf_ zkxQL>eprxCzg#z8v8WWGHg@l%IO-yf6ZkcS)@tv=cYA)XA9Q6e8h5{h$PZUTbF*BH z{`W;?D*vFKmCFeoV(R#$BWoV@f(BoM7O^ug1#^y=?U0zyIJ&tXtJ@X;FNo~)panWiR+I;P9rWD$Kfh*PT#aC^~ zhtlMe-{1xOjI#xlV=VE#yLbKhv(Gx;yW71(W|g%zAn8ObG%0-PUEi^vKlY$LLxNZJ z@f&D36;~I*pIE?2TnyvW7{+7z;7A=)`Rd1I5UMC3-LM(?zfu%_J$v>dKY#0OH05UL zL_`~_*$#A0A1C;^oOF8LXSSaq5@_UoU!z7&P;&usQZ#Y`FX-j6;y)^1X~`!i*rf_S zUIx7y(JqAz+~V};!~_3^RQa#Ac#03;y#YV_uAIRie2j9A7nq<*(-D)SI}e<>hNn>q zY+zOw1uIMr07|=e&IRXR8KE zSPkVEZO)ILz!NTg*$}CT*i<+$YWan$>#zi zKrUniQb9M#7WU~;ID*!BB&OI-sneIIkO4UM*<-(quNOMc59s?O6Y>rZz9Qwaq%fEt z6_0M_*$}_Fis!Sm7HYZrDQSFS0>$EcIMcF6v-CNu;XKN=qHF>MmP&zMo(DSeX{Gx~ z2k3|aGGAq#y~tPAUl;_trn3+qOmUigX|@l82JTIy5F}umT@gmt-?AKECuL1=OuN6PP zW3w0Whq?Y?7W=%4efSd*vA;1S_6}M^~V2dk1Zw z?`eA{ZBJC}Yca8phs54N+k0Z~sO?)S_RW~s?+=NcFMQ0!+&0A&UbS#_JDHxZ;A@MT zSdwz-y!!^J;->L*?(-M~F)50{xlu;I(?9R5V);7c920h(U0Xy(!so<>coQibAHa%P z9(>sS1lP`>k5C9{z1pH?d)$3-!9M*kPXFW2^nok?1A)0>7siMDgw~AV`Y7mQK|h5{ zazA){^b{_qr>B58J)jj$RA6P!di$N(6WFxIKS0eO;6LTO(eEVgs_cq5Q@|nRB962t zxe9!_{v*s){IoNwHtb4QLWnQyy)+aXcO?`{@TKwmIK!}9Ql+trPi3b`6$!=0H56l> zPqHexJm2(ZTOSBY0(IJ#k|<7@56k4C89Q!`4<26kt(ubSQvalF@8k^1i4 zqW2~PB7v(1qN5BbGR=ts;XN#4Sf9SVRR!<6Z)<&!#mCc@1xi&7fJ$KcZLObi`e|3v zPWX?2mRYIYN~_ixl8FMFrfhxEL9o$f$T*lOH#GMkweM37n$@&a`ygd|FI}xvGwnM! z+JrEoQ`^bB=bjZN+Abb?OLG9CgPMYuT=UoX>>YuEV*G6D(;=qEjk>r@PnmmAP-G+` z>LSWGgVu~PekMfyMk?ck(KOkV@H7n;V=u}m786p0SwcE~2FiF6yh9luWYI|(f5GXe zRYoVVlquswogsZ?{8b0RDr3M&q;AM4;};w>t9W+d;3#8i3SSJ$_-i4iQ_JWoV`>@q zq>R5AqP`uljH{XY@5wSghsxLh?@-1svgo9YUv~OwmC;FHX399#8PZqAuUdrlHKt8* zE{3}zzH3>W-(em6wz`(u!ob1+((AlC*pG~ElPH*L@J4;9rvMP#E7H5NAo_?|TMVe} zvcR>2_@;Qjd{>-spv6M0A8Ab-eCFFnhoAZOo!`KYuhtj_7w1RB-O-Px3^aKQ3}H=W zniD^3IDsjcejg12Ry1k7eCM3p5>NHd^(@^zs(@^-YtuPGsWJ2Y2;M@siso_(0x zAb@(RIRl`+)^81E=~E(O#Et+Nl91Pe@y%Gx*9q56z$3}eYRhkHs(?O%w>1zQ&FG7@ z))hTbbXI5Rzg|z)^sf}qSMunU*9-I8iq7f`{dWrJn|buQqW9e; zeP?xsK3zbs4Ck0%QS=c-XEn#&+e>XdQXo^$li5@%a)9zQmQO?$$)6c;XnC1`3TquWGe4zt9?D&zdQZ(xDFpwztZ|= zFH5y+LH0UNZx3;nRL;x}e+~=2Lr+3AvwN?^J!(w_N|(M)=~pQ6_OTXaW@p9e%XoL6 z%!hRM6^+Up{KlyBl?(qBpbKyC`$IB=9U^VOyf3Nm9e`YX54WB3+e81tgXcSuAiNR4 zLj`ni=pcn8k*@lp>WQHX69;tmgDOCkE+>4@R52QmCc6_g9{Di5M^R0ZWiyxN1P zD@5Iec#Q|Kq7Y3N;)n;asSt?^@mdd}e^mHryAZGQAgT(n?Lxf4gP2i>zPlZH{J00P zrVt|zgv^CtJU8R;SP;P*}Gqu-vIc4DeY5Wd_cbXY?o;2lMh?qoFKWJa>Wi*#e zfeYkx!A?+3WFPiyLoMQQs}Y=6Weh@VaSzu9H`|K_iD z*Z);*zX9+)kN?D5;_Z)pp}Y2vfCl=11mNZEJN^WIVd7;#>+=1t{r%F!I8Z_=#`nKs ze);tKhPtP}dArcxN$KZQC`f;85A@AR%oU&r|2Y*2(%;5bc(?K~FR|SKO4ssPq8sc| z{*6N-AHLnNYyPWTfptmWyf3LDt1_$+FdXg_3d-l|9_U{?DEx1w^sz?hNI&7~xl8`r zN`GEf)ZqU>7xeq^vW0HxPbmEzS#pDZH~O=-2l_{p{t8gK)}JY^LA#XC_-!KpNuYF1 zf8@uzr_XnX!vysMrEC4%+yj02Ml?)(O1~TZY+{hQm5(f1XD>B?BK&uwpJOB4)1L%E znrNU1{jh#E(rhN8wmQ#0P9Dd4VB$C~=@_f)&EGhL^Z*a4;S%8~ew|)l!p%P9Zg;-O zN@8MNKFNpDYR9pXsebsa7mq!AFBkeCow4z2zfq>rHK9)$<14HjTKUuK?eF-P0{yMwCi|O+ zFkTenE3Djr{;vFHpuelQDf%pxH?F^5-v9jVBP#`chPj@kS*Pi*9ut3Xg7(Ip-g}$h zeUAj>F^rp(M`N0mH%=bcVb6q>L#zMxdi8xR)Ze!0?-8kdQS?_>xdHth{%T-+M{twl zJM+6Yc6_fx--VTezsl)5*9+IF???V1Add=eQXb7mZ>&77L*Ip!L+k(h_3HaZsJ~mL zzhhGQqR@9?rE`C0m@miELnra{5R{{FA=?1rS{XN+3C7aw=iphKvsjj=`8n+8Ob8Ud zuLYpK*3rI^1IBcc7#6}SxSdPZ;mcN#5Z3hg2uilZuBK^2)=owaAXFFnu}^pJ;T14( zeBsbzD9&6ue$S+W2J3WFwS@$3(50~3w;3Ru!7SOy=LApgUs0^1S z+1^$nt+(ZxIeoF7%fflbv+5BMP8bm~e1iy=QG`UuE#yI|&5N)uBFvwec}wdqhd=FV zRmC)cCa~4Z4cy%~weF6A%_!I!ic-N)P&RaG-D%0CdIzOdb(9?=4AR#CCoEKvlm5ybazIc7sKZk5maEZ-47H*;JOcMrpTYO4iSlm?VwE( zz6rcz>tGChxrALIpg`qliDWyIAq%BS0BV^4;;Ek{ToE&jDn!vJyw*I)=+ z)9!J-+L^zSbUubv(g3VC55AFrN=$>|6OY{0`l+G}PG79#W=QqQcYz9uoZQl4l;Z1K z?+;O|U-^BdAP*3g^7Q)FeMJgtt#+&6Vf4;UJUrOCKSUug^`R0*s}|3iDKU>OQn>j^ zzWct`PdijYXkc#D$2Ruiy%y0|*r!AhF&T{h+W9~=#(bE)%o*^@pVfS{;}2SIuoT5L zq}H6eW166rxk?hV+j=JSX#ZbdJTU!IAcz6peJyQu@Py|iDkcYEpr?OKo2IcF@!BQs zBtE};eDwIxa(n2H`K#)qXvB>N_>jCWqO>vPni&(!X$|I-0#04k4GFH*=swA#z6?PfrblAcJ!p$&(@C> z$jwk*IGC^>a##M0EWW{^NinK{&nql7hwPj7j1B0>clNO1j~AK=SQ`wmC#8=5G;f1i zud>ags)3oN8Y3Qbp{qMFgK7#7;TdH7?rq63EMt#oVj7+BFu@u8N#) zrSbT{BXY>OBCkNB9T}s>?B9Lr( zvo4;YDw(gy);CP+Z5;$pzjHp*ZfgpRFaW5<`l zR5ptGO`V9QOrc+AE}s7W0kC}8^k!;d;F)g?n44|fJgNc&$QrJUkOt=j0i8IHn?rNU zTEz><&j7L$7z_%-K1*;;5I~HeAl7EFEX17W3YOFAFbUGn_=%Aq6qP|#E1*hTKthH@ zKemp|C0~YhSB7LGBEz~P!&D>ct_+C_85*8fafNQP_mCkGAj2n6SQ+vHGBki9N|lx) zL&87+EI^DcK!)E$;mOcche?n=NSJ0~Bv@T8Ls&QM+%Z`ZdvnoQ>!=b%WXRwt)kF4z zvuYwBL&I|d5OkZ}%VhXCuB;4s0U0JJ04RM_X*n_^3iTgfMWpxjs*m07+xZ$`Zb(PfHZ?v zfV_YJ4WP(TMdb*PFz7vt5&@d(FbUEJ3DZnWfUI@|xaGNeJ@Opz_Ufk54AZBv&`)17jD&!AnRF?`=c+bwFhfUPAO6 z>eyUP92UeWtV-Jlr3)rK;5mdf)I^M#>k!V90F0UE5Qqzh&_)3hB1q=6x+OW zlgl-Tk`N#kMkj6bnMDL$t1^{UMX~*zpw;2L40u02DmQ{ES*<20BMMV<3=c<$^1$LX zTZIufTR|-0%1CEW1&CfQ4Su6 zCLyH&309XVOqBv8Zou_9X#*XjhyceO0kWGE;J7P5@(%$ThUamGKC^ESAQ2!y>YNoI zFCahzC>>N$IRYdMdT(@G1;6YoY+4^iJjENRKe+It#$Wx^Ak&BuF15Sd@@pwUr*u8R2wb zHZ7M&SsnCy8^l{YTT{O`rH2aLKzH^s9Uaqv&VKjo(?Rd|0qgy2yessfcTKi~=_%L2 zAVmVbHo!7pXw45&r7D3e*rnHG?y@Om8thJ~eSH!)ZLrG=j8h&V7poEqe6rxYnkojn zT9vh!BS88fpv?pcXjPdE@C>La4TC{=N6!fZC1p{fq^3GdB2f}7N=UG}T#xFMA!k~^HQTo(5Qc0-EG=Ip zng$uEMohXgBrYs%f&$%MK>?oGy+KtWD8gg7vNGfaWM}{rTtS9}cVtKy5Mu#iYyp<` zNrlN=m8nixT_8dF89y-+gesSzxO+Cy$=$Pwhzx518G7!XxR4>a0ng$(Y@ESvLx%KS zR))NQ3=JUBAOMQPks)C~j75nIO?8+A>1X_q$hT`;zUU2#tdZNo3+h_ziqJS#u z131Wbjm?Wpccl9TUriXJJ6t4Jife46H(_)~ZzAY9of$vk`)F2|`RJzl=nYm` z%0kr1;`AXz6rHp&1}r#z0_a*54N)Z|Ujcf+XL$+Q1TYb0brZDo&Qw+}K}%fNTf^)U zuFxU&3kpL72yPjLwYR*0;0z$qAUMKDeO5x4KzvC`Xe&&D^fR9oBSEO*OtXt@$<7it z;IO>xEP03s(9O=Wn-rjzoh5F}VG#iWyiSM37G9VTRZ_ETKJTr@O1u?c!fdt1I8}~y zrZr)ALm0A; z!l109pu|9+Pmatv8>VK(m9Eqpu^2LpP0G*&Z2+evi`ZIK*6%=4*Us-7o!AwAt=D9y zVFb+_rY*EakQb0#f&y|R8pe+Bju8~&`Qiv5#gVLmy@2EN90_|8v4gH7N!7t~B*cXs zOi)1US5QDS6ar*O1SrB|D69;50T~*=1XqwD;T;(g2E7;(@O(*$ z3|(LHB#GpGiIE{?f^O4Zq1)_zCPUglD??sDh6YeX`C<+r#htK^0e?)7!hXmCPI{sX z56Z}R6biyF;CPX#k4T^p_YuaZPsAk<0wNBhn_*xPLD#A>^Cj^f9ai3hdP!ckADMR* zC)(g}QyvvJ+7O}Hq(c)Rna&Ua^KK4>)frwua7`2d6iF9!hA${P724{x}U7%f@!5wwNA5ImCXr zxy@dF7l(ARq3q>%OO|%M{BF7mSjRL9_g6gn>(B5m`?dVqtXB20Dufx>i}2Rl^HIGSy!e%rb9kJ{vfu?->br)6V;) zABy?YUVMvFSo(~hoSCvQY%jh|!{{|Ab|}r2h{9W~=H$qo<&pSy9rr`=E#@I9gc8Gi zSvCyUc~slDf)|*`!c3Qm;fbQ*A{8uq6BdQ2mb5XES#Tl;(6y?p%i`}Sia>7I^LGhg zy6|_83p2Ou@ulF@ll@GJx|6@73^AIFrfC0-zoW>k+VBEulb}e$okBQD7>v7$8qe_* z)rM73bkYVo3#v^3U8~B}M*JP!M8V%>jzZ(`h|aiYZBu!8XKj~2JWB&LafJ@CUxO+@ z%(ppQMZ(d9@P!FO1oWImDxG*Z8YaRG;PnXaI8JIHhMxswov;WPF;g8TL0tw3QRz4dR?`l~ zbw_*&n+W($Vv>TydkKDkSu#Z#)l_LHvg=v6*RF5j9zZ9b)4H0gNwR>D`#G@qWMGg~6)geX^=vD%{cD(Ng7ujSc6&(U@DLO7daUJ+ge zPQjgR093wq?mX`y@fRx!9_?qWN3)U*#$WJ7HvU=#q0Eil_ye6TC(dEgfGd%j764r`mdgA;AQL>6=n=WNhrfN``)~0VGlUngY%`) zgo5%=meLk4*anrr>vSeTnG~e&^wOqt6qI=?fKiSO26%yv8%V<%JK;Dm!od5mVAm## z!2qk!P#B%GfzBd=u2p4Sp5&^IbzR0)WWAFRzOZ*e1azK7Dxl?5zS&VaQynHjMnJ;wBQg$fLSudnbOzn6qoRg8w8f&wmmsMj=5RD6lA@z-k)>1+9YPOb!y* zO-Rz2kb?A`*4NlW3d%SWo^i7NlNac?flP={Fo=YK8n9s30^WdCXeeP(KqqaWvxuN; zRmc1x$p`!=g+&U|Wn*%cSDQbi9k5;I1-fh?i3tKkaQr7>z%vW-97q7N3SADPlQz&< zM9{UWtjk@8e`F!zKi&LcDiSY$C~{Lq!EY0kj29Wy!*fvAIo!1VlNXR(69oW8gt;V> z=F~upps9eCQ~758(^Q8^kbcIG$T&cPP~|g4B0!=C{AVwJDB?5Es=pZWhYfrVEb{{$ zg1{N|WE1)cF@HOZjw_!(j6L7?K+&J6-|NMLy?79M1mAETh2tBzgn@BlLE|0>C$*|< zVeh!`!rM@L@nF=S_2NOK5fUg~z@Lq0rc;$OvLhJ72fNr{q0713aH2=5pjB7m4#HL-<|08Mq6L{mwyC>aA* zI|4j{SFmSt=^S9L^#dKBp8;Apz)a6m#{BU|WB$17=lj;tgFuS*2A{7?+0n3LO{8Y; zX`p!-H!)f0K8EIG5t~cYX7IUKlPCrgs?f$&JXaefLY_c`MF|n*yv$e0xgYWnNY~au znG_`U60OuON?h(9_%TzP^98oTKpNI^{*^FLbr$rm_3KO52GH5Mqv9Ny+|ftLP?Nhr zxXBDPDcI}+CX@1NGt`fx+J>9FK$jB~>9p&Vt_>_HNF|C++K?EF$cjX(%0^jMBpNFH z2>gxD z1jz6)6xI^*0-o3aCb)tO3Gc{|Fd)VP#MlC4_@u&Qfr_b4SY04N`WZhl5`-$3p?DLb z2R2ZvXqFV*MF^RqjH(^?jEM;XL~y!JNf`B^HLDhlp;4VMdZOqoBIsIWjUmb8)?KpS zxrF-x2kOPQ#Eqzp8{eiP@#0(JW@;mr-Kq@{pf)8AGyz0$%!vTmv4Gl$fC33?QynHj zMnJ;w6C=Uua{G!JnH+M`BRWY~GpbFo`ChL4rjI306A-oEh0$d^<7#bbOEvXyx&3{CU9>)+1X!g6CCn z1jwFOT?f}$OxycD2gHFfZnG#n>_@GE9&jDAQM~_ZICP*vX`F*wrL5GPK|=wB5jC`1?_hLU8{`! zE*?LW13wAS1OAF)BD(l1v29tNz&ztY(`)<7i_BFM69mY<|Pc`OxsuJnBkG7}M^N#u$=^1XDXbS4U zj%CuLOR+0NUO?@dC;%wZ?%=6nT_>d|3DSr3SO6wQg4I@f_+sRpd-GJ2>Zyi-7W7oP z=LO@>SA5*_SL@^|=&yRuS7202&l}1}7YxxdBnoX{0c}WF1eQLgI!t0oNw6p(!D=d0 zI)piYCH9;21OAF=M0ev2azuM|b3CJ0^jD7kE@V8b=x>ttSL{IMpG|zFX4hCr7BCzJ zl9(XCC5Gd#2!nBE5ebkCu>=rBbYsL=M9{S=I=&KIk#@je@h~aTWqh0X0X0%a?O{?i zl!nDU8cH%8c>77WMlymIqKM6xfAP~k5rt>6S zA!n#h(uJ|?lXL+r_er`f&(?Q13A3bzz8y038{is-e&{Cp=!qam-ZWHJV2DE6Gg6o|Jxdv3HRXV2-rZM?+` z_%8z~qADzW31ieJVvq>|5r@&uFtCWAYgO5qSZmS)E{yv_q~N+RGGq;Vnw1K=Fk*rL z5u6!AqmM4De+cdmu?k%dqc;_u1-o1@`svnvS(hc9NAh_uRsp-DT<~JS=fMdHgqRnL zJP#hmvR*8J<$AICH@Xo^2QRjvUTg|Dg>Yp9%y)a9^AkmXM)VpCCVNA(B-grZj)^BwFiz|1Zr)sioP2l9ceLPKHnMA2D9(6y?}{5TQm0n%~L;CLBDvJg=l zH=~$}#5+GCa@SX_&|x-qz6bkfG;VbQ_hrYf7ucRJSghbRBngt!91lXtj+B8_+# z_izQrj}F&6TtVFQY=9=$=oHe~!Q9#@IM?(oRUxxza%)f<(kiAGPRg6A!k7}7lwfAj zNiiKPffk$0JB|waI3p_!X%yVE*Aiu=uChr^^&)kZI9>;+Q(-4bg)Ib6ijSH+BAbP# zvxp#Sl?@|za&CgvK{p{YWAW^`x{1w@o7ey@GKfpD^ZK8(-ofS%iNfc>McQ%^47R3f zi_u`KcfJs^VE_@ChrRQKMm~W6tM`4pZ{TGOE1ZN_uf^w|_&-{JK!8;(39xSV^M#a5 z$OWRy397`>o0Xk)ahlR$cF@KLynwMcki-N5A~+_WFtFS#Xt@JhV5~|VRso%~fzBd= zu2p5DY*VIrx8@zPNYF&Y)VPN%Qh9iXEQkx2Zkmt_oj=$UKq+avreW<)N9bT!+hvE}Oqck3LD4t@G!g+qP$N6Vya> z$vx8jI0-D=ir==TO=XB2iZ|9!7w}oMw^U;{p`})%oAcb^2|xj34yl~W&U1&+6Gdl1 z;}bXzu2oq|AlF~npCavmf8qWV(X(Z>+6pR!d3hD%25W42k*=&wU{J7@gh78|!pI3Ai5p=DJ_Sa^f0?x%tJ~fpMWz;^rG>5ykzr4t7BpZgnh!D1sWH^v~A{F#E zjNU{g#Kj_lu2s?gB49#bly@uMDRZ_QF$L}^^HdVvDRbh&t&j;E)0jd(*quR*l3tMyG)}ol0Oi6<~Uv{G`-{F{ezMBD(;l>;}n1pS@Unlj}52 zj!p$Vh5#>~T~&`U2AqP&*Z}u(TH`pzWcKMDT=Klewv@}GTPRzfw%)?VTfEQxE%Hjk zE!TmNOQl2;&V~Y8Tr;i<*#t~v>}H%N;J+)4GSqio%SYUrk$d_guC{+qACV?DIKPa- zJ|fKv=zbf8LnHs4b3z$RbeRnzRX}py>$nJ2LZppq5Mfb5gwO6GS)XK#ZUu=1S3h z?7~!sNsxZVPmBbi%4H~fW^5wh9=$y?HW5)wchBs*(qeAUjJS{?xdG3sD9~+oFO%UD zxUw?j1!QOdi3S-G-jN|;K#WC+3{7>I1nFn|kjYe;-C-b9xeO<$heQv!Tw;=fxLjc) zdv4wAnXzNm1@R(NACW-eL=j=YHw*fZz@8baAeAsWxd1wg2)b6qO&Z!{mlIG3cwKML zj4VXd#@#dHIMo)g>T;)^$jz$F3P3Wok>M|W(olq5P#b6OC>2oPl_+NaC`^KkKz@cF zk#T?otIO3!RxU)(J995aBo7e*x-p_E1C}+e0EvrvXBb|>75dD+4cf;TmT_h09WS7K z22eU!*svuGdd~vH*a9Zac@&tNsf*W_hNC)6g7iVcG!rAiYDa+AJa@k=*g=oKMZCqc z+v@SRLmqzzAUK_Q9Dosjf3oNApV>TW-+E2|RpP2y-ShXH4{a4x&)?tj{+?zlWWkhcU)q3)%#A6> zzFcO@SX@uJ_Jz3g*-a_4_{6!V$pT_yqMS!zlLfrMIIjt=rIAk-5XxX;9<^}=b3<}o zvVc%-qy1&)JBBrCh=3D*7HKm z0^J0ZAYpcJ&@QDO$CaHgynq}N6aX}RB)nsA2m|$E0hl%mBSm+?ZK}g05+H#DixLv7 z=6n%F4J5M6Mg*G(Bn!OFh&Es%GITd1E=!BK%?RQ`h6xJrEC#?DZ6ZJ!Xo0K@c>x(3 zzyw#FjCPwa0U7SWXvav9i*gxCC$h;KMFkuPrtm_D4ycBe`O_;!%gixps zlOQ7*KQR)7DqoXGCYtC02kkj5VWZ%%*fHy{c!91P$gsyD4ESad@i?qPx5Mb94RjU} zbgi-uikAj7dU$gyFje+9aF z;UKzJ+5Yxd_U54H)j?m4JLvR0Rm?yCe9S+0cOH2iJq!f9-X?AvFp-$T-Na4jueXUy zTuL5iquoeXZv?{JoA&#TtLSK8H{9FFr7$9bba!%7L3&R=689DkaAhZV4Sfs*xV0{w ziJRF?W$0ygjSLesW2_h~-Q&0#w9bSE$2t>UWEM5S6)X(l9cMxq5Mu#iZ59D%VyY8X z7f8@_W&E@W6CgpTa%Un3(1;#z$UJ~X3ZVeE^VbuJSv0Pc1o&pbDISRSSOuws(Gx{y z5kc1~Yg|bt=S;+Z@_xjBl7e(u{8<*@c7B02F^faxEFH*m;0&5sHiXg11ti8Ig059% zT^9dIw^Q(+?lfWh5mV#lo^|ZP?>jZjHbDtv$bMm($e5>ZmN0#tLlve;69oW?2Enn; znI?om&shK_Vez~ia9+23)>(%-)O^yXWMzDF_=f^CDB91Xo3u zPZ*;7=d=38uqb?8wgQ*d$5IPaINOqH;Ucb8?eRxN<6OC=Kxj9 z_4eLLs}%R(i7+kG#$Id#n!ny$#|m zo*lab1wLH~w1VH;0*JgY{hevN8~1;|(3Ss7&nlINVF(ix7{bdapi)G@Qi_^&Q@X%< zi3_~ZneK$L(xfE>NRdH+lp_R4TVw^u3s^LWuM_i!yv=TcWnQ`tOF~^ZE(0f`*gm#O%KneX4^g8bXy(g@V?$dEm zIycMf{WfwQi`^*mSPk`9Yh@m*v%mVquKbnkP?kBXUc43wwrHwhxLLq()0Ot(wVTpe ziSbS_egS9Y8Sge=x-i~!-UZ`LT*NP&`cN9W3MdWDeCF*LxmW@60^Z&Lis5^a9PlZ6 zKQZ2IFdRr#-u$O~iv5*%jS)@WS$Xjqhc2QmZoI~UrnY#G#Sk~smL{$;Z6N~IhI1&a zw(tVl(nJBku(l8es>cE_qFDMEtrzW@>M#k?2MHD>Bv@^ulG%$hZ{J(Iwx!;$4z%lv z*Bw_(=?OvI?U8+MlgMx*9!*bz4~ zYR0qOA}-K5lT^%sBH$H+-k<4BYW2;TBuc^V*6|_>^hHjEb0&lVH5QTWHdduZx`0mY zHOxfN31N+R(FKajCu?~ZNPkb<(CJnauQ7bHo#h2OEBV2g=OPTaWkGHOr;%8N&dQ`L zER#qZ=qw`WT4hfoRqpaV7OTtRwc*2{JbP&vXi<-q#%rVRb_T0!`Xv z(K}Cb9%8U-JzikOtWh*`k=T?lPEPn2u zRZ;Y|qO*vgYgJj7We0T?6awDV+d*XyBHq+}iZvCU(y)?ZsolG68aYO`U1arwx(Xc z9rF4+z%76O(`S4B{zdx!r%f66gZS&6WN5(Ev~g2>)jEE_g`{($;eHzKoYp;w0=~*X zwt2JQV+jMp!-CGHQS?=;LPKp91$5E|I*SOpR+agxMr|>A+UG{UwZiaA&xk&;YlC*H z^9fwpB@QnjI|E2Gbe`~zpC=4D&mt92;As+59VS8gAYqzmlTv^LtIL--;_ul+Cx6c- zy72d@T6zASxG=Sb=LA>iHoKR}@NryO8S(-$Oi%z&`dB!+NEir!MTrbeb(jR{g9M8b z609zlq4;|?(ew8dWk3&CI?Ax;?@N`C4&710`FHqHekXt5RDVAOv_h1>1Jc&tZ?PTB z2|5z{zNTL;`uh#3?>)bI3GcMh5XU?&+yC6Wph+UpHiVuXiLwV)yhv0mT_F;st+kOT zFES6chAVieTqH^ur~!*eD9I{V%`iH-06L2Zx>nh=6%9n_EN_DQf#CZ_N1}#ba)Cav zYlC)Y;W1oU&%_JJ&Hxe(vLn3X-3f!vvq%LLI1Fv7!z4%_Buq0R;{XX((0=@9xlBC!U_wj)go22}B$ku} zi;`hrb-4`1Gm(RUcfa{}h=Xd=+awm95!DIoGdF#m8Gonmj9DEFzGa>+o?TaGJOZ?W zGu{F&obenA>`6YDX5oNfr0nS>bzP3xc@c%{S*J};HBqj4vvkozjb>Ot7p%eV>q zKr?aEzdx~!P6XU@9Tu8>m*88>edeC)8hq1%T#tLM7lZG;xaR;m`7Ae!vLZ|G6%LrK-0erZ^wMSSwDL08+u2J^ zpoOE|FLd$z>gTLSwF?=X>nneMLt7X-gugWR@&KuPA#sCufSrHmg6e4M9*XZ=V9Xqe zW87EYKS}b?U+>lT#N}#b$_9aQr7u?`1{UE@ps))mUSJ`$n&RcZ9>98ogE>Un9Vy+)sRU@K%!FwSRy$$0!$_6y;G66+1B9-8MX;p zBe=(K)2_UE0l^tS(?QB1nxJJ1sqowrW~79+!X!u^t5DNSj0CG)h0U49uH6giM^w)Z z|0oZto)`WRH)5YEfNt!U3eb!Fi~tS8^V%u)ZBWkvK!E3PWd+C!2+#nE96Q8*F#(bigcBw~ z`VgRLCPsqQB?6SdkM#4N%6l59M0yqHQTtSS-qS!vdWPF3nnI7*u}peQc3SE20@7=u z0HE})5J3_KU1tH9Sl3N;m;~vA1d9?9thUm_M|H=1*T?EI*H>59HwLtz>yx)Pe4!iv z_xYax^Y>Msfao#tSd=(0R`>j0hYiZu{GrXq+*nl+Qb!i~Rd zC`^KkfP~>EMuOEP3L}9Par5qK%smuL1|rgP4+XQ2)F$sGd&I?RiF|c(F^+?Bsd_Xq7O z9NOqWwk;_k7~aU2pHJC4g4P87AiJH0cnwz*)=?ADghpWD(r~w)h|6g{V=a(ebse(J zTwlmmKb7)RuBt!pGLHdj5*iWrnbrUqk}YI;%B6<(yT6 zrZ>fQ@{7({3C~%1=Ok7^FXF7+EEyLL98xb!MqEm`A%nk?Ua7M(>lUsS?cnnQgP%d> z5`?UWw_$-Uk$k#l`4-kJ-{86hCtq1D&E|a_=>nZa3A(nPmzZ@{4cc1OfZN!Rdw9{a zwIz~sZEY$!&(;z*v$gYN*q)9sf;)$scEQIB2+jbS4pI)ztRW0Ej0K3Xg;aR%g3nZk zNsvBBm}X)mSY1>(E5OpzpBNXSTZM6~MOCc@dlgcc=yWFnm`=5#T0E7+swg@o0d&=h z<9L7EFk}92<72m*{NSz8r;`3kIZ3n&#ibfSop z{DxC>K1s5VWb>Y*fM?e4*zb zI2W@IPs>#A`3I=2PKuk?KhPiqf*Efuz6zL#y>!>&PjKqMCU|Rc;@@uexPc8U$Hl;!?UMpJxp2&E0G$xl%oh7A z9;6AFq6e|73H6EO!#WW<#c9VWiZpVuhH;8P^AG&gSr)LwAx5w=XE=MY|6bQ)z)_aD zi>kVdtEKLus{Ue&J%v;^rCgSWaazCT`HKx!1>*_#dlPLy<>HCnd4)SS{9~&?d~8 zBC!6E7Z98QOmGFs6W$RVVL;5J7DPIMgt@5>lOX+!pBM>3l_-qFPoy6(?>E{%8iwc5 z8U)C`WdfuzwF2Y?1ZV(7jvbB{#ClIkXe&&D^dUggOpFApO9UwXk@WNaqPJheZ?QC8 z>CIkT_gs`#2ffq=@fOd{sFzBBRtRe7mCW&i+yQZ#U0%!$S zL5I*O|MlxV|L`KsfA#zWRxvn?orebX7&l<T$V9!JcX+ zfa+`grspSw_UVh23!t6S1(mC~a;NJNR0pT4g38+Z-os0Hfz9!SL(3@6TsnTwrGal8 zA80?b`RL{&?;;l@%I$6en0{q#oo(_T`DP2dga51k;7uUB9wpHA-q73}wwPOAcGx$f zSv<8%n|$UzlO8R8=$#0f=glp+3Z z2ytE!=gSbk8bWL*wU6(i+#KD)bC*qHZ9Wnk(Yq>ZN?B8^OIVf+T#2Kt6|DG z^=ZOro$%<>!a!}I$+|)Aym0ChM=NO}2&yOp8Yt5eOn=;%gaI`OE=mm>pGW)g@0=J7 z5G6)xbZQHI3llh|aCDdlV~|iuE5-UP&d(bzcfcK5R(_hiEBpy-@lU8Eb zYAahtTD%*Q7NIO#`<0d<;s%fwzjH0*GZut1v0LOW zvB(X(*0mE<3O+YE+3Xl@8kuZ(D{o9{!RIC?nB~xGTHD}NH!JA z&3vlUF*ll#slgmt0Mt5>#WRat*(jtdjSIKb;IQj z7|2F}cZ;L&JOf z*T(r{d~ge@w&_WWcSF)5l$}j7xA&2LFhu+brPJF^C?|pHPAGbaY(o8hHlf7f$0wBf zyfoe9XQ({q_kvC+^*%aPG8M57$XPj3b2;toScqlYhl&^?{#dAS)2#@+&VC0%&+Qy+ zqwREDc$kyp64^N*sM$GK(Vc_GB0C2HS;fu)0Xps+{QvA-3w+(<`9IN%L{-XM>e^I8 z58R> zb{PNf^FHtM{4VGBJ2~fchOItZ&o|He+}`JX-s|s1AuuOf|-Z>;~qilak+ zCCG@m{6PT!qm2NCZd6?%QQ$ODL8RU=q^@v%CJw}p-UmZ}VBu~VNgA#Zzuwj{zmRy) zr|AdENHy-#C1v1|hnp5iaj*)~4;9u-m^Uc zijlNA@Fm@=o*%gwKKX_U*Ci8aP?~$GTFy{0*BL6zX?6HeA?LAuXdY@xo?iJd+jA=W ztqv7(vPbGhIQKiD5@*#HAw`wQ4rUvsQ_B1mhG@x8$tK z^k9vao>lpxMO^AuwganG;&qD$R&`5`IlOak*fGa9J@=|xe6YZGvpcz^XA8b)b^SY{ zWt9X)OP2($E1d3rPVPAUye{p2E6g~gQE2x>*sOnmbaf1H7HvDv3}lR-J;It^mC#H zMeCdH=Q{lKS+_dbEt^|J4T=_Vt#NKyCEnr3x6E+eC*dA`yvvM>o#Dsy-0)>atL;I7 z!;iZkeXGk1>F3_zNBg;NDj+Uxi)<%OtHj$DzKL^~O_3fLbcP?I2BipbsayX$!;em! zuG?nZnd_Z6FVW1Uge#x{y{TRbefvERHD{KQnTj`cIzVsS#GIcm9s5e&AM@}&E}TA(p@%wZ z%}w_~N9Uy2oH`T0|4?0ZDvH1TN9WMx;%Enlo}1&t8E%*9SUAjOdS0bE2b*1|3NuPquRuu?Lm343W{jj2jw)Nz+EHbxfKXRdr)qA1|5_Wz(KjO z%E#uR_Y0w7K?mieA%>Ix0{U&5=eqA+8Zr4Ib%U3{li>Nvi_6JJ{Sw=C1k|Yv5_^DVdy1Pgv&|hx{Y2=qI>|6I*VRTqCb#jmXV}k zk47$6FEPDqzLA3klaLFfCpnjsh|4;Vtb+8Tmsm4lDyY~M<6ivvJx`N%s)BRXks8vS z9au1AWbL6fgK&j0=meo;ikzaVhN ztO6>Xvv}>`XY$5%E&YFO@JlOA)((FDH2E(X{9KPkh7W#CI{0;%TSvpMh48(c!FOlr zO`SC7e5=<&=vF;CYVE}wjyZz;iHF_5M_jtCG;8{Q@7-B?940sL^{`tsXW~+9*bRKd zrEbxb_D$V#A*Xc9*ex5auv0qXQn#{=mQ~{2g!3Lqqa~+wG=rfLZM4Ep>4;0+$~Ib7 ziPtS&O{iOPO4sFyR@f;Waj9F`M$0Pky5)DoNe3Ol7E zE_Ey0Xjvs*w|vo(Q@U1m%SJ2gl#aO6t!$%Zm3ZCaXz9A%^~wkT)K;3n6RP(08HvlB z+08uL7e2emGj@HZ3UY|THx%lVcHjE!CKr2p;iWUX>BSyu9_-pUSl|4;$2u_bV`cv9 zoBCuKg$-_TYUzXR{Lp^3S<++L$#>U0S6tna`^jm_aiXPnlKY}XT$;Su_9?5xo4me0 z#SfbKZ|2u$&3w`7cDt2rw5$@ZTfS(?eeGSIXocO^PF!moEvv-qmM>cT=o!C&(^(7X z(`UYDb-LZkHd_Cr!1^8Yt}XPvTnR@!Bf! zy5$?M=|N9Ars?$L7EyynAmUQDzJXa?SB&x&7urZV(F%Ku3vsDiRKaZCr(~6+jF#M} z*UoO)U6?)@<68p}m%5d0w5$@ZTfW@lH*MJO-RW6d@XI!sOWn#gT5Vi6uUo#{;@3*d zFKqQhEBMV4%%yH+8!a*gC0@6D(UP;a7Iupo4M%`sXKlo#Ze<%StHkS;FIsZe*353% z+zLBuBQA9-+h|!OUblSFlC!pYPqf0$+K5Zt$~Ib7iPtS(wB)QU&TiRgg`Kq#m%5d0 zw5$@ZTfS)VS)1pbH^B#O|B-jz@DUr`SfppS!RKrLk$2wk$=YOmbwG!x;A1tgXk(ci zq6--Wa7o>@QV+eqhi;Q14c?aWB@iE|dER*we3}Lp^~R(Qc|!o+xCVUV4SDCkKu+F} z2Cp}qH|edo@j6b~#a@y2idza`d-zw}I)V72)#i39+uX8Byl(k&OOCl(J<-x*E?-Y1t~HL9RpNEa7cDvFYGJqR zxTVKjzGxAbx|MCTtP-zVzG#)(TNIkvEt^|J4chw>m%5d0ZdoN>w|vnmv1gU_o@fy@ z=&X{s)U9lzWtDi{@hSY_IjQM0Rq(Ey^t^_1SZ?+E7G5VN2RFU&(iyMy;KtXdh)bIz+bpq4yv^aulJDrs zNe*t7d7`BUH@;{Q*BVF5DoGiwwNF@b`q*!Q`Zr0w+>)!?o!p3a1PZ&lOE=dD2&{+ zr^j?~NL=bxw$ZXmyl(lTCATYfvRgJN_8k+{^Y zY@=nBc-`_vOKvZ3Ww&g!^s1LHTEwMpWg9K4#OszXT5>|y!fx5z3Ok`AE_Ey0Xjvs* zw>Vn;O}Z4d(ggmINq2!W>6$A!YbV_dF9GV+qM+u`*-h@E?BOi2$*Xr!`jVHpG)uBg zUaQ3GmM?j~qmwS3F44_GG!r^Y6ru(l0TS05N6RWn87(0-BRSJ(GcQKNnvlWwm0 z#*6>fDxwBOtMlIxEvqDDwB#Zp&4kXuVc11P;?hRUc3`whyl(lX5m^h+Oz7m6t_6HO zk+{^YYy|HCat{;Dgif^d9wuM3h)dndHdy|HCa=26PiB{O*4soel*+$DM@w(-U)_3c;LZ@oJ{^Yr}N-sWn66>41wJM!;obxuH zt8@`ZyFL3h2zm`rz-wCQHXOr&Pp{hRl6|Jd`547~9ac|Cd5Yt!PobZNJ;Y9W_C6)K z&!=(Cz6&b@;%ZOQh7E|swZ=(nl>{ZNwukGZ)$RuoDKR|j>V?`Q-|NTh`>Qu4h?9p` zJC!yUmyGW3?V zRnM>L9*M7ljAE_^=b=fm+en`dS@iGT&Y3RBfIbZ)MXYocUmRh#^4<3uQA9SXULqPEy4GX zPzY&gSQ>8~hSx&MpRuN)?dN~}b*!O22J+a>*qkSd!FBxO)2C10HGf1M5-buqIyUEn zX8h9D*(|{Dwe>jtjat+1qWSp8F+(IgkkE&rICgPotf8#1JiqQXyczfFX@&SeWL+UK zERDzL=9a{kk>(r`Wo@y!iz_`tcv)dgk}lSS#Zlmx1t$BFKpOeV8V4=FPs5V3u}kuv zF3ao0i!-}t?LhCLjM0c>N^3TZb)c>h`agMDyCN5MYUxk#&4vj6%CEZ_8{WiLE;nuE zo928KP+ zt1?m=ag-LOP}-c4(jKI@9>B|QCgHj zDZLsieWjI-(j8JLt;k4e)KR))3Z?OklomKjcS@nOEhD87N9oQfly+yNv@6P1Eue24`zRxr@N(4T9T2{PDknPDU?=bq_ovh zx%8=p7C1`xPNB3Y1Espw zUw#PY|HM8nwRR!RpGx(urOUA-C2#PG@bI;Cx$A}7SW5?d!qu#$%gtKayo5e{E$y~f z9k6!iwe~2iwcB!P)&qAnx?g0)rgLW(&W_aNd)MgI;I1B0R#;P0ExQlApq5S)__fRC zy&h)E;Wk?pV3zg*Qq9mUL(N2}sWSc?;Z|4?YnoD6T}pS;+vB-jpvc^5g%vsYXKK#! z75I})=yt8kRXQGm-*RNr3@xB{nh@z%@h43a0^2t(k_u zrsgrV8qadp=&Qab7fx-!|50AqIHho!>ua%74AswF0dCTr%uUp(X2VpGU-uBaOzaz! zj@>3GyW!u+q5}LwU+zUK1h%9pip?z6PAh*IhXM_ANS{#`TGD?@mS5s;w4pdk8 zDcpXx#uWis#F3*@wedqK&l@)}J7f0;CHmPHSD1Zq1tp);%r&DD2f3W1YBtTIy)!n% z5qu(S`J?22%*8@a5zkpntAJEpHLMEmt{PSa9*(Gb{;hp*6%I`t?DEf31$qo5-O+vd zo~TpeP*v4I-|dJEpho8G4y}1?ZtcLxtZi&^gSiX=F1xrhzh&{<)g$t6?9A<|InWs< zY6^YPi8ZV=a3-QOlRVN}v-EOCHFP*iG9K&PW{HVysLm!`*2 z*Fle)`$RQPs)GC5W$@0Whx!|Q3h!?yj3kaw7rul2?MRn%9BkU9QGde;75BHJTr8Y* zz2B++HpS(irwT==LT3H#XjK)`-vVm>U)bMl3X~&${myT`{#LFLboCR=Jm?8Y{S7py zzhQdA%trZz>8*l#O2wkfJ>3n&IGtkH?iS0hdl_qROm8vj$Q4WD?I?^SiX)YJ_zNWg zC%>+tX>wtC$n+MqtJTnD9H0C~Lr;Fo%?wwLu0k{16tj@Qne~>mt{&_1k!ssCkB-~W z>pD;cq-+QJV9Lltsq0*RT#`Eb3fi8m)QwM4SIyq#@{YG(@2Jks5IiACT^p+_PE#FP z*`;o`By~Nkt|Co!I0tm8+dWAgo#>(|;%Ta*InSx=9!cu#mrmQVQdg3s&VGTZJ56