4 Star 1 Fork 0

1026508651/数电实验_药片计数器

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
med_count.fit.summary 312 Bytes
一键复制 编辑 原始数据 按行查看 历史
1026508651 提交于 2022-04-04 17:12 . 分工+端口+连接已完成
Fitter Status : Successful - Mon Apr 04 16:07:16 2022
Quartus II Version : 9.0 Build 235 06/17/2009 SP 2 SJ Web Edition
Revision Name : med_count
Top-level Entity Name : med_count
Family : MAX7000S
Device : EPM7128SLC84-15
Timing Models : Final
Total macrocells : 31 / 128 ( 24 % )
Total pins : 54 / 68 ( 79 % )
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
VHDL
1
https://gitee.com/huazhong_xuan/shudian_medicinecount.git
git@gitee.com:huazhong_xuan/shudian_medicinecount.git
huazhong_xuan
shudian_medicinecount
数电实验_药片计数器
master

搜索帮助

23e8dbc6 1850385 7e0993f3 1850385