4 Star 1 Fork 0

1026508651/shudian_elec-clock

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
count_2500.vhd 547 Bytes
一键复制 编辑 原始数据 按行查看 历史
1026508651 提交于 2022-04-07 12:12 . 修复了音乐的bug
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity count_2500 is
port(
CLK_0:in std_logic;
Change_En: in std_logic;
CLK_1: out std_logic
);
end count_2500;
architecture art of count_2500 is
signal temp:integer range 0 to 2499;
signal qout: std_logic;
begin
process(CLK_0)
begin
if(CLK_0'event and CLK_0='1')then
if(temp=1)then
temp<=0;
qout<=not qout;
else
temp<=temp+1;
end if;
end if;
end process;
with Change_En select
CLK_1<= '0' when '1',
qout when others;
end art;
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
VHDL
1
https://gitee.com/huazhong_xuan/shudian_elec-clock.git
git@gitee.com:huazhong_xuan/shudian_elec-clock.git
huazhong_xuan
shudian_elec-clock
shudian_elec-clock
master

搜索帮助