1 Star 0 Fork 0

打野/Verilog练习代码

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
文件
克隆/下载
practice_24.3.1.v 719 Bytes
一键复制 编辑 原始数据 按行查看 历史
打野 提交于 2024-03-01 18:56 . 2024-3-1
//2024-3-1 姜青羊
//日常练习
`timescale 1ns/10ps
module comp_conv (
a,
a_comp
);
input[7:0] a;
output[7:0] a_comp;
wire[7:0] b;
assign b={a[7],a[6:0]+1};
assign a_comp=a[7]?b:a;
endmodule
//------testbench-------
module tb_comp_conv ();
reg[7:0] aa;
wire[7:0] ac;
comp_conv u_comp_conv (
.a(aa),
.a_comp(ac)
);
initial begin
aa<=8'b0000_0000;
#3000 $stop;
end
always #10 aa=aa+1;
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/fight_wild/verilog-code.git
git@gitee.com:fight_wild/verilog-code.git
fight_wild
verilog-code
Verilog练习代码
master

搜索帮助