代码拉取完成,页面将自动刷新
//2023-8-21, Jiang Qingyang(姜青羊)
//either-or logic design(二选一逻辑设计,always语句块实现)
`timescale 1ns/10ps
module fn_sw (
a,
b,
sel,
y
);
input a;
input b;
input sel;
output y;
//assign y=sel?(a^b):(a&b);
//用always语句块实现组合逻辑;
reg y;
always @(a or b or sel) begin
if(sel==1)begin
y<=a^b;
end
else begin
y<=a&b;
end
end
endmodule
//------testbench of fn_sw--------
module tb_fn_sw ();
reg a,b,sel;
wire y;
initial begin
a<=0;b<=0;sel<=0; //按二级制加法来遍历
#10; //把#10放放在一句前面也行
a<=0;b<=0;sel<=1;
#10;
a<=0;b<=1;sel<=0;
#10;
a<=0;b<=1;sel<=1;
#10;
a<=1;b<=0;sel<=0;
#10;
a<=1;b<=0;sel<=1;
#10;
a<=1;b<=1;sel<=0;
#10;
a<=1;b<=1;sel<=1;
#10;
$stop;
end
fn_sw u_fn_sw (
.a(a),
.b(b),
.sel(sel),
.y(y)
);
endmodule
此处可能存在不合适展示的内容,页面不予展示。您可通过相关编辑功能自查并修改。
如您确认内容无涉及 不当用语 / 纯广告导流 / 暴力 / 低俗色情 / 侵权 / 盗版 / 虚假 / 无价值内容或违法国家有关法律法规的内容,可点击提交进行申诉,我们将尽快为您处理。